CN103456601B - 用于中介片的电容器及其制造方法 - Google Patents

用于中介片的电容器及其制造方法 Download PDF

Info

Publication number
CN103456601B
CN103456601B CN201210425190.2A CN201210425190A CN103456601B CN 103456601 B CN103456601 B CN 103456601B CN 201210425190 A CN201210425190 A CN 201210425190A CN 103456601 B CN103456601 B CN 103456601B
Authority
CN
China
Prior art keywords
capacitor
metal layer
layer
insulating barrier
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210425190.2A
Other languages
English (en)
Other versions
CN103456601A (zh
Inventor
张俊华
叶德强
郑光伟
刘源鸿
侯上勇
邱文智
郑心圃
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103456601A publication Critical patent/CN103456601A/zh
Application granted granted Critical
Publication of CN103456601B publication Critical patent/CN103456601B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本发明公开了设计用于诸如中介片的衬底的电容器及其制造方法。在中介片中形成通孔,并且在底层金属层和更高层金属层之间形成电容器。例如,电容器可以是具有双电容器介电层的平面电容器。

Description

用于中介片的电容器及其制造方法
技术领域
本发明总的来说涉及半导体领域,更具体地,涉及用于中介片的电容器及其制造方法。
背景技术
半导体器件被用于各种电子应用,诸如作为实例的个人计算机、手机、数码相机和其他电子设备。半导体工业通过持续缩小最小部件尺寸来不断地提高多种电子部件(例如,晶体管、二极管、电阻器、电容器等)的集成密度,这使得更多的部件被集成到给定区域内。在一些应用中,这些更小的电子部件还需要更小的封装,其与过去的封装相比使用更少的面积。已经开发的一种小型封装是三维(3D)IC,其中,两个管芯或IC结合在一起,并且在管芯和中介片上的接触焊盘之间形成电连接。
在这些情况下,电源和信号线可以从中介片一侧上的连接到中介片的相对侧上的管芯或其他电连接穿过中介片。中介片还可以包括无源部件,例如去耦电容器。来自电源的电流流过电源线、逻辑门并最终接地。在逻辑门的切换期间,在短时间内可能发生电流的大量改变。去耦电容器用来吸收电流切换期间的这些假信号。去耦电容器通过维持电源和地之间的恒定电压来用作电荷库,从而防止所提供电压的瞬时下降。
发明内容
根据本发明的一个方面,提供了一种形成器件的方法,包括:形成从衬底的表面延伸到衬底中的通孔;形成位于衬底的表面上方的第一绝缘层;在第一绝缘层中形成第一金属层,第一金属层与通孔电连接;形成位于第一金属层上方的电容器,其中,电容器包括位于第一金属层上方的第一电容器介电层和位于第一电容器介电层上方的第二电容器介电层;以及形成位于电容器上方并与电容器电连接的第二金属层。
优选地,电容器包括两个金属-绝缘体-金属(MIM)电容器。
优选地,电容器包括位于第一金属层上方的第一电极层、位于第一电极层上方的第二电极层以及位于第二电极层上方的第三电极层。
优选地,第一电极层大于第二电极层。
优选地,第二电极层大于第三电极层。
优选地,该方法还包括:在形成电容器之前,在第一金属层上方形成介电层。
优选地,衬底包括硅中介片。
优选地,电容器包括平面电容器。
优选地,通孔包括铜。
根据本发明的另一方面,提供了一种器件,包括:中介片,包括延伸穿过中介片的至少一部分的通孔;第一金属层,位于中介片上方并与通孔电连接;第二金属层,位于第一金属层上方并与第一金属层电连接;以及电容器,设置在第一金属层和第二金属层之间。
优选地,中介片包括硅。
优选地,通孔包括铜。
优选地,电容器包括至少两个金属-绝缘体-金属(MIM)电容器的堆叠件。
优选地,电容器包括双电容器介电层。
优选地,该器件还包括位于电容器的底部电极和第一金属层之间的一个或多个介电层。
优选地,电容器包括平面电容器。
优选地,电容器包括第一电极层、第二电极层、第三电极层、位于第一电极层和第二电极层之间的第一电容器介电层以及位于第二电极层和第三电极层之间的第二电容器介电层。
优选地,该器件还包括:绝缘层,位于第一金属层和第二金属层之间;以及通孔插塞,形成于绝缘层中,其中,通孔插塞与第一电极层、第二电极层和第三电极层中的至少一个电连接。
根据本发明的又一方面,提供了一种器件,包括:衬底,包括延伸穿过衬底的至少一部分的通孔;第一金属层,位于中介片上方并与通孔电连接;第二金属层,位于第一金属层上方并与第一金属层电连接;以及电容器,设置在第一金属层和第二金属层之间,其中,电容器包括第一电极层、第二电极层、第三电极层、位于第一电极层和第二电极层之间的第一电容器介电层以及位于第二电极层和第三电极层之间的第二电容器介电层。
优选地,衬底是硅中介片。
附图说明
为了更加完整地理解本公开及其优势,现在结合附图进行以下描述,其中:
图1至图7是根据实施例的形成器件的各个中间阶段的截面图。
除非特别说明,否则不同附图中的相应数字和符号通常是指相应的部件。绘制附图以清晰地示出实施例的相关方面,并且附图不需要按比例绘制。
具体实施方式
下面详细讨论本公开的实施例的制造和使用。然而,应该理解,本公开提供了可以在各种具体环境下实现的许多可应用发明概念。所讨论的具体实施例仅示出了制造和使用本公开的具体方法,而不限制本公开的范围。
本公开的实施例与用于将中介片用作部件的半导体器件的封装(诸如3DIC)相关。本文将描述形成中介片的方法,其中,中介片具有形成在其上的电容器,诸如金属-绝缘体-金属(MIM)电容器、去耦电容器。
首先参考图1,示出了根据实施例的衬底10的截面图。衬底10可以是任何适用的衬底,诸如硅衬底、1/2/1层压衬底、4层层压衬底、陶瓷衬底等。虽然在用于安装一个或多个集成电路的中介片的具体条件下描述了本文所讨论的实施例,但其他实施例还可以在其他条件下利用本公开的各个方面。
一个或多个开口(诸如开口12)形成在衬底10中。如以下所详细讨论的,开口12随后填充导电材料以形成通孔(TV)。如图1所示,在一个实施例中,开口12部分地延伸到衬底10中。可以执行后续处理以减薄衬底10的背面,从而露出TV并形成与TV的电连接。
开口12可以通过例如蚀刻、研磨、激光技术、它们的组合和/或类似方法从衬底10的顶面10a开始凹陷来形成。例如,在一个实施例中,可以使用光刻技术。通常,光刻包括沉积光刻胶材料,然后光刻胶材料被遮蔽、曝光和显影,从而露出衬底10中将变为开口12的部分。在光刻胶材料被图案化之后,如图1所示,可以执行蚀刻工艺以在衬底10中形成开口12。在衬底10包括硅中介片的实施例中,蚀刻工艺可以是湿或干蚀刻工艺、各向异性或各向同性蚀刻工艺。在形成开口12之后,例如可以使用灰化工艺来去除光刻胶材料。
在形成开口12之后,衬垫14可以沉积在衬底10的表面上方,诸如通过化学汽相沉积(CVD)、原子层沉积(ALD)、物理汽相沉积(PVD)、热氧化、它们的组合和/或类似方法。衬垫可包含氧化物(诸如SiO2)、氮化物(诸如Si3N4)、SiC、SiON、TiN或其他介电材料。
根据一个实施例,开口12填充导电材料,从而形成TV 16。例如,TV16可通过在衬垫14上方以及在开口12内沉积导电材料层来形成。导电材料可通过电化学喷镀工艺、CVD、ALD、PVD、它们的组合和/或类似方法来形成。导电材料的实例包括铜、钨、铝、银、金、它们的组合和/或类似材料。例如,过量的导电材料可通过将衬垫14用作停止层的平面化工艺(诸如化学机械抛光(CMP)工艺)来去除,从而形成图2所示的TV 16。
现在参考图2,示出根据一个实施例,在衬底10上方形成第一蚀刻终止层18和第一绝缘层20。第一蚀刻终止层18和第一绝缘层20用作介电层,随后可在介电层中形成金属层。第一蚀刻终止层18在后续处理(诸如形成到TV 16的电连接)期间提供蚀刻终止。在一个实施例中,第一蚀刻终止层20可以由介电材料形成,诸如含硅材料、含氮材料、含氧材料、含碳材料等。第一绝缘层20可包括电介质或低k介电层。例如,在一个实施例中,第一介电层20可包含SiO2、硼磷硅玻璃(BPSG)、正硅酸乙酯(TEOS)、高密度等离子体(HDP)氧化物、旋涂玻璃(SOG)、未掺杂硅玻璃(USG)、氟化硅玻璃(FSG)、BLACK DIAMONDTM(加利福尼亚圣克拉拉的应用材料公司)或其他介电材料。
图2还示出了根据实施例的互连TV 16和/或形成在衬底10上的部件的第一金属(M1)层22的形成。在一些实施例中,第一金属层22可以为后续处理中形成的电容器提供电连接。例如使用双镶嵌工艺,对应于第一金属层22的开口可形成在第一绝缘层20和第一蚀刻终止层18中。导电材料被沉积在第一绝缘层20和第一蚀刻终止层18上方以填充开口。过量的导电材料可使用一个或多个蚀刻工艺或CMP工艺去除,留下图2所示结构。在一个实施例中,用于形成第一金属层22的导电材料可包含例如铝、铜、钨、它们的组合等。还可以在第一金属层22形成之前在开口中形成势垒层和/或衬垫(未示出)。
图3示出了根据实施例的在第一金属层22和第一绝缘层20上方形成第二蚀刻终止层24和材料层,材料层随后被图案化以形成MIM电容器,例如电极层26和电容器介电材料层28。在一个实施例中,电极层26包括第一电极层26a、第二电极层26b和第三电极层26c,并且电容器介电层28包括第一电容器介电层28I和第二电容器介电层28II。在一个实施例中,第一电容器介电层28I形成在层26a和26b层之间,并且第二电容器介电层28II形成在层26b和26c之间。电极层26可包括导电层材料(诸如TaN、TiN等)层,尽管还可以使用其他材料。电容器介电材料层28可包括高介电常数(k)材料,其介电常数大于SiO2的介电常数,诸如ZrO、HfO、Si3N4或钛酸锶钡(BST),尽管还可以使用其他材料。
第二蚀刻终止层24在诸如形成MIM电容器以及在后续形成的电容器和第一金属层22之间提供附加隔离层的后续处理期间提供蚀刻终止。在一个实施例中,第二蚀刻终止层24可以由介电材料形成,诸如含硅材料、含氮材料、含氧材料、含碳材料等。第一蚀刻终止层18和第二蚀刻终止层24可以由相同材料或不同材料形成。
接下来,参考图4,第三电极层26c和第二电容器介电层28II通过一个或多个光刻工艺蚀刻为第一图案。然后,如图5所示,第二电极层26b和第一电容器介电层28I通过一个或多个光刻工艺蚀刻为第二图案。此后,如图6所示,第一电极层26a通过一个或多个光刻工艺蚀刻为第三图案。根据一个实施例,图案化层26a、28I和26b形成第一电容器C1,并且图案化层26b、28II和26c形成第二电容器C2。第一电容器C1和第二电容器C2可具有相同或不同的尺寸。在一个实施例中,第一图案小于第二图案,第二图案小于第三图案。在一些实施例中,第一图案、第二图案和第三图案具有相似的尺寸。
在一个实施例中,第一电容器C1包括MIM电容器,尽管还可以使用其他类型的材料。在一个实施例中,第二电容器C2包括MIM电容器,尽管还可以使用其他类型的材料。在图6所示的实施例中,在第一电容器C1中,底部电极延伸到顶部电极的横向边界之外。在图6所示的实施例中,在第二电容器C2中,底部电极延伸到顶部电极的横向边界之外。以这种方式,如以下更加详细讨论的,可以从上面制造底部电极的电接触。堆叠电容器C1和C2形成具有双电容器介电层28I和28II的电容器,这能够提高电容以支持具有高性能的芯片。
图6还示出了在电容器C1、C2和第二蚀刻终止层24上形成覆盖层30。在一个实施例中,覆盖层30由介电材料形成,诸如含硅材料、含氮材料、含氧材料、含碳材料等。
现在参考图7,示出了根据实施例的第二绝缘层32、第三蚀刻终止层34和第三绝缘层36形成在覆盖层30上。第二绝缘层32、第三蚀刻终止层34和第三绝缘层36用作介电层,随后可在介电层中形成金属层。第二绝缘层32和第三绝缘层36可包括电介质或低k介电层。例如,在一个实施例中,第二绝缘层32和第三绝缘层36可包含SiO2、BPSG、TEOS、HDP氧化物、SOG、USG、FSG、BLACK DIAMONDTM或其他绝缘材料。第二绝缘层32和第三绝缘层36可以由相同材料或不同材料形成。第三蚀刻终止层34可以由介电材料形成,该介电材料与用于形成第二绝缘层32和第三绝缘层36的材料相比具有高蚀刻选择性。例如,第三蚀刻终止层34可包含诸如Si3N4的氮化物、SiC、SiON、TiN或其他介电材料。
接下来,根据一个实施例,形成第二金属(M2)层40和互连第一金属层22与形成在衬底10上的部件的通孔插塞38。如图7所示,第二金属层40和通孔插塞38为电容器C1和C2的电极提供电连接。在一个实施例中,通孔插塞38分别电连接堆叠电容器C1和C2的电极26a、26b和26c。例如使用双镶嵌工艺,对应于第二金属层40和通孔插塞38的开口可形成在第二绝缘层32和第三绝缘层36中。导电材料被沉积在第二绝缘层32和第三绝缘层36上方以填充开口。过量的导电材料可使用一个或多个蚀刻工艺或CMP工艺去除,留下图7所示结构。在一个实施例中,用于形成第二金属层40和通孔插塞38的导电材料可包含例如铝、铜、钨、它们的组合等。还可以使用势垒层和/或衬垫(未示出)。
此后,可以执行其他处理步骤,诸如形成附加金属层、形成外部接触、减薄衬底背面、将一个或多个管芯附接至衬底、将衬底附接至另一衬底(例如,印刷电路板、另一中介片、封装衬底等)等。
正如所能理解的,诸如本文公开的实施例提供了集成到衬底(诸如中介片)上的电容器,其可以避免与可能由下方金属部件导致的厚度变化相关的问题。例如,通过将电容器放在衬底上,可以获得其上可形成电容器的更加平坦的表面。金属层可具有突起(ridge)的特征,并且在这些突起上形成电容器会导致击穿电压(Vbd)的降低以及在高密度设计中可能导致电容器下方不充分的布线区域。诸如本文公开的实施例提供了平坦表面,例如衬底或衬底上形成的均匀层,可以去除或减少这些问题。诸如本文公开的实施例提供了形成在衬底上和/或通孔与金属层之间的电容器。
在一个实施例中,提供了形成器件的方法。该方法包括提供衬底和在衬底的表面上方形成电容器。电容器形成在最下方金属层和较高金属层之间。
在另一实施例中,提供了形成器件的方法。该方法包括:形成从衬底的表面延伸到衬底中的通孔;形成位于衬底表面上方的第一绝缘层;在第一绝缘层中形成第一金属层,第一金属层与通孔电连接;形成位于第一金属层上方的电容器,其中电容器包括位于第一金属层上方的第一电容器介电层和位于第一电容器介电层上方的第二电容器介电层;以及形成位于电容器上方且与电容器电连接的第二金属层。
在又一实施例中,提供了一种器件。该器件包括电容器,其位于具有通孔的衬底上方的最下方金属层和较高层金属层之间。
在另一实施例中,提供了一种器件。该器件包括具有通孔的中介片,通孔延伸穿过中介片的至少一部分,第一金属层位于中介片上方并与通孔电连接,第二金属层位于第一金属层上方并与第一金属层电连接,电容器被设置在第一金属层和第二金属层之间。
尽管详细描述了本公开的实施例和它们的优势,但应该理解,在不背离由所附权利要求限定的本公开的精神和范围的情况下,可以进行多种变化、替换和改变。例如,本领域技术人员应该容易理解可以改变文本描述的许多部件、功能、工艺和材料,同时保持在本公开的范围内。本领域普通技术人员从本公开中容易理解,可以根据本公开执行与本文所述实施例基本相同的功能或实现基本相同的结果的工艺、机器、制造、物质组成、装置、方法和步骤。因此,所附权利要求用于在它们的范围内包括这些工艺、机器、制造、物质组成、装置、方法和步骤。

Claims (20)

1.一种形成半导体器件的方法,所述方法包括:
形成从衬底的表面延伸到所述衬底中的通孔;
形成位于所述衬底的表面上方的第一绝缘层;
在所述第一绝缘层中形成第一金属层,所述第一金属层与所述通孔电连接;
形成位于所述第一金属层上方的电容器,其中,所述电容器包括位于所述第一金属层上方的第一电容器介电层和位于所述第一电容器介电层上方的第二电容器介电层;以及
在所述第一绝缘层上方形成第二绝缘层,其中,所述第二绝缘层覆盖所述电容器;
在所述第二绝缘层上方形成蚀刻终止层;
在所述蚀刻终止层上方形成第三绝缘层,并且在所述第三绝缘层内形成与所述电容器连接的第二金属层,其中,所述第二金属层部分地在介于所述蚀刻终止层的底面和第一金属层之间的所述第二绝缘层内,并且所述第二金属层部分地在所述第三绝缘层内;
其中,所述电容器通过形成在所述第二绝缘层中的第一通孔插塞与所述第二金属层电连接;以及
所述第一金属层通过形成在所述第二绝缘层中的第二通孔插塞与所述第二金属层电连接。
2.根据权利要求1所述的形成半导体器件的方法,其中,所述电容器包括两个金属-绝缘体-金属(MIM)电容器。
3.根据权利要求1所述的形成半导体器件的方法,其中,所述电容器包括位于所述第一金属层上方的第一电极层、位于所述第一电极层上方的第二电极层以及位于所述第二电极层上方的第三电极层。
4.根据权利要求3所述的形成半导体器件的方法,其中,所述第一电极层的面积大于所述第二电极层的面积。
5.根据权利要求3所述的形成半导体器件的方法,其中,所述第二电极层的面积大于所述第三电极层的面积。
6.根据权利要求1所述的形成半导体器件的方法,还包括:在形成所述电容器之前,在所述第一金属层上方形成介电层。
7.根据权利要求1所述的形成半导体器件的方法,其中,所述衬底包括硅中介片。
8.根据权利要求1所述的形成半导体器件的方法,其中,所述电容器包括平面电容器。
9.根据权利要求1所述的形成半导体器件的方法,其中,所述通孔包括铜。
10.一种半导体器件,包括:
中介片,包括延伸穿过所述中介片的至少一部分的通孔;
第一金属层,位于所述中介片上方并与所述通孔电连接;
第二金属层,位于所述第一金属层上方并与所述第一金属层电连接;以及
第一绝缘层形成在所述第一金属层和所述第二金属层之间;
蚀刻终止层,位于所述第一绝缘层上方;
第二绝缘层,位于所述蚀刻终止层上方,其中,所述第二金属层部分地在介于所述蚀刻终止层的底面和第一金属层之间的所述第一绝缘层内,并且所述第二金属层部分地在所述第二绝缘层内;
电容器,形成在所述第一绝缘层中并设置在所述第一金属层和所述第二金属层之间;
第一通孔插塞,形成在所述第一绝缘层中,其中,所述电容器通过所述第一通孔插塞与所述第二金属层电连接;
第二通孔插塞,形成在所述第一绝缘层中,其中,所述第一金属层通过所述第二通孔插塞与所述第二金属层电连接。
11.根据权利要求10所述的半导体器件,其中,所述中介片包括硅。
12.根据权利要求10所述的半导体器件,其中,所述通孔包括铜。
13.根据权利要求10所述的半导体器件,其中,所述电容器包括至少两个金属-绝缘体-金属(MIM)电容器的堆叠件。
14.根据权利要求10所述的半导体器件,其中,所述电容器包括双介电层电容器。
15.根据权利要求10所述的半导体器件,还包括:位于所述电容器的底部电极和所述第一金属层之间的一个或多个介电层。
16.根据权利要求10所述的半导体器件,其中,所述电容器包括平面电容器。
17.根据权利要求10所述的半导体器件,其中,所述电容器包括第一电极层、第二电极层、第三电极层、位于所述第一电极层和所述第二电极层之间的第一电容器介电层以及位于所述第二电极层和所述第三电极层之间的第二电容器介电层。
18.根据权利要求17所述的半导体器件,其中,所述第一通孔插塞与所述第一电极层、所述第二电极层和所述第三电极层中的至少一个电连接。
19.一种半导体器件,包括:
衬底,包括延伸穿过所述衬底的至少一部分的通孔;
第一金属层,位于所述衬底上方并与所述通孔电连接;
第二金属层,位于所述第一金属层上方并与所述第一金属层电连接;以及
第一绝缘层,形成在所述第一金属层和所述第二金属层之间;
蚀刻终止层,位于所述第一绝缘层上方;
第二绝缘层,位于所述蚀刻终止层上方,其中,所述第二金属层部分地在介于所述蚀刻终止层的底面和第一金属层之间的所述第一绝缘层内,并且所述第二金属层部分地在所述第二绝缘层内;
电容器,形成在所述第一绝缘层中并设置在所述第一金属层和所述第二金属层之间,其中,所述电容器包括第一电极层、第二电极层、第三电极层、位于所述第一电极层和所述第二电极层之间的第一电容器介电层以及位于所述第二电极层和所述第三电极层之间的第二电容器介电层;
第一通孔插塞,形成在所述第一绝缘层中,其中,所述电容器通过所述第一通孔插塞与所述第二金属层电连接;
第二通孔插塞,形成在所述第一绝缘层中,其中,所述第一金属层通过所述第二通孔插塞与所述第二金属层电连接。
20.根据权利要求19所述的半导体器件,其中,所述衬底是硅中介片。
CN201210425190.2A 2012-05-31 2012-10-30 用于中介片的电容器及其制造方法 Active CN103456601B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/485,340 US8878338B2 (en) 2012-05-31 2012-05-31 Capacitor for interposers and methods of manufacture thereof
US13/485,340 2012-05-31

Publications (2)

Publication Number Publication Date
CN103456601A CN103456601A (zh) 2013-12-18
CN103456601B true CN103456601B (zh) 2017-07-28

Family

ID=49669214

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210425190.2A Active CN103456601B (zh) 2012-05-31 2012-10-30 用于中介片的电容器及其制造方法

Country Status (4)

Country Link
US (3) US8878338B2 (zh)
KR (1) KR101502663B1 (zh)
CN (1) CN103456601B (zh)
TW (1) TWI531075B (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6094583B2 (ja) * 2012-06-29 2017-03-15 ソニー株式会社 半導体装置、半導体装置の製造方法、及び、電子機器
JP5936959B2 (ja) * 2012-09-04 2016-06-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9219110B2 (en) 2014-04-10 2015-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. MIM capacitor structure
US9391016B2 (en) * 2014-04-10 2016-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. MIM capacitor structure
US9368392B2 (en) 2014-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. MIM capacitor structure
CN103956326B (zh) * 2014-04-29 2017-01-11 华进半导体封装先导技术研发中心有限公司 无源集成转接板的制作方法及所对应的无源集成转接板
US9425061B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Buffer cap layer to improve MIM structure performance
CN105304615B (zh) * 2014-06-05 2018-03-23 联华电子股份有限公司 半导体结构
US9548349B2 (en) 2014-06-25 2017-01-17 International Business Machines Corporation Semiconductor device with metal extrusion formation
US9443956B2 (en) * 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
TWI559465B (zh) * 2015-08-14 2016-11-21 恆勁科技股份有限公司 封裝基板及其製作方法
US9536939B1 (en) * 2015-10-28 2017-01-03 International Business Machines Corporation High density vertically integrated FEOL MIM capacitor
TWI685980B (zh) * 2017-04-25 2020-02-21 聯華電子股份有限公司 導體-絕緣體-導體電容器及其製造方法
US10741488B2 (en) 2017-09-29 2020-08-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device with integrated capacitor and manufacturing method thereof
US10483344B1 (en) * 2018-04-26 2019-11-19 International Business Machines Corporation Fabrication of a MIM capacitor structure with via etch control with integrated maskless etch tuning layers
US11063111B2 (en) * 2018-09-27 2021-07-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method for the same
KR20200123922A (ko) 2019-04-23 2020-11-02 삼성전자주식회사 캐패시터를 갖는 반도체 소자
US20210305356A1 (en) * 2020-03-26 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for metal insulator metal capacitors
KR20220011828A (ko) 2020-07-21 2022-02-03 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US11935760B2 (en) * 2021-08-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having thermal dissipation structure therein and manufacturing method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102420209A (zh) * 2011-06-17 2012-04-18 上海华力微电子有限公司 一种提高电容密度的结构及方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976928A (en) 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US6407929B1 (en) 2000-06-29 2002-06-18 Intel Corporation Electronic package having embedded capacitors and method of fabrication therefor
US6750113B2 (en) 2001-01-17 2004-06-15 International Business Machines Corporation Metal-insulator-metal capacitor in copper
US20030197215A1 (en) * 2002-02-05 2003-10-23 International Business Machines Corporation A dual stacked metal-insulator-metal capacitor and method for making same
JP2004179419A (ja) * 2002-11-27 2004-06-24 Toshiba Corp 半導体装置及びその製造方法
JP2005150237A (ja) * 2003-11-12 2005-06-09 Toshiba Corp 半導体装置及びその製造方法
KR20050079433A (ko) * 2004-02-05 2005-08-10 삼성전자주식회사 평판형 엠아이엠 커패시터를 갖는 반도체소자 및 그제조방법
JP4707330B2 (ja) * 2004-03-30 2011-06-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7268419B2 (en) 2004-06-17 2007-09-11 Apple Inc. Interposer containing bypass capacitors for reducing voltage noise in an IC device
KR100588373B1 (ko) * 2004-12-30 2006-06-12 매그나칩 반도체 유한회사 반도체 소자의 형성 방법
KR100755365B1 (ko) * 2005-02-15 2007-09-04 삼성전자주식회사 엠. 아이. 엠 커패시터들 및 그 형성방법들
US7435627B2 (en) 2005-08-11 2008-10-14 International Business Machines Corporation Techniques for providing decoupling capacitance
KR100790237B1 (ko) * 2005-12-29 2008-01-02 매그나칩 반도체 유한회사 이미지 센서의 금속배선 형성방법
TWI326908B (en) 2006-09-11 2010-07-01 Ind Tech Res Inst Packaging structure and fabricating method thereof
US20100044089A1 (en) 2007-03-01 2010-02-25 Akinobu Shibuya Interposer integrated with capacitors and method for manufacturing the same
US8476735B2 (en) 2007-05-29 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Programmable semiconductor interposer for electronic package and method of forming
JP4405537B2 (ja) 2007-08-30 2010-01-27 富士通株式会社 キャパシタ内蔵インタポーザ、それを備えた半導体装置及びキャパシタ内蔵インタポーザの製造方法
KR100977924B1 (ko) 2008-10-13 2010-08-24 주식회사 동부하이텍 적층형의 고집적도 mim 커패시터 구조 및 mim 커패시터 제조방법
US8604603B2 (en) 2009-02-20 2013-12-10 The Hong Kong University Of Science And Technology Apparatus having thermal-enhanced and cost-effective 3D IC integration structure with through silicon via interposers
US8143097B2 (en) 2009-09-23 2012-03-27 Stats Chippac, Ltd. Semiconductor device and method of forming open cavity in TSV interposer to contain semiconductor die in WLCSMP
TWI412114B (zh) * 2009-12-31 2013-10-11 Advanced Semiconductor Eng 半導體封裝結構及其製造方法
US8552485B2 (en) * 2011-06-15 2013-10-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having metal-insulator-metal capacitor structure
US8765549B2 (en) 2012-04-27 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor for interposers and methods of manufacture thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102420209A (zh) * 2011-06-17 2012-04-18 上海华力微电子有限公司 一种提高电容密度的结构及方法

Also Published As

Publication number Publication date
US20130320493A1 (en) 2013-12-05
TW201349514A (zh) 2013-12-01
US20150037960A1 (en) 2015-02-05
US20170229534A1 (en) 2017-08-10
CN103456601A (zh) 2013-12-18
KR20130135005A (ko) 2013-12-10
KR101502663B1 (ko) 2015-03-13
TWI531075B (zh) 2016-04-21
US10153338B2 (en) 2018-12-11
US8878338B2 (en) 2014-11-04
US9660016B2 (en) 2017-05-23

Similar Documents

Publication Publication Date Title
CN103456601B (zh) 用于中介片的电容器及其制造方法
JP6310217B2 (ja) Tsv構造を備える集積回路素子及びその製造方法
US9691684B2 (en) Integrated circuit device including through-silicon via structure and decoupling capacitor and method of manufacturing the same
CN110060982B (zh) 用于中介片的电容器及其制造方法
US6876028B1 (en) Metal-insulator-metal capacitor and method of fabrication
US9142490B2 (en) Integrated circuit device having through-silicon-via structure and method of manufacturing the integrated circuit device
TWI389297B (zh) 在半導體裝置中之金屬-絕緣體-金屬(mim)電容及其方法
US20160093687A1 (en) Capacitor structure and fabricating method thereof
TW201351485A (zh) 背側金屬氧化物金屬/金屬絕緣體金屬設備
CN109309085A (zh) 集成电路以及其制作方法
CN103579185A (zh) 半导体器件的金属布线及半导体器件的金属布线形成方法
US7745280B2 (en) Metal-insulator-metal capacitor structure
JP2009010388A (ja) Mimキャパシタ及びその製造方法
US7060193B2 (en) Method to form both high and low-k materials over the same dielectric region, and their application in mixed mode circuits
KR20120069797A (ko) 관통 실리콘 비아 커패시터, 이의 제조 방법 및 이를 포함하는 3차원 집적 회로
US11973021B2 (en) Semiconductor device and method forming the same
KR101044612B1 (ko) 반도체 소자의 제조 방법
KR20090129074A (ko) 반도체 소자의 제조 방법
KR20100079205A (ko) Mim 커패시터를 가지는 반도체 소자 및 방법
KR100955836B1 (ko) 반도체 소자의 커패시터 제조 방법
KR20120078686A (ko) 반도체 패키지 및 이의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant