JP2013210383A - 光学的に未処理の表面特徴の特性を測定する干渉計及び方法 - Google Patents

光学的に未処理の表面特徴の特性を測定する干渉計及び方法 Download PDF

Info

Publication number
JP2013210383A
JP2013210383A JP2013113241A JP2013113241A JP2013210383A JP 2013210383 A JP2013210383 A JP 2013210383A JP 2013113241 A JP2013113241 A JP 2013113241A JP 2013113241 A JP2013113241 A JP 2013113241A JP 2013210383 A JP2013210383 A JP 2013210383A
Authority
JP
Japan
Prior art keywords
interference
test
interferometry
signal
information
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013113241A
Other languages
English (en)
Other versions
JP5654636B2 (ja
Inventor
Groot Peter De
グロート、ピーター デ
J Darwin Michael
ジェイ. ダーウィン、マイケル
Robert Stoner
ストーナー、ロバート
M Gallatin Gregg
エム. ガラティン、グレッグ
Lega Xavier Colonna De
レガ、ザビエル コロナ デ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zygo Corp
Original Assignee
Zygo Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zygo Corp filed Critical Zygo Corp
Publication of JP2013210383A publication Critical patent/JP2013210383A/ja
Application granted granted Critical
Publication of JP5654636B2 publication Critical patent/JP5654636B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02056Passive reduction of errors
    • G01B9/02057Passive reduction of errors by using common path configuration, i.e. reference and object path almost entirely overlapping
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02084Processing in the Fourier or frequency domain when not imaged in the frequency domain
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02088Matching signals with a database
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/50Pupil plane manipulation, e.g. filtering light of certain reflection angles
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Mathematical Physics (AREA)
  • General Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Databases & Information Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Instruments For Measurement Of Length By Optical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

【課題】光学的に未処理の表面特徴の特性を測定する干渉計及び方法を提供する。
【解決手段】試験対象物の異なる表面箇所に対応する複数の干渉分光信号から導出可能な情報と、試験対象物の複数のモデルに対応する情報とを比較することであって、複数のモデルは、試験対象物の1つまたは複数の十分に分解できない横方向の特徴に関連する一連の特性によってパラメータ化されている、比較すること、比較に基づいて十分に分解できない表面特徴についての情報を出力することを含む干渉分光解析法を開示する。
【選択図】図27

Description

本発明は、複雑な表面構造を有する対象物の表面トポグラフィおよび/または他の特性を、走査干渉分光法を用いて測定することに関する。複雑な表面構造としては、たとえば薄膜、材料が異なる別個の構造、または干渉顕微鏡の光学分解能では十分に分解できない別個の構造が挙げられる。このような測定は、フラット・パネル・ディスプレイ・コンポーネント、半導体ウェハ計測学、および、その現場での薄膜および異材料分析の特徴付けに関連する。
干渉分光技術は、対象物の表面プロファイルを測定するために広く用いられている。測定を行なうために、干渉計では、対象とする表面から反射される測定波面と、基準表面から反射される基準波面とを組み合わせて、インターフェログラムを生成する。インターフェログラムにおけるフリンジは、対象とする表面と基準表面との間の空間的な変化を示す。
典型的には、走査型干渉計は、干渉計の基準脚と測定脚との間の光路長差(OPD)を、干渉波面のコヒーレンス長に匹敵するか、または、それよりも長い範囲に亘って走査して、インターフェログラムを測定するために用いられる各カメラ画素に対して走査干渉分光信号を生成する。白色光源を用いるなどすれば、制限されたコヒーレンス長を生成することができる。これは、走査型白色光干渉分光法(SWLI)と言われる。典型的な走査型白色光干渉分光法(SWLI)の信号は、少数のフリンジがゼロ光路差(OPD)位置付近に局所化したものである。信号の特徴は典型的には、正弦波の搬送波変調(「フリンジ」)に釣鐘形のフリンジ・コントラスト包絡線が伴ったものである。SWLI計測学の基礎となる従来の考え方は、局所化したフリンジを用いて、表面プロファイルを測定することである。
SWLI処理技術には、2つの原理的な傾向がある。第1のアプローチは、包絡線のピークまたは中心の位置を見つけることである。その際、この位置は2本ビーム干渉計のゼロ光路差(OPD)に対応すると仮定している。2本ビーム干渉計の一方のビームは、対象物表面から反射する。第2のアプローチは、信号を周波数ドメインに変換して、波長に対する位相変化レートを計算することである。その際、基本的に線形である勾配は、対象物位置に正比例していると仮定している。たとえば、米国特許第1,398,113号明細書(ピータ・デ・グルート(Peter de Groot))を参照されたい。この後者のアプローチは、周波数ドメイン解析(FDA)と言われる。
残念ながら、このような仮定は、薄膜が設けられた試験対象物に適用した場合には機能しなくなることが考えられる。その理由は、反射が、最上面だけでなくその下の膜/基板界面でも起こるからである。最近、米国特許第6,545,763号明細書(S.W.キムおよびG.H.キム)に、このような構造に対処するための方法が開示された。この方法では、薄膜構造に対するSWLI信号の周波数ドメイン位相プロファイルを、種々の膜厚および表面高さに対して推定された周波数ドメイン位相プロファイルに、適合させる。正確な膜厚および表面高さが、最適化を同時に行なうことによって決定された。
パターン化された半導体ウェハ等の複雑な表面構造は、数ミリメートルから数十ナノメートルのサイズといった様々なサイズの異なる材料の特徴よりなる。
現在、表面トポグラフィの定量測定を行なうことは、特に半導体業界を含む様々な業界においてかなり関心が持たれている。典型的なチップ特徴のサイズが小さいために、これらの測定を行なうために使用される機器は通常、チップ表面に平行および垂直の両方において高い空間分解能を有していなければならない。エンジニアおよび科学者は、表面トポグラフィ測定システムを用いて、プロセス制御を行ない、また製造の過程で生じる欠陥、特にエッチング、研磨、クリーニング、およびパターニングなどのプロセスの結果として生じる欠陥を検出する。
半導体業界では、パターンやトポグラフィ情報を取得するためにトップダウン限界寸法(CD)走査型電子顕微鏡(SEM)および原子間力顕微鏡(AFM)等の非光計測ツールが普及している。これらの技法は、共に必要な水平方向の解像度を有するが、非常に遅いためウェハの広い領域に亘ってデータを収集することに相当量の時間を必要とするといった欠点がある。これは特にAFMで見られる。トップダウンCD SEMは、プログラミング可能なため一組のウェハの特定の領域からデータを自動的に収集することができるが、この特徴を有したとしても完全なウェハ・データを収集するに必要な時間は非常に長い。
共焦点、干渉、または、勾配センサ等の従来の光学表面プロファイラは、上述の問題の幾つかを克服するが、表面特徴が、正確に分解されるには非常に小さい、非常に密な間隔にある、あるいは、その両方であり、不正確な表面高さの変化を結果として生ずる場合には一般的に使用不可能である。
従来の干渉顕微鏡は、基準表面と測定表面との間の光路差に干渉位相を直接関連付けることで表面プロファイルを測定している。該顕微鏡は、横方向の解像度が光源の照明の約一つの波長に典型的には制限されている。
表面プロファイルを測定するプローブ型顕微鏡、レーザー・レーダ、および、垂直走査型干渉計としても公知の走査型白色光干渉顕微鏡は、白色光(より一般的には広帯域)照明の制限されたコヒーレンスを利用して個々の表面特徴、粗い表面構造、および、狭いラインの表面プロファイリングを補助する。該顕微鏡は、横方向の解像度が光源の照明の約一つの波長に典型的には制限されている。幾つかのこれらシステムは、膜の厚さを測定するよう配置されていてもよい。
スキャタロメータは、散乱光または回折光の分布を、公称上の構造からの散乱光または回折光の予め算出されたライブラリにマッチングさせることで表面特性を決定する。スキャタロメータは、干渉計とは異なり、基準に対する表面プロファイルを直接測定しない。スキャタロメータは、限定された2D構造の組を用いてのみ一般的に動作する。
共焦点顕微鏡は、限定された焦点深度を用いて垂直方向に対象物を区分化して、表面プロファイル等を決定する。
ノマルスキー顕微鏡および他の差動技法は、互いと比較させることで表面高さにおける差を測定する。
エリプソメータは、高入射角の偏光光およびフレネル反射係数を用いて対象物の薄膜および異なる材料構造を測定する。一般的に、関心特徴は光源の波長と比べて大きく、エリプソメータは表面プロファイル情報を提供しない。
発明者は、走査干渉分光信号の中に大量の情報が存在することを見出した。この情報は、従来の処理においてはほとんど無視されているものである。複雑な表面構造の場合、たとえば薄膜や十分に分解できない表面特徴(即ち、干渉顕微鏡の空間分解能よりも小さい横方向の表面特徴)の場合だと、従来の処理技術では性能が低下する恐れがある。従来の技術は、フリンジ・コントラスト包絡線におけるピーク箇所を特定すること、または周波数ドメイン位相プロファイルに対する勾配を計算することに基づいている。しかし、本明細書で開示する新規な処理技術によれば、表面高さ情報および/またはその複雑な表面構造についての情報を、抽出することができる。
たとえば、表面高さ情報が、フリンジ・コントラスト包絡線におけるピークに直接関係していると仮定しない場合、本発明のいくつかの実施形態においては、表面高さが変化することによって、基準走査位置に対する走査干渉分光信号が変化し、そうでない場合には、走査干渉分光信号の形状は保たれる。特に、複雑な表面構造を特徴付ける際には、走査干渉分光信号の形状が有用である。その理由は、信号の形状は、表面高さには無関係だからである。同様に、周波数ドメインでは、表面高さが変化することにより周波数ドメイン位相プロファイルに線形項が導入されることを、いくつかの実施形態において仮定している。これは、たとえ周波数ドメイン・プロファイルそれ自体が線形ではない場合にも、仮定される。しかし表面高さが変化しても、周波数ドメイン振幅プロファイルは変わらない。したがって、複雑な表面構造を特徴付ける際には、周波数ドメイン振幅プロファイルが特に有用である。
複雑な表面構造が特徴付けられれば、表面高さを効率的に決定することができる。たとえば、走査干渉分光信号と、複雑な表面構造に対応する形状を有するモデル信号との間の相互相関によって、表面高さに対応する走査座標においてピークを生成することができる。同様に、周波数ドメインにおいては、複雑な表面構造に起因する位相の影響を、周波数ドメイン位相プロファイルから差し引くことができる。また表面高さを、従来のFDA解析を用いて抽出することができる。
複雑な表面構造の例としては、以下のものが挙げられる。単純な薄膜(この場合、たとえば、対象とする可変パラメータは、膜厚、膜の屈折率、基板の屈折率、またはそれらの何らかの組み合わせであってもよい);多層の薄膜;回折するか、その他の場合には複雑な干渉効果を生成する鋭いエッジおよび表面特徴;未処理の表面荒さ;未処理の表面特徴、たとえばその他の点では滑らかな表面上のサブ波長幅溝;異なる材料(たとえば、表面に薄膜および固体金属の組み合わせが含まれていてもよい。この場合、ライブラリは、両方の表面構造タイプを含み、薄膜または固体金属を、対応する周波数ドメイン・スペクトルに対するマッチングによって自動的に特定してもよい);光学活性たとえば蛍光性を生じる表面構造;表面の分光学特性、たとえば色彩および波長依存性の反射率;表面の偏光依存性の特性;干渉信号の乱れを招く表面または変形可能な表面特徴の歪み、振動、または運動。
いくつかの実施形態においては、走査干渉分光信号を生成するために使用される光の制限されたコヒーレンス長は、白色光源、より一般的には広帯域の光源に基づいている。他の実施形態においては、光源は単色であってもよく、制限されたコヒーレンス長は、高い開口数(NA)を用いて試験対象物に光を送り、および/または試験対象物から光を受け取ることによって生成することができる。NAが高いことによって、光線は、ある角度範囲に亘って試験表面に接触し、OPDを走査したときに記録信号内に種々の空間周波数成分が生成される。さらなる実施形態においては、制限されたコヒーレンスを両方の効果の組み合わせから生成することができる。
制限されたコヒーレンス長の原因も、走査干渉分光信号内に情報が存在することに対する物理的な基礎である。すなわち、走査干渉分光信号は、複雑な表面構造についての情報を含む。その理由は、この信号は、光線が、多くの異なる波長および/または多くの異なる角度で試験表面に接触することによって生成されるからである。
本明細書で説明する処理技術では、試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報(走査干渉分光信号それ自体を含む)を、試験対象物の複数のモデルに対応する情報と比較する。複数のモデルは、試験対象物に対する一連の特性によってパラメータ化される。たとえば、試験対象物を、薄膜としてモデリングすることができ、一連の特性を、薄膜の厚みに対する一連の値とすることができる。比較されている情報は、たとえば、周波数ドメイン位相プロファイルについての情報を含んでもよく、さらには、走査干渉分光データの形状についての情報および/または周波数ドメイン振幅プロファイルについての情報を含んでもよい。さらに、比較を、第1の表面箇所における表面高さではなく、複雑な表面構造に絞るために、複数のモデルはすべて、試験対象物の第1の表面箇所における固定された表面高さに対応することができる。比較それ自体は、実際の走査干渉分光信号からの情報と各モデルからの情報との間の類似性を示すメリット関数の計算に基づくことができる。たとえば、メリット関数は、走査干渉分光データから導出可能な情報と一連の特性によってパラメータ化された関数との間の適合性を示し得る。
さらに、いくつかの実施形態においては、一連の特性は、第1の箇所とは異なる第2の箇所における試験対象物の特性に対応する。このような試験対象物としては、たとえば、第1の表面箇所に対する界面信号に影響する回折性の表面構造が挙げられる。そのため、複雑な表面構造を、走査干渉分光信号に対応する第1の表面箇所における表面高さ以外の何々である、と言う場合が多いが、複雑な表面構造は、走査干渉分光信号に対応する第1の表面箇所から離間して配置された表面高さ特徴に対応していてもよい。
更なる実施形態では、走査干渉分光信号から導出可能な情報は、第1の箇所における相対的な表面高さに対する推定値である。この情報は、実際の表面高さプロファイルの異なる値について干渉分光システムが(複数の表面箇所における十分に分解できない特徴の影響を考慮して)測定した場合の第1の表面箇所における見かけの高さを計算するモデルと比較される。実際の測定と最も類似する見かけの高さを生成したモデルで使用された実際の表面高さを選択する等して、比較に基づいて表面高さの測定の精度を高める。
より一般的には、情報は、複数の表面箇所(該箇所に存在する表面特徴の少なくとも幾らかが十分に分解できないとして)における走査干渉分光信号から導出さられ、該情報は、関心のある十分に分解できない特徴をパラメータ化する異なる値の関数として情報がどのように見えるかを計算するモデルと比較される。たとえば、導出可能な情報は、従来の走査干渉分光アルゴリズムを用いて決定された、観察表面プロファイルに対応してもよい。関心のある十分に分解できない特徴は、この表面プロファイルでは不明瞭であるが観察された表面プロファイルに影響し、十分に分解できない特徴についての情報は様々なモデルと比較されることで観察された表面プロファイルから抽出され得る。
たとえば、十分に分解できない格子構造の個々のラインは、複数の表面箇所における干渉分光信号の従来の処理から観察された表面プロファイルでは明確にならない。それにも関わらず、発明者は、格子構造の実際の変調深さが、従来の処理された走査干渉分光信号から得られた表面プロファイルで明確な格子構造の集まりの表面高さと相関され得ることを見出した。
格子構造の集まりの観察された表面高さは、試験表面の「見かけの」特性の例である。つまり、試験表面が十分に分解できない特徴を含むために試験表面が測定機器にどのようにして見えるかに関わる特性の例である。このような見かけの特性は、試験表面の十分に分解できない特徴を特徴付ける異なる値によってパラメータ化される機器の期待応答の各種モデルと比較される。比較は、異なる値の内のどの値が観察された応答に最も類似する期待応答を得るかを示し、走査干渉分光信号から得られた見かけの特性に基づく試験対象物の十分に分解できない特徴についての情報を提供する。
本願に記載する測定技術や後の解析アプローチは、幾つかの半導体処理段階に適用可能である。光学的近接効果補正及び/または位相シフト・マスクの使用により、パターン化された対象物の寸法は光リソグラフィ・ツールにより使用される波長より小さくてもよくなる。たとえば、193nmのリソグラフィ・ツールは、今日の高容量製造施設において日常的に65nmの対象物をパターニングし、エッチング・バイアス段階やハード・マスク構造の使用により下限が45nm以下に拡大される。サブ波長特徴を印刷する能力に伴って、これら特徴や関連するエッチングおよび堆積段階をモニタリングする必要性が生じる。本開示の実施形態により、ネスト・パターン化された構造を測定することが可能になり、ネスト化とは周期性が構造の横方向の寸法に匹敵する公知の形状の繰り返し表面構造として定義される。特に、ネスト化構造は、アイソレーション・パターングおよびエッチング、ポリシリコン・ゲート電極パターニングおよびエッチング、ソース/ドレイン・エッチングおよび堆積、並びに複数のフロント・エンド・メタライゼーション・パターニング、エッチングおよび研磨処理の処理段階をモニタリングするために使用され得る。更なる用途としては、ある膜/基板上の抵抗を測定することが挙げられる。露光および焦点曲線は、本発明の装置および方法を用いて測定可能なライン幅や深さの変化によって特徴付けられる。
半導体チップのこのようなイン・プロセス計測測定の一例として、ウェハ上の誘電体層を化学的機械的研磨(CMP)する間に、走査干渉分光測定を、非接触の表面トポグラフィ測定用半導体ウェハに対して用いることが挙げられる。CMPは、誘電体層に対して滑らかな表面を形成するために使用される。滑らかな表面は、精密な光リソグラフィにとって好適である。干渉分光トポグラフィ法の結果に基づいて、CMPに対するプロセス条件(たとえば、パッド圧力、研磨用スラリ組成など)を調整して、表面不均一性を許容限界内に保つことができる。
次に、本発明の種々の態様および特徴を要約する。
一般的に、一態様では、(i)試験対象物の異なる表面箇所に対応する複数の走査干渉分光信号から導出可能な情報と前験対象物の複数のモデルに対応する情報とを比較すること、ここで、複数のモデルは、試験対象物の1つまたは複数の十分に分解できない横方向の特徴に関連する一連の特性によってパラメータ化されており、(ii)比較に基づいて十分に分解できない表面特徴についての情報を出力することを備える方法が開示される。
本方法の実施形態は、以下の特徴のうちのどれを含んでいてもよい。
試験対象物の1つまたは複数の十分に分解できない横方向の特徴は、試験対象物上の十分に分解できないパターン化された横方向の構造に対するピッチ、変調深さ、および、素子幅の1つまたは複数に対応してもよい。たとえば、一連の特性は、変調深さに対する異なる値を含んでもよい。更に、複数のモデルは、複数の干渉分光信号から導出可能な情報に対する可能な結果を、変調深さに対する異なる値の対応する一つの値にマッピングする相関関係によって表され、比較することは、変調深さに対する異なる値の内のどの値が複数の干渉分光信号から導出可能な情報に最良に対応するかを判断することを含んでもよい。
変調深さはバイアス・オフセット値に対して表されてもよい。
少なくとも幾らかの干渉分光信号は、偏光がパターン化された素子の横方向の構造に対して方向付けられる試験対象物の照明から得られてもよい。たとえば、偏光は、パターン化された横方向の構造を形成する個々の素子の長さに対して直交するよう位置合わせされる直線偏光でもよい(本明細書ではx偏光と称される)。
試験対象物の1つまたは複数の十分に分解できない横方向の特徴は、験対象物のステップの高さおよび位置の1つまたは複数に対応してもよい。たとえば、一連の特性は、ステップ高さの高さまたは位置に対する異なる値を含んでもよい。
複数の干渉分光信号から導出可能な情報は、複数の干渉分光信号から導出される試験対象物に対する高さプロファイルから抽出される1つまたは複数の値を含んでもよく、十分に分解できない表面特徴は抽出された高さプロファイルでは不明瞭である、あるいは、現れない。たとえば、試験対象物は、個々の素子が抽出された高さプロファイルでは不明瞭な、あるいは、現れないパターン化された横方向の構造を含んでもよい。
複数の干渉分光信号から導出可能な情報は、高さプロファイルから抽出されるパターン化された横方向の構造における十分に分解できない素子の集まりに対する高さの値でもよい。十分に分解できない表面特徴についての情報は、パターン化された横方向の構造に対する変調深さおよび素子幅の1つまたは複数に対応してもよい。
干渉分光信号に対する異なる表面箇所は、抽出された高さプロファイルに対する基準高さ値を提供する試験対象物の基準部分を含んでもよい。たとえば、試験対象物は、エッチングされてパターン化された構造が形成されてもよく、試験対象物の基準部分はエッチングされないことが既知である試験対象物の一部でもよい。
高さプロファイルが決定される少なくとも幾らかの干渉分光信号は、偏光がパターン化された素子の横方向の構造に対して方向付けられる試験対象物の照明から得られてもよい。たとえば、偏光は、パターン化された横方向の構造を形成する個々の素子の長さに対して直交するよう位置合わせされる直線偏光(x偏光)でもよい。
高さプロファイルは、干渉分光信号の周波数ドメイン解析から得られてもよい。代替的には、高さプロファイルは各干渉分光信号におけるコヒーレンス・ピークの相対的な位置から得られてもよい。高さプロファイルは、他の方法を用いて得られてもよい。
試験対象物の十分に分解できない横方向の特徴は、400nm未満、200nm未満、更に100nm未満の特徴のサイズを含んでもよい。
モデルは、厳密結合波解析(RCWA)を用いて計算的に生成されてもよい。
モデルは、特性が既知である試験対象物から実験的に生成されてもよい。
十分に分解できない表面特徴についての情報は、ユーザに出力されてもよい。
十分に分解できない表面特徴についての情報は、半導体製造のために自動処理制御システムに出力されてもよい。
干渉分光信号は走査干渉分光信号でもよい。たとえば、試験対象物から発生する試験光を結像して検出器上で基準光と干渉させ、試験光の干渉部分と基準光の干渉部分との間における共通の光源から検出器までの光路長差を変えることとによって走査干渉分光信号が生成され、試験光および基準光が共通の光源から引き出され、走査干渉分光信号は、光路長差を変えたときに前記検出器によって測定される干渉強度に対応する。本方法は、走査干渉分光信号を生成することをさらに含む。
このような走査干渉分光信号は、低コヒーレンス走査干渉分光信号でもよい。たとえば、試験光および基準光は、試験光および基準光に対する中心周波数の5%よりも大きいスペクトルの帯域幅を有してもよく、光路長差は該帯域幅に対応するスペクトルのコヒーレンス長よりも長い範囲に亘って変化して、走査干渉分光信号が生成される。低コヒーレンスは、試験光を試験対象物上に送って検出器上に結像するための光学部品を用いて、試験光に対する開口数を0.8よりも大きな値に規定することで得られてもよい。コヒーレンス長を減少するためには、共通の光源は空間的に拡張された光源でもよい。
別の関連する態様では、コンピュータ内のプロセッサに、試験対象物の異なる表面箇所に対応する複数の干渉分光信号から導出可能な情報と試験対象物の複数のモデルに対応する情報とを比較させ、ここで、複数のモデルは、試験対象物の1つまたは複数の十分に分解できない横方向の特徴に関連する一連の特性によってパラメータ化されており、比較に基づいて十分に分解できない表面特徴についての情報を出力させるプログラムを有するコンピュータ読取可能な媒体を備える装置が開示される。
別の関連する態様では、(i)試験対象物の異なる表面箇所に対応する複数の干渉分光信号を生成するように構成された干渉分光システムと、(ii)干渉分光システムに接続され、干渉分光信号を受信する電子プロセッサであって、複数の干渉分光信号から導出可能な情報と、試験対象物の複数のモデルに対応する情報とを比較し、ここで、複数のモデルは、試験対象物の1つまたは複数の十分に分解できない横方向の特徴に関連する一連の特性によってパラメータ化されており、比較に基づいて十分に分解できない表面特徴についての情報を出力するようにプログラミングされた電子プロセッサとを備える装置が開示される。
これら二つの装置の実施形態は、対応する方法について上述した特徴のうちのどれを含んでいてもよい。
別の態様では、試験対象物上の格子構造の1つまたは複数の空間特性を決定する方法であって、格子構造が400nm未満の幅を有するライン素子を備えるためライン素子が干渉顕微鏡によって完全に分解できない、方法が開示される。本方法は、(i)干渉顕微鏡によって測定された試験対象物の異なる箇所における干渉信号から少なくとも幾らかの格子ラインの集まりに対する見かけの高さを決定すること、(ii)格子構造の特性への異なる可能な値に対する干渉顕微鏡の期待応答を提供すること、期待応答は格子構造の十分に分解できないライン素子からの影響を含み、(iii)見かけの高さを、異なる可能な値に対する期待応答と比較して格子構造の空間特性についての情報を決定すること、(iv)格子構造の空間特性についての決定された情報を出力することを含む。
上記特徴に加えて、本方法の実施形態は以下の特徴のうちのどれを含んでいてもよい。
見かけの高さは試験対象物の基準部分を参照して決定されてもよい。
干渉顕微鏡は、見かけの高さを決定する際に個々の格子ライン(x偏光)の長さに直交して偏光する光で格子構造を照明してもよい。
格子構造の空間特性についての決定された情報は格子構造に対する変調深さに対応してもよい。
格子構造は、試験対象物のライン間の部分をエッチングすることで少なくとも部分的に形成される一連の周期的に離間されたラインでもよい。
干渉信号は、試験対象物から発生する試験光を結像して検出器上で基準光と干渉させ、試験光の干渉部分と基準光の干渉部分との間における共通の光源から検出器までの光路長差を変えることとによって生成される走査干渉分光信号でもよく、試験光および基準光が共通の光源から引き出され、各走査干渉分光信号は、光路長差を変えたときに検出器によって測定される干渉強度に対応する。たとえば、光路長差は干渉顕微鏡のコヒーレンス長よりも長い範囲に亘って変えられてもよい。
ある関連する態様では、試験対象物上の格子構造の1つまたは複数の空間特性を決定する装置であって、格子構造が400nm未満の幅を有するライン素子を備えるため、ライン素子が干渉顕微鏡によって完全に分解できない、装置において、コンピュータ内のプロセッサに、1)干渉顕微鏡によって測定された試験対象物の異なる箇所における干渉信号から少なくとも幾らかの格子ラインの集まりに対する見かけの高さを決定させ、2)格子構造の特性への異なる可能な値に対する干渉顕微鏡の期待応答を提供させ、ここで、期待応答は格子構造の十分に分解できないライン素子からの影響を含み、3)見かけの高さを、異なる可能な値に対する期待応答と比較させて格子構造の空間特性についての情報を決定させ、4)格子構造の前記空間特性についての決定された情報を出力させるプログラムを有するコンピュータ読取可能な媒体を備える装置が開示される。
別の関連する態様では、試験対象物上の格子構造の1つまたは複数の空間特性を決定する装置であって、格子構造が400nm未満の幅を有するライン素子を備えるため、ライン素子が干渉顕微鏡によって完全に分解できない、装置において、干渉顕微鏡と、干渉顕微鏡に接続される電子プロセッサであって、1)干渉顕微鏡によって測定された試験対象物の異なる箇所における干渉信号から少なくとも幾らかの格子ラインの集まりに対する見かけの高さを決定し、2)格子構造の特性への異なる可能な値に対する干渉顕微鏡の期待応答を提供し、ここで、期待応答は前記格子構造の十分に分解できないライン素子からの影響を含み、3)見かけの高さを、異なる可能な値に対する期待応答と比較して格子構造の空間特性についての情報を決定し、4)格子構造の空間特性についての決定された情報を出力するようにプログラミングされた電子プロセッサとを備える装置が開示される。
これら二つの装置の実施形態は、対応する方法について上述した特徴のうちのどれを含んでいてもよい。
別の態様では、(i)干渉分光システムによって生成される干渉分光信号から試験表面の1つまたは複数の見かけの特性を決定すること、(ii)干渉分光信号から決定される見かけの特性と、試験表面の1つまたは複数の十分に分解できない特徴への異なる可能な値に対する干渉分光システムの期待応答と比較すること、(ii)比較に基づいて試験表面の1つまたは複数の十分に分解できない特徴についての情報を出力することを備える方法が開示される。
上記特徴に加えて、本方法の実施形態は以下の特徴のうちのどれを含んでいてもよい。
干渉分光システムは走査型干渉分光システムでもよい。
試験表面の見かけの特性は干渉位相、干渉コントラスト、および、表面反射率のいずれかにおける変化に基づいて干渉分光信号から決定されてもよい。
期待応答は、表面高さと表面組成の1つまたは複数における変化について計算されてもよい。
試験表面は変調深さ、周期性、及び、幅のある素子を有するパターン化された構造を有し、期待応答は変調深さ、周期性、および、素子幅の1つまたは複数における変化について計算されてもよい。たとえば、期待応答は変調深さにおける変化について計算されてもよい。
実際の変調深さと、期待応答に対して計算された見かけの変調との対応は、実際の変調深さの第1の範囲に亘る正の相関関係と実際の変調深さの第2の範囲に亘る負の相関関係を含んでもよい。
1つまたは複数の十分に分解できない特徴についての情報は、アイソレーション・パターニングおよびエッチング、ポリシリコン・ゲート電極パターニングおよびエッチング、ソース/ドレイン・エッチングおよび堆積、並びに、メタライゼーション・パターニング、エッチング、および、研磨処理等の半導体処理段階を1つまたは複数モニタリングするために使用されてもよい。
別の関連する態様では、コンピュータ内のプロセッサに、1)干渉分光システムによって生成される干渉分光信号から試験表面の1つまたは複数の見かけの特性を決定させ、2)干渉分光信号から決定される見かけの特性と、試験表面の1つまたは複数の十分に分解できない特徴への異なる可能な値に対する干渉分光システムの期待応答とを比較させ、3)比較に基づいて試験表面の1つまたは複数の十分に分解できない特徴についての情報を出力させるプログラムを有するコンピュータ読取可能な媒体を備える装置が開示される。
別の関連する態様では、試験対象物の異なる表面箇所に対応する複数の干渉分光信号を生成するように構成された干渉分光システムと、干渉分光システムに接続され、干渉分光信号を受信する電子プロセッサであって、1)干渉分光信号から試験表面の1つまたは複数の見かけの特性を決定し、2)干渉分光信号から決定される見かけの特性と、試験表面の1つまたは複数の十分に分解できない特徴への異なる可能な値に対する干渉分光システムの期待応答とを比較し、3)比較に基づいて試験表面の前記1つまたは複数の十分に分解できない特徴についての情報を出力するようにプログラミングされた電子プロセッサとを備える装置が開示される。
これら二つの装置の実施形態は、対応する方法について上述した特徴のうちのどれを含んでいてもよい。
別の態様では、(i)干渉分光システムによって生成された干渉分光信号(たとえば、操作干渉分光信号)から試験表面の1つまたは複数の見かけの特性を決定すること、(ii)試験表面(たとえば、表面高さおよび表面組成の1つまたは複数における変化)の特性への異なる可能な値に対する干渉顕微鏡の期待応答を提供すること、ここで、期待応答は試験表面の十分に分解できない特徴からの影響を含み、(iii)干渉分光信号から決定される見かけの特性と、特徴の異なる値に対する期待応答と比較して、決定された特性の精度を向上することを備える方法が開示される。
本方法の実施形態は以下の特徴のうちのどれを含んでいてもよい。
試験表面の見かけの特性は干渉位相、干渉コントラスト、および、表面反射率のいずれかにおける変化に基づいて干渉分光信号から決定されてもよい。
期待応答は、表面高さと表面組成の1つまたは複数における変化について計算されてもよい。たとえば、試験表面は、変調深さ、周期性、及び、幅のある素子を有するパターン化された構造(たとえば、格子)を有し、期待応答は変調深さ、周期性、および、素子幅の1つまたは複数における変化について計算されてもよい。
干渉分光信号と関連する実際の特性と、モデルを生成するために使用される特性の実際の値との対応は、実際の変調深さの第1の範囲に亘る正の相関関係と実際の変調深さの第2の範囲に亘る負の相関関係を含んでもよい。たとえば、具体的な実施形態では、期待応答は、変調深さにおける変化について計算されてもよい。このような場合には、実際の変調深さと、期待応答に対して計算された見かけの変調との対応は、実際の変調深さの第1の範囲に亘る正の相関関係と実際の変調深さの第2の範囲に亘る負の相関関係を含んでもよい。
更なる実施形態では、十分に分解できない特徴は、パターン化された構造におけるような一連の素子ではなく、むしろ単一のトレンチ、ステップ、または、突起物でもよい。このような例では、実際の信号からの情報とモデル用の情報は、特徴の深さ(ステップまたは突起物の場合には、高さ)および箇所または幅のどれに対応してもよい。
本方法は、精度が向上した、試験表面の測定された特性を用いて半導体処理段階をモニタリングすることを更に含む。たとえば、処理段階は、アイソレーション・パターニングおよびエッチング、ポリシリコン・ゲート電極パターニングおよびエッチング、ソース/ドレイン・エッチングおよび堆積、並びに、メタライゼーション・パターニング、エッチング、および、研磨処理等のどれを含んでもよい。
別の態様では、コンピュータ内のプロセッサに、干渉分光システムによって生成された干渉分光信号から決定された試験表面の見かけの特性と、試験表面の特性への異なる可能な値に対する干渉顕微鏡の期待応答とを比較させ、期待応答は試験表面の十分に分解できない特徴からの影響を含み、比較に基づいて決定された特性の精度を向上させるプログラムを有するコンピュータ読取可能な媒体を備える、方法が開示される。
更に別の態様では、干渉分光を生成するように構成された干渉分光システムと、干渉分光システムに接続され、干渉分光信号を受信する電子プロセッサであって、干渉分光システムによって生成された干渉分光信号から決定された試験表面の見かけの特性と、試験表面の特性への異なる可能な値に対する干渉顕微鏡の期待応答とを比較し、ここで、期待応答は試験表面の十分に分解できない特徴からの影響を含み、比較に基づいて決定された特性の精度を向上するようにプログラミングされた電子プロセッサとを備える、装置が開示される。
このような装置の実施形態は、対応する方法と共に上述したいずれかの特徴を含んでもよい。一般的に、別の態様では、本発明の特徴は、試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と試験対象物の複数のモデルに対応する情報とを比較することを含む方法であって、複数のモデルは、試験対象物に対する一連の特性によってパラメータ化される方法である。
本発明の実施形態は、以下の特徴のうちのどれを含んでいてもよい。
本方法はさらに、比較に基づいて試験対象物に対する正確な特性を決定することを含んでいてもよい。
本方法はさらに、比較に基づいて第1の表面箇所に対する相対的な表面高さを決定することを含んでいてもよい。相対的な表面高さを決定することは、どのモデルが、試験対象物に対する特性のうちの正確な特性に対応するかを比較に基づいて決定すること、正確な特性に対応するモデルを用いて相対的な表面高さを計算することを含んでもよい。
たとえば、正確な特性に対応するモデルを用いることは、走査干渉分光信号からのデータを補正して、正確な特性から生じる影響を減らすことを含んでもよい。データを補正することは、正確な特性から生じる位相の影響を、試験対象物に対する走査干渉分光信号の変換分の位相成分から取り出すことを含み、正確な特性に対応するモデルを用いることはさらに、正確な特性から生じる位相の影響を取り除いた後に、変換分の位相成分から相対的な表面高さを計算することを含んでもよい。
他の例では、正確な特性に対応するモデルを用いて相対的な表面高さを計算することは、試験対象物に対する情報と正確な特性に対応するモデルに対する情報とを比較するために使用される相関関数におけるピークの位置を決定することを含んでもよい。
本方法はさらに、さらなる表面箇所に対する走査干渉分光信号から導出可能な情報と複数のモデルに対応する情報とを比較することを含んでいてもよい。また本方法はさらに、比較に基づいて試験対象物に対する表面高さプロファイルを決定することを含んでいてもよい。
比較することは、走査干渉分光信号から導出可能な情報と各モデルに対応する情報との間の類似性を示す1つまたは複数のメリット関数を計算することを含んでもよい。
比較することは、走査干渉分光信号から導出可能な情報を、モデルに対応する情報に対する表現にフィッティングすることを含んでもよい。
複数のモデルに対応する情報は、試験対象物の各モデルに対応する走査干渉分光信号の変換分(たとえばフーリエ変換分)の少なくとも1つの振幅成分についての情報を含んでもよい。同様に、走査干渉分光信号から導出可能な情報は、試験対象物に対する走査干渉分光信号の変換分の少なくとも1つの振幅成分についての情報を含んでもよい。
比較することは、試験対象物に対する少なくとも1つの振幅成分の相対的強さと、各モデルに対する少なくとも1つの振幅成分の相対的強さとを比較することを含んでもよい。
複数のモデルに対応する情報は、変換分に対する座標の関数であってもよい。たとえば、複数のモデルに対応する情報は、各モデルに対する変換分の振幅プロファイルを含んでもよい。さらに、比較することは、試験対象物に対する走査干渉分光信号の変換分の振幅プロファイルと、モデルに対する各振幅プロファイルとを比較することを含んでもよい。
比較することはさらに、試験対象物に対する走査干渉分光信号の変換分の位相プロファイルにおける情報と、各モデルに対する変換分の位相プロファイルにおける情報とを比較することを含んでもよい。たとえば、位相プロファイルにおける情報は、変換座標に関する位相プロファイルの非線形性についての情報および/または位相ずれ値についての情報を含んでもよい。
走査干渉分光信号から導出可能でおよび比較されている情報は、数であってもよい。あるいは、走査干渉分光信号から導出可能でおよび比較されている情報は、関数であってもよい。たとえば関数は、走査位置の関数であってもよいし、空間周波数の関数であってもよい。
試験対象物に対する情報を、試験対象物に対する走査干渉分光信号を空間周波数ドメインに変換(たとえばフーリエ変換)することにより得てもよい。試験対象物に対する情報は、変換分の振幅プロファイルおよび/または変換分の位相プロファイルについての情報を含んでもよい。
試験対象物に対する情報は、試験対象物の第1の箇所における走査干渉分光信号の形状に関していてもよい。たとえば、試験対象物に対する情報は、走査干渉分光信号の形状におけるフリンジ・コントラストの振幅に関していてもよい。また走査干渉分光信号の形状におけるゼロ交差間の相対間隔に関していてもよい。また走査位置の関数として表現し、関数を走査干渉分光信号の形状から得てもよい。
比較することは、試験対象物に対する情報と各モデルに対する情報との間の相関関数(たとえば複素相関関数)を計算することを含んでもよい。比較することは、各相関関数における1つまたは複数のピーク値を決定することをさらに含んでもよい。そして本方法はさらに、最大のピーク値に対応するモデルのパラメータ化に基づいて試験対象物に対する正確な特性を決定することを含んでいてもよい。代替的に、または加えて、本方法はさらに、相関関数における少なくとも1つのピーク値に対する座標に基づいて試験対象物の第1の表面箇所における相対的な表面高さを決定することを含んでいてもよい。
複数のモデルは、試験対象物の第1の箇所における固定された表面高さに対応していてもよい。
一連の特性は、試験対象物の少なくとも1つの物理パラメータに対する一連の値を含んでもよい。たとえば、試験対象物は、厚みを有する薄膜層を含み、物理パラメータは、第1の箇所における薄膜の厚みであってもよい。
一連の特性は、第1の表面箇所とは異なる第2の表面箇所における試験対象物の一連の特性を含んでもよい。たとえば、試験対象物は、光を回折して第1の表面箇所に対する走査干渉分光信号に影響する第2の表面箇所における構造を含んでもよい。ある例では、第2の表面箇所における一連の特性は、第2の箇所におけるステップ高さに対する振幅と第2の箇所に対する位置との置換を含んでもよい。他の例では、第2の表面箇所における一連の特性は、格子に対する変調深さと格子のオフセット位置との置換を含み、格子は第2の箇所に亘って延びていてもよい。
更に、干渉分光信号から導出可能な情報は、第1の表面箇所の相対的な表面高さに対する推定値に対応してもよい。たとえば、第1の表面箇所の相対的な推定値は干渉分光信号の周波数ドメイン解析に基づいてもよく、または、第1の表面箇所の相対的な表面高さの推定値は干渉分光信号におけるコヒーレンス・ピークの相対的な位置に基づいてもよい。
本方法は、第2の表面箇所を含む、試験対象物の1つまたは複数の更なる表面箇所に対する干渉分光信号から導出可能な情報と、試験対象物の複数のモデルに対応する情報とを比較することを更に含む。
第1および更なる表面箇所に対する干渉分光信号から導出可能な情報は、第1および更なる表面箇所を含む表面箇所の範囲に亘る試験対象物に対する表面高さプロファイルに対応してもよい。さらに、複数のモデルに対応する情報は、試験対象物の複数のモデルそれぞれに対して干渉分光信号の従来の処理を用いた場合に、干渉分光信号を生成するために使用された干渉分光システムによって生成されると期待される表面高さプロファイルを含んでもよく、期待される表面高さプロファイルは第1の表面箇所に対する干渉信号を生ずる十分に分解できない特徴からの影響を含んで、第2の表面箇所における試験対象物に関連する影響を含む。たとえば、試験対象物は、光を回折して第1の表面箇所に対する走査干渉分光信号に影響する第2の表面箇所における構造を含んでもよい。
ある例では、試験対象物は、第1および更なる表面箇所に亘って延在するパターン化された構造を含み、第1および更なる表面箇所に対する走査干渉分光信号から導出可能な情報は、パターン化された構造に対する変調深さ、パターン化された構造に対する周期性、および、パターン化された構造の各素子に対する幅それぞれの少なくとも一つに対する推定値を含んでもよい。
同様に、パターン化された構造の例として、第2の表面箇所における一連の特性は、パターン化された構造に対する変調深さ、パターン化された構造に対する周期性、および、第1の表面箇所におけるパターン化された構造の各素子に対する幅それぞれの少なくとも一つに対する異なる値を含んでもよい。
更なる実施形態では、十分に分解できない特徴は、パターン化された構造におけるような一連の素子ではなく、むしろ単一のトレンチ、ステップ、または、突起物でもよい。このような例では、実際の信号からの情報とモデル用の情報は、特徴の深さ(ステップまたは突起物の場合には、高さ)および箇所または幅のどれに対応してもよい。
干渉分光信号から導出可能な情報は、第1の表面箇所の相対的な表面高さに対する推定値に対応してもよく、第2の表面箇所における一連の特性は第2の表面箇所における相対的な表面高さに対する一連の値に対応してもよい。
たとえば、試験対象物は、第1および第2の表面箇所に亘るパターン化された構造を含んでもよく、第1の表面箇所の相対的な表面高さに対する推定値はパターン化された構造の変調深さに対する推定値に対応し、第2の表面箇所における相対的な表面高さに対する一連の値はパターン化された構造に対する変調深さに対応する。複数のモデルは、変調深さに対する異なる推定値を対応する一つの値に相関させて、変調深さに対する推定を改善してもよい。
幾つかの実施形態では、複数のモデルは、干渉分光信号から導出可能な情報を複数のモデルに対する情報に対する対応する値に相関させ、該対応は正の相関関係から負の相関関係に変化する。
たとえば、パターン化された構造の場合には、変調深さに対する異なる推定値とモデルからの変調深さに対する対応する値との相関関係は、正の相関関係から負の相関関係に変化する。
本方法は、比較に基づいて、第1の表面箇所に対する相対的な表面高さ等、試験対象物に対する正確な特性を決定することを含んでいてもよい。たとえば、相対的な表面高さを決定することは、どのモデルが、試験対象物に対する特性のうちの正確な特性に対応するかを比較に基づいて決定すること、正確な特性に対応するモデルを用いて相対的な表面高さを決定することを含んでもよい。一連の特性は、試験対象物に対する一連の表面材料であってもよい。
一連の特性は、試験対象物に対する一連の表面層構成であってもよい。
走査干渉分光信号が、走査干渉分光システムによって生成されてもよく、また比較することは、走査干渉分光システムから生じる走査干渉分光信号に対する系統的な影響を明確にすることを含んでいてもよい。たとえば、系統的な影響は、走査干渉分光システムのコンポーネントからの反射時の位相変化の分散についての情報を含んでもよい。さらに本方法は、さらなる表面箇所に対する走査干渉分光信号から導出可能な情報と複数のモデルに対応する情報とを比較することを含んでいてもよい。この場合、系統的な影響を、表面箇所の複数に対して解析してもよい。本方法はさらに、特性が既知の他の試験対象物を用いて、走査干渉分光システムの系統的な影響を校正することを含んでいてもよい。
走査干渉分光信号の生成を、試験対象物から現れる試験光を結像して検出器上で基準光と干渉させることと、試験光の干渉部分と基準光の干渉部分との間における共通の光源から検出器までの光路長差を変えることとによって行ない、試験光および基準光を共通の光源(たとえば、空間的に拡張された光源)から引き出して、走査干渉分光信号は、光路長差を変えたときに検出器によって測定される干渉強度に対応していてもよい。
試験光および基準光は、スペクトルの帯域幅が、試験光および基準光に対する中心周波数の5%より大きくてもよい。
共通の光源がスペクトルのコヒーレンス長を有し、光路長差をスペクトルのコヒーレンス長よりも長い範囲に亘って変えて、走査干渉分光信号を生成してもよい。
試験光を試験対象物上に送って検出器上に結像するために使用される光学部品によって、試験光に対する開口数が、0.8よりも大きな値に規定されてもよい。
本方法はさらに、走査干渉分光信号を生成することを含んでいてもよい。
他の態様においては、本発明の特徴は、コンピュータ内のプロセッサに、試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と試験対象物の複数のモデルに対応する情報とを比較させるプログラムを有するコンピュータ読取可能な媒体を含む装置であって、複数のモデルは、試験対象物に対する一連の特性によってパラメータ化される装置である。
本装置は、本方法と関連して前述した特徴のどれを含んでもよい。
他の態様においては、本発明の特徴は、走査干渉分光信号を生成するように構成された走査干渉分光システムと、走査干渉分光システムに結合されて走査干渉分光信号を受け取る電子プロセッサであって、試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と、試験対象物の複数のモデルに対応する情報とを比較するようにプログラムされた電子プロセッサと、を含む装置であって、複数のモデルは、試験対象物に対する一連の特性によってパラメータ化される装置である。
本装置は、本方法と関連して前述した特徴のどれを含んでもよい。
一般的に、他の態様においては、本発明の特徴は、試験対象物を化学的機械的研磨することと、試験対象物の表面トポグラフィに対する走査干渉分光データを収集することと、走査干渉分光データから得られる情報に基づいて試験対象物の化学的機械的研磨に対するプロセス条件を調整することと、を含む方法である。たとえば、プロセス条件は、パッド圧力および/または研磨用スラリ組成であってもよい。好ましい実施形態においては、走査干渉分光データから得られる情報に基づいてプロセス条件を調整することは、試験対象物の少なくとも第1の表面箇所に対する走査干渉分光信号から導出可能な情報と、試験対象物の複数のモデルに対応する情報とを比較することを含み、複数のモデルは、試験対象物に対する一連の特性によってパラメータ化されていてもよい。走査干渉分光信号の解析はさらに、最初に述べた方法とともに前述した特徴のどれを含んでもよい。
別に定義のない限り、本明細書で用いるすべての技術用語および科学用語の意味は、本発明が属する分野の当業者が普通に理解するものと同じである。本明細書において参照により述べられ取り入れられた刊行物、特許出願、特許、および他の参考文献と相容れない場合には、本明細書は、定義も含めて、照査される。
たとえば、本願で用いるように、走査型干渉計は基準脚と測定脚との間の相対的な光路長の機械的走査を伴うが、走査型干渉計は、相対的な光路長を変える追加的な非機械的な手段を含むことを意図する。たとえば、干渉分光信号は、路長が不等な干渉計(即ち、基準路と測定路に対する公称上の光路長が異なる)において波長の範囲に亘って光源の中心周波数を変えることで生成され得る。異なる波長は、異なる光路長を有するため、基準路と測定路に対して異なる位相シフトを生じ、路間で位相シフトを変化させる。
さらに、「光」といった用語は、可視スペクトルにおける電磁放射線に限定される場合があると理解されるが、本願で使用されるように、「光」といった用語は、紫外線、可視線、近赤外線、および、赤外線スペクトル領域全てにおける電磁放射線を含むことが意図される。
本発明の他の特徴、目的、および優位性は、以下の詳細な説明から明らかになる。
干渉分光法のフロー・チャートである。 図1の干渉分光法の変形を示すフロー・チャートである。 リニック型走査型干渉計の概略図である。 ミラウ型走査型干渉計の概略図である。 対物レンズを通した試験サンプルの照明を示すダイアグラムである。 2つの極限における走査干渉分光データに対する理論的なフーリエ振幅スペクトルを示す図である。 薄膜あり/なしの2つの表面タイプを示す図である。 薄膜厚が0のSi基板上のSiO膜のシミュレーションに対するメリット関数検索手順を示すである。 薄膜厚が50nmのSi基板上のSiO膜のシミュレーションに対するメリット関数検索手順を示す図である。 薄膜厚が100nmのSi基板上のSiO膜のシミュレーションに対するメリット関数検索手順を示す図である。 薄膜厚が300nmのSi基板上のSiO膜のシミュレーションに対するメリット関数検索手順を示す図である。 薄膜厚が600nmのSi基板上のSiO膜のシミュレーションに対するメリット関数検索手順を示す図である。 薄膜厚が1200nmのSi基板上のSiO膜のシミュレーションに対するメリット関数検索手順を示す図である。 最上面が常にゼロで、膜厚が0から1500nmまで画素当たり10nmの増分で一様に変化するSi上のSiO薄膜のシミュレーションに対して決定された表面および基板プロファイルを示す図である。 図14のシミュレーションと、ランダム・ノイズが付加された以外は同一のシミュレーションに対して決定された表面および基板プロファイルを示す図である(平均値128強度ビットからの2ビット二乗平均平方根)。 従来のFDA解析を用いて決定された表面高さプロファイル(図16a)と、実際のピーク/バレイ変調深さが120nmであるmm当たり2400ラインの格子に対する本明細書で説明されるライブラリ検索方法(図16b)とを示す図である。 ステップ高さ付近の種々の表面箇所に対応する画素に対する干渉信号を走査する際の十分に分解できないステップ高さによって引き起こされる歪みを示す図である。 図17の十分に分解できないステップ高さの左(図18a)および右(図18b)に対する表面箇所に対応する画素に対する周波数ドメイン位相スペクトルにおける非線形の歪みを示す図である。 十分に分解できないステップ高さに対して、従来のFDA解析を用いて(図19a)および本明細書で説明するライブラリ検索方法を用いて(図19b)決定された表面高さプロファイル示す図である。 薄膜のないベースとなるSi基板の実際の走査干渉分光信号を示す図である。 ベアSi基板に対する干渉テンプレート・パターンを示す図である。 1ミクロンのSiOがSi上に形成された薄膜構造に対する干渉テンプレート・パターンを示す図である。 図21におけるテンプレート関数に対する走査位置の関数としてのメリット関数を示す図である。 図22におけるテンプレート関数に対する走査位置の関数としてのメリット関数を示す図である。 十分に分解できない表面特徴(格子パターン)を有する対象物の略図である。 従来の干渉分光解析に基づく、図25の格子パターンの予想表面プロファイルを示すグラフである。 従来の干渉分光解析に対する図25の格子の見かけの変調深さを実際の変調深さの関数として示すグラフである。 白色光走査型干渉計の理論的および実験的な機器の伝達関数を示すグラフである。 格子構造および本願に開示する十分に分解できない表面の測定技術の一実施形態を示す図である。 格子構造および本願に開示する十分に分解できない表面の測定技術の一実施形態を示す図である。 格子構造および本願に開示する十分に分解できない表面の測定技術の一実施形態を示す図である。 純粋Siの5の格子ライン(上膜層のない)のモデル構造の略図であり、W=120nm、ピッチL=320nmの図である。 モデル構造に対する走査干渉分光信号(z方向)を示す厳密結合波解析(RCWA)を示す図である。領域よりもラインの頂部により敏感な、ラインに平行なy偏光に対する図である。 xの偏光について、図30の中心画素に対する走査干渉分光信号を示す図である。 yの偏光について、図30の中心画素に対する走査干渉分光信号を示す図である。 図32aおよび図32bは、x(格子ラインに直交)およびy偏光(格子ラインに平行)それぞれについて、図30における信号のFDA解析から導出される表面高さプロファイルを示す図である。 図33aおよび図33bは、y偏光(格子ラインに平行)およびx(格子ラインに直交)それぞれについて、異なる実際のエッチング深さEの関数として、シミュレートされた走査干渉分光データから抽出される見かけのエッチング深さE’=H’−Eに対するRCWA解析の結果を示すグラフである。E’=E線は基準用である。 図34aおよび図34bは、y偏光(格子ラインに平行)およびx(格子ラインに直交)それぞれについて、異なる実際のエッチング深さEに対する対応する信号強度を示すグラフである。 図35は、図33bと同じデータに対する代替的なグラフであり、シリコン格子について、測定バイアスまたはオフセットE−E’を測定された表面高さ−E’の関数として示す。 図36は、一対一の対応線と比較した際の、円偏光光による、測定されたエッチング深さE’=H’−Eに対するRCWA予想をシリコン格子に対する実際のエッチング深さEの関数として示すグラフである。 図37aおよび図37bは、yおよびx偏光それぞれについて、5ラインのシリコン格子に対する、測定された(見かけ)エッチング深さE’=H’−EのRCWA予想をエッチング深さE=100nmおよびピッチL=320nmに対する特徴幅Wの関数として示すグラフである。 システムの各種構成要素がどのようにして自動制御下におかれるかを示す、干渉分光システムの略図である。
図1に示すのは、走査干渉分光データの解析が空間周波数ドメイン内で行なわれる本発明の一実施形態を概略的に説明するフロー・チャートである。
図1を参照して、試験対象物の表面からのデータを測定するために、干渉計を用いて、基準経路と測定経路との間の光路差(OPD)を機械的または電気光学的に走査する。測定経路は、対象物表面に向けられている。OPDは、走査の開始時には、対象物表面の局所的な高さの関数である。複数のカメラ画素が、対象物表面の異なる表面箇所に対応しており、各カメラ画素に対するOPD走査中の干渉強度信号を、コンピュータが記録する。次に、異なる表面箇所のそれぞれに対して、干渉強度信号をOPD走査位置の関数として記憶した後に、コンピュータが変換(たとえばフーリエ変換)を行なって、信号の周波数ドメイン・スペクトルを生成する。スペクトルは、振幅情報および位相情報の両方を、走査範囲における信号の空間周波数の関数として含む。たとえば、このようなスペクトルを生成するための好適な周波数ドメイン解析(FDA)が、以下の文献に開示されている。共通所有の米国特許第5,398,113号明細書(ピータ・デ・グルート)、発明の名称「インターフェログラムの空間周波数解析による表面トポグラフィ測定のための方法および装置」。なお、この文献の内容は本明細書において参照により組み込まれる。
別個のステップにおいて、コンピュータは、種々の表面パラメータに対する周波数ドメイン・スペクトルに対する理論的な予測ライブラリと、干渉計に対するモデルとを生成する。これらのスペクトルは、たとえば、可能な薄膜厚み、表面材料、および表面テクスチャの範囲を網羅してもよい。好ましい実施形態においては、コンピュータは、一定の表面高さ、たとえば高さ=ゼロに対するライブラリ・スペクトルを生成する。したがって、このような実施形態においては、ライブラリに含まれる情報には、表面トポグラフィに関するものはまったくない。含まれる情報は単に、表面構造のタイプと、周波数ドメイン・スペクトルの特有の特徴を生成する際の表面構造、光学システム、照明、及び検出システムの相互作用と、に関するもののみである。代替例として、予測ライブラリを、サンプル加工品を用いて経験的に生成してもよい。他の代替例として、ライブラリは、他の機器、たとえばエリプソメータから与えられる対象物表面の事前の補足的な測定値からの情報、および対象物表面の既知の特性に関するユーザからの何らかの他の入力を用いて、未知の表面パラメータの数を減らしてもよい。補足的な測定によって補強されるライブラリ形成に対するこれらの手法である理論的モデリング、経験データ、または理論の何れかを補間によって拡張して、中間値を、ライブラリ形成の一部としてまたはライブラリ検索中にリアル・タイムで、生成してもよい。
次のステップでは、実験データと予測ライブラリとの比較を、表面構造パラメータを提供するライブラリ検索を用いて行なう。厚みが未知の膜の例の場合、単一表面のタイプ、たとえばSi上のSiOに対するライブラリは、最上面高さが常にゼロに等しいような可能性のある多くの膜厚に及んでいる。他の例は表面荒さである。この場合、調整可能なパラメータは、荒さ深さおよび/または空間周波数であってもよい。ライブラリ検索によって、表面高さとは無関係なFDAスペクトル特性に対するマッチングが得られる。このような特性はたとえば、振幅スペクトルの平均値(表面の全体的な反射率に関係する)、または空間周波数の関数としての振幅の変化(単色の高NAシステムにおいて反射光の散乱角に関係する)である。
また解析は、システムの特徴付けを含んでもよい。システムの特徴付けとしては、たとえば、表面構造および表面トポグラフィが既知の1つまたは複数の基準加工品を測定して、システム波面誤差、分散、および効率(理論的なモデルには含まれ得ない)などのパラメータを決定することが挙げられる。
さらに解析は、全体的な校正を含んでもよい。全体的な校正としては、たとえば、1つまたは複数の基準加工品を測定して、測定された表面パラメータ、たとえばライブラリ検索によって決定された膜厚と、たとえば偏光解析によって独立に決定されたこれらのパラメータに対する値との間の相関関係を決定することが挙げられる。
実験データと予測ライブラリとの比較に基づいて、コンピュータは、最良のマッチングに対応する表面モデルを特定する。コンピュータは、次に、表面パラメータ結果を数値的またはグラフィックにユーザまたはホスト・システムに表示または送信して、さらに解析またはデータ記憶ができるようにしてもよい。表面パラメータ結果を用いて、コンピュータは次に、ライブラリ検索によって特定される特性に加えて、表面高さ情報を決定してもよい。ある実施形態においては、コンピュータは、補正された位相スペクトルを生成する。これは、たとえば、実験的な位相スペクトルから、対応する理論的な位相スペクトルを直接差し引くことによって行なう。コンピュータは次に、1つまたは複数の表面点に対する局所的な表面高さを決定する。これは、空間周波数の関数としての補正された位相を解析することによって、たとえば線形フィットによって生成される係数を解析することによって行なう。その後、コンピュータは、高さデータと対応する像平面座標とから構築された完全な3次元画像を、ライブラリ検索により決定された表面特性のグラフィカル表示または数値表示とともに、生成する。
場合によっては、ライブラリ検索およびデータ収集を、反復的に行なって、結果をさらに改善することができる。具体的には、ライブラリ検索を、画素ごとにまたは領域ベースで精緻なものにすることができる。これは、局所的な表面タイプに関連して精緻にされたライブラリを形成することによって、なされる。たとえば、予備的なライブラリ検索の間に、表面にほぼ1ミクロンの薄膜があることが判明した場合には、コンピュータは、1ミクロンに近い実例値のきめの細かいライブラリを生成して、検索をさらに精緻なものにする。
さらなる実施形態においては、ユーザの関心は、予測ライブラリによってモデリングされる表面特性だけで、表面高さではないこともあり得る。この場合には、表面高さを決定するステップは行なわない。逆に、ユーザの関心は、表面高さだけで、予測ライブラリ内でモデリングされる表面特性ではないこともあり得る。この場合には、コンピュータは、実験データと予測ライブラリとの間の比較を用いて、表面特性の影響に対する実験データを補正する。その結果、表面高さが、より正確に決定される。しかし表面特性をはっきりと決定する必要も、特性を表示する必要もない。
本解析は、以下のような種々の表面解析課題に適用してもよい。その表面解析課題は、たとえば、単純な薄膜(この場合は、たとえば、対象とする可変パラメータは、膜厚、膜の屈折率、基板の屈折率、またはそれらの何らかの組み合わせであってもよい);多層薄膜;回折するかその他の場合には複雑な干渉効果を生成する鋭いエッジおよび表面特徴;未処理の表面荒さ;未処理の表面特徴、たとえばその他の点では滑らかな表面上のサブ波長幅の溝;異なる材料(たとえば、表面に薄膜および固体金属の組み合わせが含まれていてもよい。この場合、ライブラリは、両方の表面構造タイプを含み、薄膜または固体金属を、対応する周波数ドメイン・スペクトルに対するマッチングによって自動的に特定してもよい);光学活性たとえば蛍光性;表面の分光学特性、たとえば色彩および波長依存性の反射率;表面の偏光依存性の特性;干渉信号の乱れを招く表面または変形可能な表面特徴の歪み、振動、または運動;およびデータ取得手順に関連するデータ歪みを含む。データ取得手順はたとえば、干渉強度データを完全には包含していないデータ取得ウィンドウがある。
干渉計は、以下の特徴のどれを含んでいてもよい。高い開口数(NA)の対物レンズを有するスペクトル的に狭帯域の光源;スペクトル的に広帯域の光源;高いNA対物レンズおよびスペクトル的に広帯域の光源の組み合わせ;干渉分光顕微鏡の対物レンズであって、たとえば油/水浸漬および固体浸漬の形式を、たとえばマイケルソン(Michelson)、ミラウ(Mirau)、またはリニック型(Linnik)幾何学的配置で含む対物レンズ;複数の波長における一連の測定値;未偏光光;偏光光であって、たとえば直線、円、または構造化された偏光光。たとえば、構造化された偏光光は、たとえば、偏光マスクを必要とし、照明瞳または結像瞳の別個のセグメントに対して異なる偏光を生成することで、表面特性に起因する偏光依存性の光学的効果を明らかにしてもよい。また干渉計は、前述した全体的なシステム校正を含んでいてもよい。
理論および実験データを比較する際、ライブラリ検索は、以下のどれに基づいてもよい。周波数スペクトルにおける振幅および/または位相データ間の積または差、たとえば、平均の振幅および平均位相、平均の振幅それ自体、および平均位相それ自体の積または差;振幅スペクトルの勾配、幅および/または高さ;干渉コントラスト;DCまたはゼロ空間周波数での周波数スペクトルにおけるデータ;振幅スペクトルの非線形性または形状;位相のゼロ周波数遮断;位相スペクトルの非線形性または形状;およびこれらの基準の任意の組み合わせ。なお本明細書で用いるように、振幅および波高は交換可能に用いる。
図2に示すのは、走査干渉分光データの解析に対する他の実施形態を一般的に説明するフロー・チャートである。解析は、実験データと予測ライブラリとの間の比較が、走査座標ドメインにおける情報に基づく以外は、図1において説明したものと同様である。実験的な信号の特徴は、走査座標についての包絡線機能による振幅内の変調された擬似周期的な搬送振動であってもよい。理論および実験データを比較する際、ライブラリ検索は、以下のどれに基づいてもよい。平均の信号強さ;信号包絡線の形状、たとえば何らかの理想または基準の形状たとえばガウシアンからのずれ;包絡線機能についての搬送波信号の位相;ゼロ交差および/または信号最大および最小の相対間隔;最大および最小に対する値およびそれらの順序付け;最適な相対的走査位置に対する調整をした後のライブラリ信号と測定信号との間の相関関係のピーク値;およびこれらの基準の任意の組み合わせ。
更なる実施形態では、ライブラリ・モデルに対して、情報は複数の表面箇所からの実験信号から導出され得る。これは、ライブラリ・モデルに比べて実験情報が干渉分光測定に対する試験表面の全体的な表面応答に対応することができるため、試験対象物が十分に分解できない表面特徴を含む場合に特に有用である。たとえば、複数の表面箇所からの実験信号から導出される情報は、干渉分光信号の従来の処理から得られる試験表面の表面プロファイル、または、表面プロファイルから導出される情報等である。十分に分解できない特徴が不明瞭なため、このような処理では試験表面の見かけの特性だけが得られる。それにも関わらず、見かけの特性と、十分に分解できない特徴を特徴付ける値によってパラメータ化された試験対象物の対応するモデルとを比較することで、十分に分解できない特徴は、十分に分解できない特徴についてのより正確な情報と相関関係が付けられる特性を見かけの表面プロファイルに残すことができる。
更に、試験対象物は、見かけの特徴をライブラリ・モデルと比較する際に伴うある基準構造を含んでもよい。たとえば、個々のラインが十分に分解できないエッチングされた格子パターンの見かけの表面高さプロファイルと各種モデルとを比較する場合、エッチングされないことが既知である試験対象物の一部が、試験対象物の格子部分における見かけの表面高さに対する基準点となる。
従って、ある実施形態では、測定用の光線と基準用の光線との両方を備える干渉分光プロファイラ、たとえば、広帯域または低コヒーレンス干渉計は、パターン化された半導体ウェハ上で見られるような複雑な未処理の表面構造の特徴を測定するために使用される。プロファイラは、干渉位相やコントラストおよび/または表面反射率における変化を見かけの測定された表面高さにおける変化として捉える。別個のステップでは、データ処理手段は、未処理の表面構造を含む表面の実際の表面高さ及び/または表面組成において起こり得る変化に対するプロファイルの期待応答を計算する。データ処理手段は、次に、見かけの測定された表面高さを、実際の表面高さ、未処理の表面構造、及び/または、表面組成において起こり得る変化に対するプロファイラの期待応答と比較することで真の表面特性を決定する。
上述のデータ取得前、取得中、または、取得後には、データ・プロセッサは未処理の表面構造を含む表面の実際の表面高さ、及び/または、表面組成において起こり得る変化に対する機器の期待応答を計算する。図25は、可視波長(400−700nm波長)の干渉分光法に対して未処理の表面特徴を有する対象物の例を示す。具体的には、図25は、素子(本願ではパターン化された構造または格子構造とも称する)の配列を示している。未処理の表面特徴は、隣接する表面Sからの高さH、分離l、及び、幅dを有する。高さHは、本願ではパターン化された構造に対する変調深さとも称する。「未処理」とは、機器の横方向の解像度が制限されているために、個々の特徴が表面プロファイル画像において十分に離間されてない、誤ったプロファイルを有する、及び/または、高さHが誤りであることを意味する。
図26は、レイリー仮説技法(以下に詳述する)を用いた、可視波長干渉計(中心波長560nm、半値全幅110nm帯域幅)および対物レンズNA0.8についての、図25の対象物上の未処理の特徴に対する予想応答を示す。表面特徴は未処理のため、結果として実際の表面構造とは全く似ていない見かけの測定された表面プロファイルが生ずる。この計算では、高さH=20nm、分離l=200nm、および、幅d=120nmである。図27は、図26の未処理の測定されたプロファイルを特徴の実際の高さHの関数として示す。ここで、測定された高さと真の高さとの関係が複雑で、40nmを超えると負に相関されることに注意する。後者の現象は、狭いサブ波長のトレンチに光を結合することの難しさとして説明される。
データを取得し、期待システム応答を計算した後、データ・プロセッサは、見かけの測定された表面高さと、実際の表面高さ、未処理の表面構造、及び/または、表面組成において起こり得る変化に対する本発明の装置の期待応答とを比較することで真の表面特徴を決定する。これは、図25乃至図27の例を受けて、図27に示すように実際の高さHと見かけの測定された高さとの関係を決定することや該関係の認識を利用して測定結果から実際の高さを決定することを伴う。代替的な測定形態としては幅dおよび分離lを決定する等を含む。以下に更に説明するように、照明波長を変えるために代替的な光源を用いて感度範囲を調節することで行われるように、対物レンズを偏光させることでエッチング深さ等の特定のパラメータに対する感度を高めることができる。
走査干渉分光データから見かけの特性または複数の特性(たとえば、見かけの表面プロファイル)を抽出するために多数の処理技術が用いられる。たとえば、従来の技術としては、画素毎にフリンジ・コントラスト包絡線のピークまたは中心に対応する位置を識別すること、または、画素毎に周波数ドメイン解析(FDA)を用いて、表面高さと直接的に比例する波長に位相変化レートを関連付けることを含む(たとえば、米国特許第5,398,113号明細書参照)。更に、それぞれの出願内容が参照により本明細書において組み込まれている、ピーター デ グルート(Peter de Groot)による米国特許出願公開第2005/0078318号明細書、発明の名称「表面の干渉分光解析方法およびシステムと関連する適用法(METHODS AND SYSTEMS FOR
INTERFEROMETRIC ANALYSIS OF SURFACES AND RELATED APPLICATIONS)」、およびPeter de Grootによる共通所有の米国特許出願第11/437,002号(2006年5月18日出願)「薄膜構造に関する情報について低コヒーレンス干渉分光信号を解析する方法およびシステム(METHOD AND SYSTEM FOR ANALYZING LOW−COHERENCE INTERFEROMETRY SIGNALS FOR INFORMATION ABOUT THIN FILM STRUCTURES)」に開示されるように、干渉分光信号から薄膜効果を排除することを試みるより高度な処理技術を使用することも可能である。当然のことながら、比較に使用されるモデル・ライブラリも実験的に導出される情報を抽出するために用いられる処理技術を考慮すべきである。
以下、解析の詳細な数学的説明を行なうとともに、実施例を示す。第1に、典型的な走査型干渉計について説明する。第2に、走査干渉分光データに対する数学的モデルを決定することについて説明する。第3に、表面の光学特性と、このような情報を用いて種々の表面特性に対する走査干渉分光データの正確なモデルを生成する方法とについて説明する。第4に、どのように実験的な干渉分光データと予測ライブラリとを比較して、試験対象物に関する情報を得るかについて説明する。最初に、薄膜応用例について説明し、その後に、他の複雑な表面構造、具体的には、光学的に十分に分解できないステップ高さおよび格子パターンへの応用例について説明する。また、最初は空間周波数ドメインにおける解析に話を絞り、その後に、走査座標ドメインにおける解析について説明する。上述の図25乃至図27の例のような、干渉分光信号から十分に分解できない表面特徴についての情報を抽出する技術の更なる例を後で説明する。
図3に示すのは、リニック型の走査型干渉計である。光源(図示せず)からの照明光102は、ビーム・スプリッタ104によって部分的に透過されて、基準光106を形成し、またビーム・スプリッタ104によって部分的に反射されて、測定光108を形成する。測定光は、測定用対物レンズ110によって、試験サンプル112(たとえば、1つまたは複数の異なる材料からなる薄い単一膜または多層膜を含むサンプル)上にフォーカスされる。同様に、基準光は、基準対物レンズ114によって基準ミラー116上にフォーカスされる。好ましくは、測定用および基準対物レンズは、共通の光学特性を有する(たとえば、開口数がマッチングされている)。試験サンプル112から反射された(または散乱されたかもしくは回折された)測定光は、測定用対物レンズ110を通って逆方向に伝搬し、ビーム・スプリッタ104によって透過されて、結像レンズ118によって検出器120上に結像される。同様に、基準ミラー116から反射された基準光は、基準対物レンズ114を通って逆方向に伝搬し、ビーム・スプリッタ104によって反射されて、結像レンズ118によって検出器120上に結像され、そこで測定光と干渉する。
説明を簡単にするために、図3に示す測定および基準光は、試験サンプルおよび基準ミラー上の特定の点にそれぞれフォーカスされ、その後、検出器上の対応する点上で干渉する。このような光は、干渉計の測定脚および基準脚に対する瞳平面に垂直に伝搬する照明光部分に対応する。照明光の他の部分は最終的に、試験サンプルおよび基準ミラー上の他の点を照明する。そして、これらの点は、検出器上の対応する点に結像される。図3では、このことが、破線122によって例示されている。破線122は、試験サンプル上の異なる点から現れて検出器上の対応する点に結像される主光線に対応する。主光線は、測定脚の瞳平面124の中心で交わる。瞳平面124は、測定用対物レンズ110の後側焦点面である。試験サンプルから現れる光のうち、主光線とは異なる角度で現れるものは、瞳平面124の異なる箇所で交わる。
好ましい実施形態においては、検出器12Qは、複数要素(すなわち多画素)カメラであって、試験サンプルおよび基準ミラー上の異なる点に対応する測定光と基準光との間の干渉を独立に測定する(すなわち、干渉パターンに対する空間分解能を与える)。
試験サンプル112に結合された走査ステージ126は、測定用対物レンズ110に対する試験サンプルの位置を走査する。これは、図3において走査座標によって示されている。たとえば、走査ステージは、圧電トランスデューサ(PZT)に基づくことができる。検出器120は、試験サンプルの相対的な位置が走査されているときに、光学的干渉の強度を検出器の1つまたは複数の画素において測定し、そして、その情報を解析のためにコンピュータ128に送る。
走査が行なわれる領域は、測定光が試験サンプル上にフォーカスされている領域であるため、走査によって、光源から検出器までの測定光の光路長が変化する。この変化は、測定光が試験サンプルに入射するとともに、試験サンプルから現れる角度よって異なった依存をする。その結果、測定光の干渉部分と基準光の干渉部分との間における光源から検出器までの光路差(OPD)が、走査座標ζによって増減する仕方は、測定光が試験サンプルに入射するとともに、試験サンプルから現れる角度に依存して、異なる。本発明の他の実施形態においては、基準対物レンズ114に対する基準ミラー116の位置を走査することによって(測定用対物レンズ110に対して試験サンプル112を走査する代わりに)、同じ結果を実現することができる。
このように走査座標ζとともにOPDが変化する仕方に違いがあるために、検出器の各画素内で測定される干渉信号において、コヒーレンス長が制限されることになる。たとえば、干渉信号(走査座標の関数である)は通常、空間的コヒーレンス長がλ/2(NA)のオーダの包絡線によって変調される。ここで、λは照明光の公称上の波長であり、NAは測定用および基準対物レンズの開口数である。以下でさらに説明するように、干渉信号の変調によって、試験サンプルの反射率についての角度依存性の情報が得られる。制限された空間的コヒーレンスを増加させるために、走査型干渉計内の対物レンズが規定する開口数は大きいことが好ましい。たとえば、約0.7よりも大きい(またはより好ましくは、約0.8よりも大きく、もしくは約0.9よりも大きい)。また干渉信号は、照明源のスペクトルの帯域幅に付随する制限された時間的コヒーレンス長によって変調することもできる。干渉計の構成に依存して、これらの制限されたコヒーレンス長の効果のどれか一方が優勢になる場合もあるし、それらが両方とも全体的なコヒーレンス長に実質的に影響する場合もある。
走査型干渉計の他の例は、図4に示すミラウ型干渉計である。
図4を参照して、光源モジュール205によって、照明光206がビーム・スプリッタ208に供給される。ビーム・スプリッタ208は、照明光206を、ミラウ干渉分光対物レンズ・アセンブリ210へ送る。アセンブリ210は、対物レンズ211、基準平面212(その小さい中心部分上に反射性のコーティングが施されていて、基準ミラー215を規定している)、およびビーム・スプリッタ213を含んでいる。動作中、対物レンズ211によって照明光が、試験サンプル220の方へ、基準平面212を通してフォーカスされる。ビーム・スプリッタ213によって、フォーカス光の第1の部分が基準ミラー215へ反射されて、基準光222が規定され、またフォーカス光の第2の部分が試験サンプル220に透過されて、測定光224が形成される。次に、ビーム・スプリッタ213は、試験サンプル220から反射された(または散乱された)測定光を、基準ミラー215から反射された基準光と、再結合する。そして対物レンズ211および結像レンズ230が、組み合わされた光を結像して、検出器(たとえば、マルチ画素カメラ)240上で干渉させる。図3のシステムの場合と同様に、検出器からの測定信号は、コンピュータ(図示せず)に送られる。
図4の実施形態における走査では、圧電トランスデューサ(PZT)260が、ミラウ干渉分光法の対物レンズ・アセンブリ210に結合されている。圧電トランスデューサ(PZT)260は、アセンブリ210全体を、試験サンプル220に対して、対物レンズ211の光軸に沿って走査して、カメラの各画素において走査干渉分光データI(ζ、h)が得られるように構成されている。あるいは、PZTを、アセンブリ210ではなく試験サンプルに結合して、それらの間の相対運動が得られるようにしてもよい。これは、PZTアクチュエータ270によって示されている。さらに他の実施形態においては、基準ミラー215およびビーム・スプリッタ213の一方または両方を、対物レンズ211に対して対物レンズ211の光軸に沿って移動させることによって、走査を行なってもよい。
光源モジュール205は、空間的に拡張された光源201、望遠鏡(レンズ202および203によって形成される)、レンズ202の前側焦点面(レンズ203の後側焦点面と一致する)内に配置された絞り204を含む。この配置によって、空間的に拡張された光源が、ミラウ干渉分光法の対物レンズ・アセンブリ210の瞳平面245上に結像される。これは、ケーラー(Koehler)結像の例である。絞りのサイズによって、試験サンプル220上の照明野のサイズが制御される。システムは、ビーム・スプリッタ208と干渉分光対物レンズアセンブリ210との間に配置されたアパーチャ絞り(図示せず)を含む。他の実施形態では、光源モジュールは臨界結像として知られる、空間的に拡張された光源を試験サンプル上に直接結像する装置を含んでもよい。いずれのタイプの光源モジュールにおいても、図3のリニック型の走査型干渉システムと共に用いてもよい。
本発明のさらなる実施形態においては、走査干渉分光システムを、試験サンプルについて角度依存性の散乱または回折情報を決定するために、すなわち光波散乱計測に対して、用いてもよい。たとえば、走査干渉分光システムを用いて、試験サンプルへの照明を、非常に狭い範囲の入射角(たとえば、実質的に垂直入射かそうでなければコリメートされている)のみに亘る試験入射によって行なってもよい。入射は次に、試験サンプルによって散乱または回折される。サンプルから現れる光は、カメラに結像されて、基準光と干渉する。これは前述した通りである。走査干渉分光信号内の各成分の空間周波数は、試験サンプルから現れる試験光の角度に依存して変化する。したがって、垂直な走査(すなわち、対物レンズの光軸に沿っての走査)の後にフーリエ解析を行なうことによって、対物レンズの後側焦点面に直接アクセスすることも、この面を結像することもせずに、回折光および/または散乱光の測定を、出現角度の関数として行なうことができる。実質的に垂直入射の照明を得るためには、たとえば、光源モジュールの構成を、瞳平面上に点光源が結像されるように、そうでなければ照明光が測定用対物レンズの開口数に充填される程度が減少するように、行なうことができる。光波散乱計測技術は、サンプル表面内の別個の構造、たとえば格子ライン、エッジ、または一般的な表面荒さ(これらは、光をより高い角度まで回折および/または散乱し得る)の解析に対して、有用であり得る。
本明細書の解析の大半において仮定していることは、瞳平面内での光の偏光状態が、ランダムであること、すなわちほぼ等しい量のs偏光(入射平面に直交する)およびp(入射平面に直交する)偏光の両方から構成されていることである。代替的な偏光も可能であり、たとえば純粋なs偏光などである。これはたとえば、放射状の偏光子を瞳平面に(たとえば、リニック干渉計の場合には測定対象物の後側焦点面に、およびミラウ干渉計においては共通の対物レンズの後側焦点面に)、配置することで実現してもよい。他の可能な偏光としては、放射状のp偏光、円偏光、および変調された(たとえば、一方が他方に続く2つの状態)偏光が、偏光測定に対して挙げられる。言い換えれば、試験サンプルの光学特性の解析は、それらの角度または波長依存性のみについて行なえるのではなく、それらの偏光依存性についても、または選択された偏光についても、行なうこともできる。またこのような情報を用いて、薄膜構造の特徴付けの精度を向上させてもよい。
このような偏光解析測定を実現するために、走査干渉分光システムは、瞳平面内に固定または可変の偏光子を含んでいてもよい。図4を再び参照して、たとえば、ミラウ型干渉分光システムは、瞳平面内に偏光光学部品280を含み、試験サンプルに入射するとともに、そこから現れる光に対して、所望の偏光を選択するようになっている。さらに偏光光学部品は、選択される偏光を変えるように再構成可能であってもよい。偏光光学部品は、所定の偏光を選択するための偏光子、波長板、アポディゼーション・アパーチャ、および/または変調素子を含む1つまたは複数の素子を含んでもよい。さらに偏光光学部品は、エリプソメータのデータと同様のデータを生成するために、固定されていてもよいし、構造化されていてもよいし、または再構成可能であってもよい。たとえば、s偏光のための放射状に偏光された瞳を用いた第1の測定の後に、p偏光のための半径方向に偏光された瞳を用いてもよい。他の例では、アポダイズド瞳平面を直線偏光光、たとえばスリットまたはウェッジとともに用いてもよい。スリットまたはウェッジは、所望する任意の直線偏光状態を対象物に送るために瞳平面内で回転させることができるか、または再構成可能なスクリーンたとえば液晶ディスプレイである。
さらに、偏光光学部品によって、瞳平面全体に亘って可変の偏光が実現されてもよい(たとえば、複数の偏光子または空間変調器を含むことによって行なう)。こうして、空間周波数に従って偏光状態に「タグを付ける」ことができる。これはたとえば、高い入射角に対して、浅い角度とは異なる偏光を与えることによって行なう。
さらにほかの実施形態においては、選択可能な偏光を、偏光の関数として位相シフトと組み合わせてもよい。たとえば、偏光光学部品は、瞳平面内に配置された直線偏光子を含み、その後に、2つの波長板(たとえば8分の1波長板)が、瞳平面の対向する四分区間に配置されてもよい。直線偏光の結果、対物レンズの入射面に対して偏光角度が全範囲に亘る。たとえば、支配的なs偏光光の位相シフトが固定されるように波長板がアライメントされる場合には、放射状のs偏光光およびp偏光光の両方が同時に存在するが、位相が互いにたとえばπだけシフトしているため、干渉計は、これらの2つの偏光状態間の差を基本信号として効果的に検出する。
さらなる実施形態においては、偏光光学部品を、装置内の他の場所に配置してもよい。たとえば、直線偏光は、システム内のどこにでも実現することができる。
次に、走査干渉分光信号に対する物理モデルについて説明する。
対象物表面は高さ特徴hを有し、この高さ特徴hに対するプロファイルを、横方向の座標x、yによって示される領域に亘って行ことを所望するとする。ステージによって、滑らかな連続走査ζが、干渉対物レンズ、または図示したように対象物それ自体について、実現される。走査の間、コンピュータによって、各像点またはカメラ画素に対する強度データIζ,hが、連続的なカメラ・フレームにおいて記録される。なお、走査位置および表面高さに対する強度Iζ,hの主な依存関係を、添え字によって示す。この添え字は、全体を通して用いる表記法である。
光学部品の適切な物理モデルは、非常に精巧なものにすることができる。これは、光源の部分的コヒーレンス、干渉計内での偏光混合、高NA対物レンズの結像特性、ならびに高い入射角において、および不連続の表面特徴が存在する下での電界ベクトルの相互作用を考慮することによってなされる。
たとえば、所与の構造から干渉分光信号を厳密に予測するには、該構造についてマックスウェル方程式を解くことが必要である。白色光干渉分光技法は、照明の帯域幅をカバーする十分に高密度な組の波長を必要とする。2Dにおいてマックスウェル方程式を解くことについては多数のアプローチが存在する。2Dにおいて、一つの特に簡単なアプローチは、レイリー仮説に基づくものである。このアプローチでは、該構造は、薄膜積層体として扱われ、積層体における各層間の界面が特定のトポグラフィを有している。レイリー仮説は、各層内では電磁場が上方および下方の伝搬平面波に拡大され、電磁場が界面における標準境界条件を満たすよう係数を選択することで解が得られると述べている。このアプローチは簡単に実行でき、比較的早く、一回の計算により一つの波長でおよび一つの偏光について表面の完全な光学的伝達関数または散乱行列を得ることができる。制限としては、各界面のトポグラフィが界面に境界を付ける層において波長の略半分未満をカバーする場合にだけ有効な解が得られる点である。シリコン等の高屈折材料は、可視波長でのトポグラフィを100nmよりもはるかに小さく制限する。
3Dモデリング技法は有限差分時間領域法(FDTD)、有限要素および厳密結合波解析(RCWA)等を含む。たとえば、エム.ジー.モーラム(M.G.Moharam)とティー.ケイ.ゲイロード(T.K.Gaylord)による「誘電体表面−レリーフ格子の回折解析(Diffraction analysis of dielectric surface−relief gratings)」J.Opt.Soc.Am.,72,1385−1392,(1982)、及び、エム.トットツェク(M.Totzeck)による「高NA定量偏光顕微鏡法の数値シミュレーションおよび対応する近接場(Numerical simulation of high−NA quantitative polarization microscopy and corresponding near−fields)」,Optik,112(2001)381−390を参照する。更に、スタットガート大学の技術光学協会(Institute
of Technical Optics, ITO)は、エム.トットツェク(M.Totzek)の仕事を基にマイクロシム(Microsim)と呼ばれるRCWAを実行するソフトウェアを開発した。これらの技術は、小容積に、つまり、片側数波長にしばしば限られるが、メモリ要件とランタイムの両方を適当に維持するためには有力である。それにも関わらず、好適なライブラリを生成するためにこのような技術が予め使用され得るため、ライブラリを生成するに使用される大量の計算時間は本願で開示する技術のイン・プロセス適用法を妨げることはない。
便宜上、かつ本発明のある曲面を実証するために、ランダム偏光および拡散、低コヒーレンス拡張光源を仮定することによって、モデルを簡単にする。干渉信号のモデリングによって対物レンズの瞳平面を通過した後に対象物表面から入射角Ψで反射するすべての光線束(図5に示す)の影響を調べることが簡単になる。
光学システムを通る単一の光線束に対する干渉の影響は、以下のものに比例している。
ここでZβ,kは、有効な対象物強度反射率であり、たとえばビーム・スプリッタの効果を含む。Rβ,kは、有効な基準反射率であり、ビーム・スプリッタおよび基準ミラーの両方を含む。周囲媒体の屈折率はnであり、入射角Ψに対する方向余弦は、以下の通りである。
また光源照明に対する波数は、以下の通りである。
位相に対する記号の取り決めによって、表面高さの増加を、位相の正の変化に対応させる。位相項は、干渉計内の対象物経路に対する影響ωβ,k、たとえば対象物表面からの薄膜効果と、基準経路に対する影響νβ,k、たとえば基準ミラーおよび対物レンズ内の他の光学部品とを有する。
瞳平面上で積分される全干渉信号は、以下のものに比例している。
ここで、Uβは瞳平面の光分散であり、Vは光学的なスペクトル分散である。方程式(4)における重み付け係数βは、投影角度に起因するcos(Ψ)項と、瞳平面内の幅dΨの環の直径に対するsin(Ψ)項とから得られる。
ここで、図5に図示したように、対物レンズはアッベの正弦条件に従うと仮定する。このような比較的簡単な重み付けは、ランダムに偏光された、空間的にインコヒーレントな照明(すべての光線束が互いに無関係である)の場合に可能である。最後に、すべての入射角に亘る積分範囲は、0≦p≦1を意味し、すべての波数に亘るスペクトル積分は、0≦k≦∞である。
周波数ドメイン解析(FDA)では、最初に干渉強度信号Iζ,hのフーリエ変換を計算する。文字上の(非数値の)解析に対して、規格化されていないフーリエ積分を用いる。
ここで、Kは空間周波数であり、たとえば、単位は周期/ミクロンである。周波数ドメイン値qK,hの単位は、波数の逆であり、たとえばμmである。これから、パワー・スペクトルと、
位相スペクトルとが得られる。
φ”K,hに対するダブル・プライムの意味は、フリンジのオーダに2倍の不確かさがあるということである。すなわち画素ごとのものと、走査の出発点に対する全体的なものとの両方である。そのため、従来のFDAでは、パワー・スペクトルQK,hによって重み付けされた位相スペクトルφ”K,hに対する線形フィットによって表面トポグラフィを決定することに、直接進む。フィットによって、各画素に対して、勾配と、
切片とが得られる。
なお、切片または「位相ずれ」A”は、高さhとは無関係であるが、位相データのフリンジのオーダの不確かさから引き継いだダブル・プライムを備える。勾配σには、この不確かさはない。切片A”および傾斜σから、特定の平均または公称上の空間周波数K0に対して、「コヒーレンス・プロファイル」と、
「位相プロファイル」とを規定することができる。
単純で理想的な場合、すなわち誘電体表面が完全に均一、均質で、薄膜および異なる材料の影響がなく、ならびに光学システムが分散に対して完全に平衡状態である場合には、位相およびコヒーレンス・プロファイルは、表面高さに直線的に比例する。
2つの高さ計算のうち、位相に基づく高さ値h”θの方が正確である。しかし、この値は、単色の干渉分光法のフリンジのオーダの特性に不確かさがある。高分解能を得るために、コヒーレンスに基づく明瞭であるが正確さに劣る値hΘを用いることで、この不確かさを取り除き、最終的な値hθを得る。
従来のFDAでは、それほど理想的でない状況の場合でも、干渉位相φ”K,hは依然として空間周波数のほぼ線形関数であると仮定している。これは、走査干渉分光信号を処理して表面高さを得る従来のFDA処理に対応している。しかしながら、本実施形態では、表面構造の主要なパラメータたとえば膜厚の決定を、実験データと理論的な予測とを比較することによって行なう。理論的な予測は、非常に非線形の位相スペクトルとパワー・スペクトルの付随する変調とを含み得る。
こうするために、フーリエ変換の定義である方程式(6)を干渉信号の方程式(4)と組み合わせて、予測されるFDAスペクトルに対する以下の式にする。
計算の効率を向上させるために、方程式(15)における3重積分の部分的な文字上の評価を行なうことができる。
方程式(15)の文字上の解析は、積分のオーダを変えることによって開始して、最初に、個々の干渉信号gβ,k,ζ,hの値を、すべての走査位置ζに亘って、βおよびkを固定した状態で求める。
余弦項gβ,k,ζ,hを、以下の式を用いて通常の方法で展開した後、
ζについての内部の積分を以下のように求める。
ここで、以下の式を用いた。
δ関数は、引数の逆の物理単位、この場合には逆の波数を有する。
これらのδ関数によって、空間周波数Kと積2βknとの間の等価性が確認される。
次の積分に対する変数の理論的な変形は、したがって次のようになる。
ここで、κ^は、空間周波数Kと同じ意味であるが、積分の自由変数として使用される。方程式(18)は、以下のように書くことができる。
ここで、
なお、変数を変えることによって、方程式(23)におけるR、Z、ν、ω項に対するβ依存性は、κ^およびkに対する依存性となる。
次のステップとして、最初に以下のことを述べる。
ここで、Hは、以下にように定義される無単位のヘビサイドのステップ関数である。
fは、Kおよびkの任意の関数である。方程式(25)〜(27)を用いて、方程式(23)は以下のようになる。
ここで以下の式を用いると、
最終的に以下のような結果となる。
方程式(33)は、積分の数が少ないので、当初の式(15)の三重積分と比べて著しく能率的な計算となる。
解析的に解く上で、興味深い極限的なケースがいくつか存在する。たとえば、位相の影響(νK,k−ωK,k)=0であるとともに、反射率R、Zが入射角および波長とは無関係である場合には、方程式(33)は以下のように簡単になる。
したがって、方程式(24)において定義される重み付け係数ΓK,kを伴う積分のみを取り扱えばよい。この理想的なケースでは、方程式(34)に対してさらに2つの極限的なケースを評価することが簡単になる。すなわち、高NA対物レンズを有する準単色の照明、および低NAを有する広帯域の照明である。
狭いスペクトル帯域幅kΔを有する準単色光源の場合には、以下のように規格化されたスペクトルとなる。
ここで、kは、公称上の光源波数である。方程式(34)における積分は、以下のような形となる。
K,kは、小さい帯域幅kΔ上で本質的に一定であると仮定すると、以下の式が得られる。
ここで、積分の値を求める際に、以下の関係を用いた。
これは、狭帯域幅kΔ≪k0に対しては有効である。特に、スペクトルの正の非ゼロ部分は、以下のようになる。
結果として、光源のスペクトル帯域幅が狭く、反射率R、Zが一定で、位相の影響ωがないこの特別な場合には、以下のようになる。
この特別な場合には、位相は、表面高さに直線的に比例し、従来のFDAと一致する。また空間周波数は、方向余弦に直接対応する。
したがって、FDAスペクトルの空間周波数座標と入射角との間には、1対1の関係がある。さらに、フーリエ振幅√QにおけるK重み付けは、方程式(41)から計算されることに注意されたい。このことは、図6(a)の例示スペクトルにおいて明らかである。同図では、垂直入射から、対物レンズNAによって課される方向余弦限界までの範囲に亘って、瞳平面を完全に均一に充填する場合に対する理論的な予測を示す。
第2の例として、垂直入射付近の方向余弦の狭い範囲βΔに限定された均一な照明を伴う広帯域の照明の場合を考える。したがって、規格化された瞳平面分散は以下のようになる。
変数を変えた後、
方程式(34)における定積分は、この場合には以下の形となる。
これは以下の値となる。
ここで、以下の関係を用いた。
スペクトルの正の非ゼロ部分は、この広帯域の光源照明用であり、したがって、垂直入射付近は、以下のようになる。
これは、フーリエ振幅(√Q)が光源スペクトル分散VK/2n0に比例するという熟知結果に密接に対応する。このことはたとえば、図6(b)において、公称上または平均の波長kの中心に置かれたガウシアン・スペクトルに対して示されている。なお方程式(52)は、以下の線形的な位相の展開が、
従来のFDAと一致するという仮定にも適合する。
フーリエ振幅√QK,h=|qK,h|および位相φ”K,h=arg(qK,h)は、干渉強度Iζ,hのフーリエ変換から得られるため、逆変換によって、実際の干渉信号のドメインに戻る。
ここで、もう一度、κ^を空間周波数に対して用いて、これが方程式(54)における積分の自由変数であることを強調した。したがって、強度信号を計算する1つの方法は、方程式(33)によってフーリエ成分qK,hを生成し、方程式(54)を用いてIζ,hに変換することである。
本モデルでは、光源光がランダム偏光であることを仮定している。しかし、これは、偏光効果を無視すべきであることを意味するものではない。むしろ上記の計算では、照明の入射面によって規定される2つの直交する偏光状態sおよびpから等しく重み付けされた結果であるインコヒーレントな重ね合わせを仮定している。偏光に対しては、上付き文字の表記法を用いる。
したがって、このβ、kにおける未偏光光に対する平均の位相角度は、以下のようになる。
なお、2つの偏光の影響に対して振幅が同一である場合を除いて、ほとんどの場合、以下のようになる。
また、q β,kおよびq β,kが、複素平面において完全に平行である場合を除いて、以下のようになる。
同じ所見を、システムおよび対象物反射率であるR β,k、R β,k、およびZ β,k、Z β,kに、それぞれ適用する。これらは、位相が同一である場合を除いて、直接足し合わせることはできない。
対象物表面反射率の計算において偏光効果を適切に考慮するならば、モデリングはかなり簡単なものであって、かなり適応性があり、この先も偏光光のより興味深い場合を取り扱うことができる。
次のステップは、ソフトウェア開発を考慮して、別個の数値式に変換することである。干渉信号Iζ,hとフーリエ・スペクトルqK,hとの間の関係を、別個のフーリエ変換を用いて、以下のように再規定する。
ここで、q~K^,hは、qK^,hの複素共役であり、干渉信号にはN個の別個のサン
プルが存在する。方程式(60)および後述の式において、導出時には重要であったが空間周波数Kに対する代わりとしてはもはや必要ではない自由変数Kを用いることは、やめている。したがって、予測される正の周波数FDA複素スペクトルは、以下のようになる。
ここで、規格化された、高さに無関係な係数は、以下のようになる。
ここで、積分範囲に対する規格化は、以下のようになる。
方程式(62)におけるヘビサイドのステップ関数Hによって、足し合わせに対する不必要な影響が防止されている。重み付け因子ΓK,kは、方程式(24)において定義される通りである。
実験と理論とを比較するために、方程式(61)を用いて実験的なFDAスペクトルを生成し、そして方程式(62)を用いてスペース・ドメインに逆に変換して、Iζ,hの理論的な予測を行なう。これが最も効率的に行なわれるのは、高速フーリエ変換(FFT)を用いた場合である。FFTの特性によって、K値の範囲が決定される。Iζ,hに対するN個の別個のサンプルが、増分ζstepだけ離間に配置される場合には、ゼロから始まりデータ・トレース当たりN/2周期まで増加する(N/2)+1個の正の空間周波数が存在する。これらは、以下の増分だけ離間に配置される。
周波数ドメインにおける位相アンラッピングを容易にするために、走査に対するゼロ位置の調整を試みて、その位置が信号ピーク付近にくるようにすることで、周波数ドメインにおける位相勾配が小さくなる。FFTでは、走査における最初のデータ点がゼロにあることが常に仮定されているため、信号を適切にオフセットしなければならない。
薄膜を有するサンプル表面のモデリングに焦点を置く。
図7に示すのは、薄膜あり/なしの2つの表面タイプである。両方の場合において、有効な振幅反射率Zβ,kを、以下に従って規定する。
ここで、Zβ,kは強度反射率であり、ωβ,kは反射時の位相変化である。添え字β、kは、照明の方向余弦への依存性を強調するものである。
ここで、Ψは入射角であり、波数については以下のようになる。
ここで、λは光源の波長である。添え字βは、第1の入射方向余弦βを指すものと理解される。
表面の特徴づけは、一つには、その屈折率によってなされる。周囲媒体(通常は空気)の屈折率は、nである。図7(a)の単純な表面の場合には、1つの屈折率nだけである。薄膜図7(b)の場合には、表面屈折率は2つある。すなわち、透明または部分的に透明な膜に対するnと、基板に対するnとある。最も一般的には、これらの屈折率は、実部および虚部によって特徴付けられる複素数である。たとえば、典型的な屈折率は、たとえばクロムの場合に、λ=550nmにおいて、n=3.18+4.41iである。ここで、虚部は正として定義するという取り決めを採用している。
材料の屈折率は、波長に依存する。空気に対する屈折率nの分散は、それほど大きくはないが、多くのサンプル表面、特に金属にとって重要である。公称上のk0付近の小さい波長変化においては、ほとんどの材料が、波数に対してほぼ直線的に依存する。したがって以下のように書くことができる。
ここで、v(0) 、v(1) はそれぞれ、屈折率nに対して公称上の波数k0における、切片および勾配である。
屈折率の最も一般的な使用方法は、スネルの法則である。図7(b)を参照して、膜内部の屈折ビーム角は、以下の通りである。
ここで、Ψは、屈折率nの媒体内の角度であり、これが屈折率nの媒体の最上面に入射する。また、Ψ1,β,kは、屈折角である。これらの角度は、屈折率が複素数である場合には、複素数値を取ることができ、部分的にエバネッセントな伝搬を示す。
2つの媒体間の境界の複素振幅反射率は、偏光、波長、入射角、屈折率に依存する。図7(b)の膜の最上面のs−およびp−偏光反射率は、以下のようにフレネルの式によって与えられる。
β、kに対する依存性は、角度Ψ、Ψ1,β,kから生じる。出口角Ψ1,β,kによって、屈折率n1,kを介したk依存関係が導入される。同様に、基板−膜界面の反射率は、以下の通りである。
なお、フレネル方程式において、入射角および屈折角が同じ場合には、両方の偏光に対する反射率はゼロになる。
単純な表面(薄膜なし)の場合には、サンプル表面の反射率は、最上面の反射率と同一である。
その結果、表面反射によって引き起こされる反射時の位相変化(PCOR)は、以下のようになる。
なお、境界条件を満たすために、s−偏光は、反射時に「反転する」(=誘電体の場合のπ位相シフト)が、p−偏光は反転しない。正確に垂直入射の場合には偏光状態間の区別は意味がなくなる。すなわち、どんな場合でもフレネル方程式においてゼロ除算となるため、この極限的なケースを取り扱う式は異なるものとなる。
屈折率の複素数部分に対してプラス記号の取り決めを用いる場合には、吸収(複素数部分)が大きいほど、PCORωβ,kは大きくなる。言い換えれば、吸収係数が大きくなることは、有効な表面高さが減少することに等しい。このことは、直観的に理解できる。すなわち、正確に境界において起こる明確な反射および透過ではなく、反射する前に材料中に光ビームが浸透することとしての吸収が想像される。通常の取り決め、すなわち表面高さの増加は、基準表面と測定表面との間の位相差の正の変化に対応するという取り決めに従って、正の表面PCORを干渉計の位相から差し引く。
薄膜は、平行板反射の特別な場合である。光は、最上面を通過する際に部分的に反射されて(図7参照)、基板表面まで進む。基板表面では第2の反射が起こる。この反射は、第1の反射に対して位相が遅れている。しかし、これで終わるわけではない。基板から反射された光は、最上面を逆に通過するときにもう一度部分的に反射される。その結果、再び下を向いて基板に至るさらなる反射ビームが生じる。これは原理的には永遠に続き、それぞれのさらなる反射は前回のものよりもより少しだけ弱い。これらの複数反射がすべて存続して、最終的な表面反射率に影響すると仮定すると、無限の組は以下の値になる。
説明の備考として、β1,β,kのβ依存関係が、屈折率nの周囲媒体中の入射方向余弦βへの依存関係を指すことを、思い起こされたい。同じ方程式(77)が、対応する単一の表面反射率を有する両方の偏光状態に適用される。
これらの方程式を調べることで、従来のFDA処理が薄膜の存在下で機能しなくなる理由が示される。従来のFDAでは、表面高さを、フーリエ・パワー・スペクトルによって重み付けされたフーリエ位相スペクトルに対する線形フィットによって決定しており、広帯域の(白色)光を用いてフーリエ空間周波数の広がりを形成している。考え方は、位相展開が、表面高さに対する予想された線形的位相依存性によってもたらされるということである。表面特性に付随する他のどのような一定のオフセットまたは線形係数(たとえば「分散」)も、システムの特徴付けによって、またはフィールド位置によって変化しない位相の影響を単純に無視することによって、取り除かれる。
これは、単純な表面の場合には、完全に高精度に機能する。未偏光光の場合、また恐らく円偏光光の場合には、PCORの波長依存性は、波数に対してほぼ線形であり、所定の材料に対して一定である。しかし薄膜が存在すると、従来の解析は機能しなくなる。位相は非線形となり、位相勾配は膜厚に対して敏感になり、視野全体に亘って変化している場合もある。したがって、本解析では、表面構造の主要なパラメータたとえば膜厚の決定は、実験データと理論的な予測とを比較することによって行ない、その際、たとえば薄膜が表面の反射率を変調する仕方についての知識が用いられる。
次に、実験データと理論的な予測のライブラリとを比較することによって、反射時の表面構造パラメータたとえば膜厚および位相の変化(PCOR)がどのようにして得られるかについて説明する。厚みが未知の膜の場合、単一表面タイプ、たとえばSi上のSiOに対するライブラリは、可能な多くの膜厚に及んでいる。周波数ドメインの実施形態においては、考え方は、このライブラリを検索して、表面トポグラフィとは無関係のFDAスペクトル特性に対するマッチング、たとえば薄膜干渉効果に起因する振幅スペクトルに対する特有の構造を探すことである。次にコンピュータにおいてライブラリ・スペクトルを用いて、FDAデータを補正し、正確な表面トポグラフィ・マップを可能にする。
一実施形態においては、ライブラリには、表面構造に対する例示的なFDAスペクトルが収容されている。各スペクトルによって、空間周波数Kの関数としてフーリエ係数を表わす一連の複素係数ρが得られる。これらのスペクトルは、干渉計の光路長の走査ζの間に取得される強度データIζ,hのフーリエ変換である。空間周波数Kは、光源光スペクトルのセグメントに対する角波数k=2π/λ、周囲媒体の屈折率n、方向余弦β=cos(Ψ)に比例している。ここでΨは、対象物表面に送られる光線束に対する入射角である。
予測ライブラリに対するρ係数は、FDAスペクトルの外観に影響を与える可能性がある表面の光学特性(表面高さを除く)を含む。
FDAスペクトルを予測するためには、光源光に対する入射角Ψおよび角波数kの範囲に亘る光線束のインコヒーレントな合計を表わす積分を行なう必要がある。前述したように、数値積分は、計算が能率的になるN個の角波数K(因子ΓK,kによって重み付けされる)に亘る単一の合計にすることができる。
重み付け因子は、以下の通りである。
ここで、Vは、光源スペクトルであり、UK、kは、瞳平面の光分散である。対応する規格化γは、重み付け因子のすべての空間周波数に亘る合計である。
ここで、γは、簡潔に規定されるべき規格化であり、Hは、ヘビサイドのステップ関数である。
対象物の表面構造、特に薄膜の特有な特性は、対象物−経路位相ωK,kおよび反射率ZK,kを通して、スペクトルρの一部となる。これについてはすでに詳述した通りである。同様に重要なものは、基準−経路位相νK,kおよび反射率RK,kである。これらは、走査型干渉計それ自体に依存する。このような因子は、走査型干渉計を理論的にモデリングすることによって、または、それを、特性が既知の試験サンプルを用いて校正することによって、決定することができる。これについては、後でさらに説明する。
薄膜に対する典型的な予測ライブラリは、膜厚Lによってインデックスされる一連のスペクトルρである。記憶されるスペクトルの範囲は、狭い空間周波数の対象領域(ROI:region of interest)のみであり、通常は256フレームの強度データ取得に対して
15または16個の値である。このROIの外側の残りの値はゼロである。ROIの範囲は、空間周波数の定義から得られる。
100Xのミラウ対物レンズおよび狭帯域幅、500nm光源に基づく走査型干渉計に対する空間周波数の典型的な範囲は、2.7μm−1〜4.0μm−1である。計算を能率的に行なうために、数式(80)〜(83)を用いて各画素に対して複数回再計算することを伴う解析的な検索ルーチンではなく、サンプル・スペクトル間の0.5〜5nmによってインデックスされる高密度なルック・アップ・テーブルを用いることができる。
ライブラリ検索は、以下のステップを伴う。(1)予測されるFDAスペクトルを、特定の表面タイプに対応するライブラリから選択する。(2)このスペクトルが実験データにどの程度密接にマッチングするかを、メリット関数を用いて計算する。そして、(3)いくつかのまたはすべてのライブラリ・データ・セットを通して繰り返して、どの理論的なスペクトルから最良のマッチングが得られるかを決定する。探しているのは、表面特性に一意に関係する周波数ドメインにおける「識別特性」である。表面特性は、たとえば薄膜、異材料、ステップ構造、荒さ、およびそれらと干渉計の光学システムとの相互作用である。したがって、このように比較することによって、空間周波数に対する位相変化の線形速度が明確に取り除かれる。これは、表面トポグラフィによって直接的に変化するFDAスペクトルの1つの特性であり、したがって、ライブラリ検索とは関連性がない。
比較スペクトルにおいて、計算に対する位相の影響と振幅の影響とを分離することは、有益である。したがって理論に対して、以下のようになる。
ここで、connectは、φK,hの空間周波数依存性における2−πステップを取り除く関数である。実験データに対しては、以下のようになる。
φ”ex に対するダブル・プライムは、走査における出発点に対する画素間および全体の両方からのフリンジのオーダにおける不確かさを示す。実験データは必然的に、局所的な表面高さに関係する勾配項を含む。これが、p符号の代わりにq符号を用いる理由である。
トライアルな表面パラメータの特定の組に対して、位相差を計算することができる。
トライアルなパラメータは正確であると仮定して、位相差ζ”K,hは、補正されたFDA位相である。理論が実験に良好にマッチングすることにより、原理的には切片がゼロ(すなわちゼロ位相ずれ)の空間周波数Kの単純な線形関数である位相ζ”K,hが得られる。したがって、先を見越すと、成功裏に補正された位相ζ”K,hは、最終的には従来のFDA解析へと下流に供給されるものである。従来のFDA解析では、周波数空間における位相の勾配は、表面高さに正比例していると仮定している。
前段落の所見に基づくと、補正された位相ζ”K,hには、表面高さに無関係な実験に対して理論をマッチングすることの評価を可能にする、対象とする2つの特徴が存在する。第1は、位相ずれA”またはK=0の切片値のζ”K=0,hであり、これは線形フィットによって得られる。第2は、線形フィット後の波数に関する残りの非線形性である。対応するメリット関数は、たとえば以下の通りである。
ここで、σは、補正された位相ζ”K,hに対する(振幅が重み付けされた)線形フィットの勾配である。方程式(91)におけるround()関数によって、位相ずれA”が範囲±πに制限される。
ライブラリ検索は、位相情報を単独で用いて、すなわちメリット関数値χφおよび/またはχφnonの一方または両方を最小限にすることによって、進行することができるが、重要かつ有用な識別特性がフーリエ振幅においても存在する。振幅は、本来的に表面高さに無関係であるという点で、特に興味深い。したがって、たとえば、位相メリットとほぼ同様に、以下の振幅メリット関数を定義することができる。
ここで、Ωは経験的なスケーリング因子である。
メリットχは、対象物表面の全反射率に最も密接に関係しており、空間周波数依存性とは無関係である。一方で、χPnonは、理論および実験的な振幅プロットが、形状においてどの程度良好にマッチングするかを表現する。
振幅メリット関数χおよび/またはχPnonは、位相メリットχφおよび/またはχφnonとは別のものであり、またはその代わりでさえある。したがって、一般的なライブラリ検索メリット関数は、以下のようになる。
ここで、wは重み付け因子である。原理的には、種々のパラメータに対する標準偏差を知ることで、方程式(96)における重みを決定することができる。より経験的なアプローチは、実際のデータおよびシミュレートされたデータに対して種々の重みを試して、それらがどの程度良好に機能するかを調べることである。以下の例では、すべてのメリットの影響に対して、等しい重みwφ=wφnon=w=wPnon=1を選択する。
図8〜13の例では、メリット関数検索手順を、Si上SiOの6つの膜厚:0、50、100、300、600、および1200nmに対して、それぞれ示している。すべての例に対する単一のライブラリは、0〜1500nmの範囲を2nm間隔で含む。データは、シミュレーションであり、ノイズはない。本明細書で説明したすべての例の場合と同様に、走査ステップは40nm、光源波長は498nm、および光源ガウシアンFWHMは30nm(擬単色)である。
これらのシミュレートされた検索の最も興味深い態様は、4つのメリット関数の挙動である。一般的に認められることは、これら4つの関数を含むことによって最終的なメリット値における曖昧さが減り、個々のメリット値に対して膜厚の関数としての強い周期性が存在することである。他の一般的な所見は、非線形性に基づくメリットは、位相および振幅の両方において、300nm以上において最も効果的であるが、位相ずれおよび平均の振幅は、300nm未満の膜厚において支配的である、ということである。このことが示しているのは、χφ、χメリット関数は特に、真の薄膜に対して有用であり、システム特徴付けが重要となり、直接結合して位相ずれおよび振幅の結果になる、ということである。
いったん薄膜厚みを決定(または材料もしくはアルゴリズムに対する他の使用法を特定)したら、FDA処理は通常の方法で進む。しかし、本来の実験的な位相データの代わりに、補正されたFDA位相ζ”K,hを用いる。原理的には、モデリングが成功していれば、ζ”K,hには非線形性がなく、位相ずれはゼロのはずである。したがって、次のステップは、位相スペクトルζ”K,hに対する線形フィットである。振幅の2乗の代わりに振幅スペクトルPを用いる方が、高NAのFDAにとって効果的であるように思われる。フィットによって各画素に対して得られるのは、勾配と、
切片(位相ずれ)とである。
なお、位相ずれA”は、位相データのフリンジのオーダの不確かさから引き継いだダブル・プライムを有する。傾斜σには、この不確かさはない。切片A”および傾斜σから、特定の平均または公称上の空間周波数K0に対して、以下のように「コヒーレンス・プロファイル」と、
「位相プロファイル」とを定義する。
次に、位相θ”における画素間のフリンジのオーダの不確かさを取り除く。
ここで、α’は、画素間2πステップがない本来の位相ずれA”に対する近似である。
最後に、高さプロファイルは、以下から得られる。
なお、位相オフセットγを差し引く必要はない。その理由は、補正された位相ζK,hを生成する際に、差し引くことはすでに行なわれているからである。
表面トポグラフィ測定の第1の例(図14)は、純粋なシミュレーションである。表面トポグラフィは、どこの場所でもゼロである。しかし、その下に存在する膜層は、0から1500nmへ10nm増分で増えている。図8〜13の場合と同じ予測ライブラリを用いて、この試験では、完璧なノイズ・フリーのデータではあるが、予測ライブラリの範囲全体に亘って膜厚がはっきりと決定されることが実証されている。
次の例(図15)もシミュレーションではあるが、ノイズが付加されている。ランダムな付加ノイズは、ガウシアンであり、標準偏差は2ビット、平均は128強度ビットである。これは、実際のデータの典型であると思われる。SiOとSiとの間で反射率に著しい差(4%〜45%)があるが、結果は、明らかに満足のいくものである。
次に、システムの特徴付けについて説明する。
システム特徴付け手順を行なう間に収集されたデータを用いて、位相オフセットγsysおよび線形分散τsysを定義する。システム特徴付けデータを含めるために、フーリエ変換された実験データを、ライブラリ検索の前におよび画素間ベースの他の任意のFDA処理の前に、以下を用いて補正する。
ここで、K0は公称上の空間周波数であり、FDAデータ・セットに対する公称上のスペクトルの周波数を表わす。これは、たとえば、ROIの中心点を位置決めすることによって特定される。なお、理論的なライブラリは変わってはいない。スケーリング係数M(ギリシャ語大文字の「M」)は、対象物の表面反射率をライブラリ検索におけるパラメータとして使用することを可能にする新しいシステム特徴付けである。
フィールド位置の関数としての位相オフセットγsysおよびシステム位相ずれAsysは、フィールド位置の関数として記憶することができ、および以下の式に従って真のシステム分散を計算することができる。
振幅係数Mも、フィールド依存性である。
システム特徴付けデータの形成は、対象物サンプルに対して前述したものと同様の仕方で進める。特徴が既知の加工品に移り、それを測定して、システム特徴付けを決定する。この決定は、完全なシステムに対して予想されるものと、結果がどのように異なるかを見ることによって行なう。具体的には、正確なライブラリ・エントリが事前に決定されている既知のサンプルを用いて、方程式(98)におけるような位相ずれA”と、方程式(102)におけるような最終的な高さh’とを、生成する。次に、完全に平坦な加工品を仮定して、システム位相オフセットと、
システム位相ずれとを計算する。
ここで、connectxy()は、画素間位相アンラッピングである。振幅マップは、以下の通りである。
種々の実施形態においては、複数のシステム特徴付けを平均化することができる。これはおそらく、ある範囲のサンプル形式に亘る最終的な応用例(たとえばSi上のSiO)と同様の表面構造を有する加工品を用いることによって、行なわれる。
前述の説明およびシミュレーションの大半において、薄膜表面構造に説明を絞ってきたが、解析は、他のタイプの複雑な表面構造に対しても適用可能である。以下において、走査干渉分光データを、走査型干渉計顕微鏡の光学分解能よりも小さい表面構造を明らかにするために、どのように解析できるかを示す。光学分解能は最終的に、光源の波長および集光光学部品のNAによって限定される。
図16aに示すのは、実際の走査干渉分光データから決定された高さプロファイルである。この場合、2400ライン/mm(lpmm)の格子で、ピーク−谷(PV)の変調深さは120nmであり、使用した光源は公称上の波長が500nmである。図16aの上部プロファイルは、従来のFDA解析を用いて決定された高さプロファイルを示している。従来の解析が示すPV変調深さは、約10nmにすぎず、実際の変調深さが非常に過小評価されている。この不正確が起こる理由は、格子の特徴が、500nm機器の光学分解能の限界において存在するからである。これは、機器内のカメラの画素解像度が高くて格子を正確に分解するには十分過ぎるほどであっても、当てはまる。
この効果について考慮する1つの方法は、第1の表面箇所に概ね対応する第1のカメラ画素に対する走査干渉分光信号は、隣接する表面箇所からの影響も含む場合があり、これが起きるのは、それらのさらなる表面箇所の表面特徴が光波長に対して十分に先鋭で光を第1の画素に回折するときである、ということである。これらの隣接する表面箇所からの表面高さ特徴によって、第1の表面箇所に対応する走査干渉分光信号の従来の解析が損なわれる。
しかし同時に、これは、第1の表面箇所に対応する走査干渉分光信号は、近くの複雑な表面特徴についての情報を含んでいる、ということを意味する。図17に、このことを示す。同図では、このステップ高さ特徴の周りの種々の箇所に対応する画素からの走査干渉分光信号が示されている。(a)における信号では、ステップ高さは画素の右側にあり、より高くなっている。(b)における信号では、ステップは画素を直接通り過ぎている。(c)における信号では、ステップは画素の左側にあり、より低くなっている。信号内で直ちに明白な識別特性の1つは、(b)におけるフリンジ・コントラストが、(a)および(c)と比べて低くなっていることである。たとえば、ステップ高さが波長の4分の1に等しく、画素の箇所がステップ高さの位置に正確に対応していた場合には、(b)におけるフリンジ・コントラストは、全く消滅する。その理由は、ステップの2つの側面からの干渉が、互いに正確に打ち消しあうからである。(a)および(c)に示した信号内にも多くの情報が存在している。たとえば、図18は、図17の信号(a)および(c)に対する周波数ドメイン位相スペクトルにおける非線形の歪みをそれぞれ示している。この歪みは、近くのステップ高さに起因するものである。これらのスペクトルは、図18において、それぞれ(a)および(b)として示されている。ステップ高さがない場合には、周波数ドメイン位相スペクトルは線形である。すなわち、ステップ高さに隣接する表面の箇所に対応する画素に対する周波数ドメイン位相スペクトルにおける非線形特徴は、ステップ高さについての情報を含む。
このように十分に分解できない表面特徴がある場合の試験表面の表面プロファイルをより正確に測定するためには、薄膜に対する前述したライブラリ検索技術を用いることができる。たとえば、十分に分解できない格子を有する試験表面の場合、一連のモデルFDAスペクトルを、PV変調深さおよびオフセット位置の異なる値に対して生成する。薄膜の例の場合と同様に、モデル・スペクトルに対する表面高さは、固定されたままである。そして、モデル・スペクトルが、薄膜厚みによってパラメータ化されるのではなく、変調深さおよびオフセット位置によってパラメータ化されることを除いて、前述した薄膜の例の場合と同様に解析が続けられる。そして、実際の試験表面に対するFDAスペクトルの識別特性と種々のモデル・スペクトルの識別特性との間の比較を用いて、マッチングを決定することができる。マッチングに基づいて、格子の存在によって生じる各画素に対する実際のFDAスペクトルにおける歪みが取り除かれるので、各画素に対する表面高さを従来の処理を用いて決定することができる。図16bおよび19bに、薄膜に対して前述したものと同じメリット関数を用いた、このような解析の結果を示す。
図16bに示すのは、図16aを参照して前述した2400ライン/mmの格子に対してライブラリ検索解析を用いて決定した高さプロファイルである。図16aおよび16bでは、同じデータを用いた。しかし、ライブラリ検索解析によって、格子に対するPV変調深さは100nmであると決定された。これは図16aの従来のFDA処理によって決定された10nmの結果よりも、実際の120nmの変調深さに、はるかに近い。図19aおよび19bに示すのは、別個のステップ高さを有し、公称上の500nm光源を仮定した場合のシミュレーションに対する同様の解析である。図19aに示すのは、従来のFDA処理を用いて決定した高さプロファイル(実線)と、シミュレーションに対する実際の高さプロファイル(破線)とを、比較したものである。図19bに示すのは、ライブラリ検索方法を用いて決定した高さプロファイル(実線)と、シミュレーションに対する実際の高さプロファイル(破線)とを、比較したものである。ライブラリ検索におけるモデル・スペクトルに対するパラメータは、箇所およびステップ高さの振幅であった。例示したように、ライブラリ検索解析によって、横方向の解像度が約0.5ミクロンから約0.3ミクロンに向上している。
図19a−図19bの例では、ライブラリは薄膜について式(77)と類似する式を用いて理論的に生成されたが、この場合には分母は1に設定され(下にある層からの多重反射がないため)、分子の第1および第2の項は実際のステップ高さ位置からの測定点の横方向の距離に応じて重み付けされ、パラメータLは薄膜の厚さというよりもステップ高さ自体に対応する。従って、この理論モデルは、ステップ高さの両側から発生する光線の複雑な加算に基づいている。検査下にある画素の横方向の位置は距離がステップ高さの位置から増加するため、信号は単純な平面のものとなる傾向がある。
図16a−図16bの例では、2400ラインの格子について、干渉分光器によって生成される信号を観察することで実験的にライブラリが生成される。この実験データに基づき、走査干渉分光データにおける特性は格子の周期における対応する横方向の位置と関連付けられ、ライブラリが構築される。次に、試験サンプル(この場合では同じ2400ラインの格子)の各画素に対する実験データがライブラリと比較され、該画素に対する周期における最良な横方向の位置が決定される。
前述した詳細な解析において、実際のデータにおける情報と、種々のモデルに対応する情報との間の比較を、周波数ドメインにおいて行なった。他の実施形態においては、比較を、走査座標ドメインにおいて行なうことができる。たとえば、フリンジ・コントラスト包絡線の絶対位置の変化は一般に、当該の信号に対応する第1の表面箇所における表面高さの変化を示すが、信号の形状(その絶対位置とは無関係)は、複雑な表面構造、たとえば第1の表面箇所におけるその下の層および/または隣接する箇所における表面構造の情報を含む。
1つの簡単なケースでは、フリンジ・コントラスト包絡線それ自体の振幅を考慮することである。たとえば、薄膜厚みが、光源が生成する波長範囲と比べて非常に小さい場合、薄膜が生成する干渉効果は波長とは無関係になる。この場合、薄膜厚みによって直接、フリンジ・コントラスト包絡線の振幅が変調される。したがって、一般的に、フリンジ・コントラストの振幅を、種々の薄膜厚みに対応するモデルに対するフリンジ・コントラストの振幅と比較して、特定の薄膜厚みに対するマッチングを特定することができる(干渉計それ自体からの系統的な影響を考慮する)。
他の簡単なケースでは、フリンジ・コントラスト包絡線の下のフリンジのゼロ交差の相対間隔を見ることである。単純な表面構造を対称的な周波数分散を用いて照明した場合には、種々のゼロ交差間の相対間隔は、公称上は同じになるはずである。したがって、相対間隔の変化によって、複雑な表面構造が示される(干渉計それ自体からの系統的な影響を考慮した場合)。また相対間隔の変化を、種々の複雑な表面構造に対するモデルと比較して、特定の表面構造に対するマッチングを特定することができる。
他のケースでは、走査ドメイン信号と試験表面の種々のモデルに対応する走査ドメイン信号との間の相関関係を見ることである。マッチングは一般的に、ピーク値が最も高い相関関係に対応する。最も高いピーク値は、走査ドメイン信号の形状が実際の信号の形状に最も似ているモデルを示す。なお、このような解析は一般的に、表面高さには無関係である。その理由は、実際のサンプルの表面高さと各モデルの表面高さとの間の差は、相関関数におけるピーク箇所をシフトするだけであり、一般に、ピーク値それ自体に影響を与えるものではないからである。他方で、いったん正確なモデルが特定されると、正確なモデルの相関関数におけるピーク箇所によって、さらなる解析(たとえば従来のFDA)を必要とすることなく、試験サンプルに対する表面高さが得られる。
空間周波数ドメインにおける解析と同様に、走査座標ドメインにおける解析は、多くの異なるタイプの複雑な表面に対して用いることができる。このような表面としては、薄膜だけでなく、他の複雑な表面構造たとえば前述した十分に分解できない表面高さ特徴が挙げられる。
次に、試験サンプルに対する信号と試験サンプルの種々のモデルに対する対応する信号との間の相関関係を伴う走査座標ライブラリ検索解析について詳細に説明する。
このアプローチでは、干渉パターンについての仮定として、以下のように述べる以外はどんな仮定も除外している。すなわち、同じ複雑な表面特性を有する表面箇所に対応するデータ・セット内では、すべての画素に、同じ基本的な局所化された干渉パターンが含まれ、このパターンは、各画素に対して単に位置がシフトしている(あるいは再スケーリングされた)だけである、ということである。信号は、実際にどのように見えるか、すなわちそれがガウシアン包絡線であろうと、周波数ドメインにおいて線形的な位相挙動であろうと、その他何であっても、問題ではない。考え方は、試験対象物に対する複雑な表面構造の種々のモデルに対するこの局所的な干渉パターンを表わすサンプル信号またはテンプレートを生成し、そして各画素に対して、局所的な干渉パターンが実際の局所的な干渉パターンの形状に最良にマッチングするモデルを見出し、そしてそのモデルに対して、干渉パターン・テンプレートと表面高さを与える観察信号との間の最良のマッチングが得られるデータ・セット内の走査位置を見出すことである。パターン・マッチングに対して、複数の技術が利用可能である。1つのアプローチは、各テンプレートをデータと数学的に相関させることである。各モデルに対して、複素数(すなわち実部プラス虚部)のテンプレート関数を用いることで、2つのプロファイルが再生される。一方は、信号の包絡線に密接に関連し、他方は、その下にある搬送波信号の位相に関連する。
一実施形態においては、たとえば、各画素に対する解析は、以下のものを含む。(1)調整可能なパラメータ、たとえば膜厚の特定の値に対して計算されたか、または記録されたテンプレートのライブラリから試験テンプレートを選択する、(2)選択された試験テンプレートおよび相関技術を用いて局所的な表面高さを見出す(その例については、後述する)、(3)相関技術に基づいて選択された試験テンプレートに対するピーク・メリット関数値を記録する、(4)ライブラリにおけるテンプレートのすべてまたはサブセットに対してステップ1〜3を繰り返す、(5)どの試験テンプレートが最良のマッチング(=最も高いピーク・メリット関数値)を与えるかを決定する、(6)最良にマッチングされるテンプレートに対する調整可能なパラメータ(たとえば薄膜厚み)に対する値を記録する、(7)データ・トレース内のピーク・マッチング位置を与えた高さ値を呼び出す。
次に、複素相関に基づく好適な相関技術について説明する。試験表面の各モデルに対して、テンプレート干渉パターンを生成する。
ここで、屈折率jは、テンプレート・パターンに対する特定のモデルを示す。関数m temp(ζ)およびφ temp(ζ)によって、複雑な表面構造が特徴付けられる。しかしこれらの関数は、信号に対応する箇所における表面高さには無関係である。表面高さはゼロに設定される。好ましい実施形態においては、関数m temp(ζ)およびφ temp(ζ)によって、干渉計からの系統的な影響も明らかになる。そして、テンプレート・パターンに対する複素数表現を用いる。
さらにウィンドウ関数を用いて、複素テンプレート関数の特定の部分を選択する。
たとえば、適切なウィンドウは、以下のようであってもよい。
ここで、ウィンドウ幅Δζは、手入力で設定することができる。
干渉パターン・テンプレートI^ patがあるため、それを用いて実際のデータ・セットとの比較を行なうことができる。これを用意する際、実際の実験データ・セットから始まる複素信号I^exを生成することが便利である。
この信号のフーリエ変換は、以下の通りである。
ここで、
そして、スペクトルの正の周波数部分から部分的なスペクトルを構築する。
そして逆変換は、以下の通りである。
ここで、この複素関数I^exの実部は、当初の実験データIexである。さらに、位相および包絡線は、簡単な操作によって分離することができる。たとえば、複素関数I^exの振幅を用いて、信号強さACex(x)および包絡線mexの積を利用することができる。
技術の基礎となる理論にしたがって、mexの少なくとも有意義な部分が、正確なモデルに対するm tempと同じ一般的な形状を有し、唯一の違いは、線形のオフセットhexおよびスケーリング因子ACex(x)であると予想される。また実験および干渉パターン・テンプレート位相オフセットφex、φ pat間の差が、正確なモデルに対する高さhexに直線的に比例していると予想される。
当面の課題は、干渉パターン・テンプレートI^ patによって表わされる特定の信号パターンを実験データI^ex内に配置して、異なるモデルjのそれぞれに対してどのくらい良好なマッチングが存在するのかを決定することである。以下においては、屈折率jを省略し、マッチング解析が各モデルに対して進行することについて述べる。
第1のステップは、包絡線mex、mpatおよびφex、φpatの形状が最良にマッチングされる走査位置ζbestを見出すことである。実行可能なアプローチは、干渉パターン・テンプレートと、ウィンドウwによって規定される走査のセグメント内の信号との、規格化された相関関係に基づくメリット関数である。
ここで、
は、複素相関関数であり、および
は、メリット関数Πを信号強さとは無関係にする規格化である。テンプレートの複素共役I^ patを用いることによって、同位相の線形の位相項Kζが打ち消され、φex、φplatがマッチングするときにΠが最大になる。相関関係の絶対値によって、残りのわずかな複素位相も取り除かれる。
Π(ζ)が、誤った高い値を生じることも、低い信号レベルにおいて特異性に遭遇することもないように、慎重に分母に最小値を加える。たとえば以下のようである。
ここで、max()関数は、全走査長さζにおける信号強さ|I^ex|の最大値を戻す。MinDenomは、メリット関数検索において有効であると考えられる最小の相対信号強さである。MinDenomの値は、5%または他の何らかの小さい値においてハード・コードすることもできるし、調整可能なパラメータのままにしておくこともできる。
相関積分I^も、相関定理を用いて周波数ドメインにおいて実行することができる。
ここで、Iは以下の関係を用いた。
ここで、
Πを通して検索してピーク値を見出すことによって、最良のマッチング位置ζbestが得られる。Πの値は、マッチングの品質の測定値であり、ゼロから1の範囲である。なお1は、完全なマッチングに対応する。メリット関数のピーク値を、種々のモデルのそれぞれに対して計算して、どのモデルが最良のマッチングであるかを決定する。そしてそのモデルに対する最良のマッチング位置ζbestによって、表面高さが与えられる。
図20〜24に本技術の例を例示する。図20に示すのは、薄膜のないベースSi基板の実際の走査干渉分光信号である。図21および22に示すのは、ベアSi基板と、1ミクロンのSiOがSi上に形成された薄膜構造とのそれぞれに対する干渉テンプレート・パターンである。図23および24に示すのは、図21および22のそれぞれのテンプレート関数に対する走査位置の関数としてのメリット関数である。メリット関数は、ベア基板に対する干渉テンプレート・パターンのマッチング(ピーク値0.92)の方が、薄膜テンプレート・パターンに対するマッチング(ピーク値0.76)よりも、はるかに良好であることを示している。したがって、メリット関数は、試験サンプルはベア基板であることを示している。さらに、正確なテンプレート・パターンに対するメリット関数におけるピークの位置によって、試験サンプルに対する相対的な表面高さ位置が与えられる。
特に、フロント・エンド半導体製造工程におけるパターン化された構造等の十分に分解できない表面特徴に関わる技術の具体例について説明する。
光リソグラフィによってパターン化されているが、フロント・エンドまたはトランジスタ・レベルの半導体製造工程は、可視波長顕微鏡の解像限界よりはるかに低い特徴を伴う。トランジスタ・ゲート等の最小特徴が40nm幅のオーダであるのに対し、典型的な走査型干渉計の機器の伝達関数は400nmの周期的な構造についてゼロになる。たとえば、図28は100X、0.8NAのミラウ型対物レンズおよび非コヒーレント照明を用いる白色光干渉顕微鏡に対する理論的および実験的な機器の伝達関数を示している。この下限にゲート、シャロー・トレンチ・アイソレーション(STI)、配線、および、ビアの分離がしばしば相当し、全てではないが幾らかの表面構造を見ることができる。従って、これら十分に分解できない特徴は、白色光干渉顕微鏡を用いた通常の方法では高さの対象物として直接的に測定することができない。しかし、分解能未満の高さの変化が走査干渉分光データの生成にどのような悪影響を及ぼすかを把握することができれば、該特徴をパラメータ・モニタリング(たとえば、深さおよび幅)することがまだ可能である。
図29aは、両側にパターン化されていない領域のある対称的な格子の簡単な断面モデルを示す。y座標は、ラインに対して平行であり且つ図面の方向に向けられ、x座標は左右方向にある。z=0の垂直位置はラインの頂部に対応する。単純なスカラー回折モデルとアッベ原理により、格子ライン幅Lと間隔Wが図28に示される解像限界未満となると、格子ラインが一緒にぼやけ、ニュー・ビュー(NewView)が横方向の寸法LおよびW並びに高さHを直接測定することができなくなることが確認される。しかし、この同じスカラー解析は、ゲートライン自体は区別可能でないがエッチングされた領域の見かけの高さが実際にはラインの高さ、幅、および、間隔に依存することを示している。正確な依存度はモデリングによってある程度予想でき、実験的証拠により正確化される。
前述した通り、本願に開示する特定の実施形態は、パターン化された領域の見かけの高さが関心のある特徴パラメータに関連するといった観察結果を利用している。FDA等の従来の処理干渉分光技術を用いて見かけの表面高さプロファイルが生成される。ピッチが半波長未満の場合には、これらプロファイルは、格子構造上に重畳されるシミュレーション測定された表面プロファイルを示す図29bに示すように、全体的な「平均化された」高さH’では、格子ラインを全く示さないか、あるいは、最大でもラインの幾らかのエコーだけを示す。そのため、ライン上の領域とパターン化されていない露出基板上の領域との間の高さH’が測定される。この結果は、エッチング深さEを減算してE’=H’−Eを得ることによりゼロ・エッチング高さとして参照される。代替的には、ゼロ・エッチングの測定されたプロファイルが図29c(同様に、格子構造上に重畳されたシミュレーション測定された表面プロファイルを示す)のように利用可能な場合には、測定されたエッチング深さE’はゼロ・エッチング高さとして直接参照される(この場合がより一般的な測定シナリオである)。事前に横方向の寸法LおよびWが既知であると仮定して、正確なライン高さHは、モデリングにより関心パラメータに対する測定手順全体の感度に追随する。代替的には、HおよびLが既知である場合には、Wまたはライン形状等の他のパラメータを推測することができる。
偏光効果を考慮してより厳密なモデリングが用いられると、図29bおよび29cに示されるシミュレートされたプロファイルが、偏光がゲートラインに直交する(x方向と定義される)場合の挙動を定量的に表わすことが示される。反対に、平行またはy偏光は、ラインの頂部によってより強く影響を及ぼされ、ある場合には、ライン間に間隔がないかのように発生する。これは、ライン間の領域を犠牲にしてゲートラインと位置合わせされた偏光がラインの頂部の影響を高める電流を生成する点で物理的な意味をなしている。各種構造パラメータに対する測定感度は偏光と共に変化するため、エッチング深さ等の特定のパラメータを分離してライン幅等の他のパラメータに対する感度を最小限にすることが可能となる。
従って、該測定技術の実施形態は、1)円偏光による標準的な干渉顕微鏡の使用と、予めエッチングされたシリコンに対する既知の高さの視野における近隣のパターン化されていない領域とのステップ高さの比較;2)上述したような、深い(>20nm)トレンチに対する感度を改善するためのx(ラインに直交)偏光の使用;3)直線偏光の使用と、直交配置された格子ラインの近隣領域間の高さの比較;4)平滑且つ平坦な領域等のように偏光依存していない共通の基準に対する同じ視野におけるxとyの偏光状態それぞれについての測定された高さの比較;5)たとえば、二つの偏光に対するデータを同時に取得する、または、偏光状態を直接干渉させることによる、同じ視野におけるxとyの偏光状態それぞれについての測定された高さの互いとの比較、を含む。このアプローチは、対象物表面上に別個の基準を設ける全ての必要性を排除する。
基本的な測定原理は、格子に限らず、他の構造に拡張可能であることに注意する。
スカラーまたはアッベ・モデルは、基本的な測定の問題に幾らかの重要な見識を提示するものの、複雑(多材料)な十分に分解できない表面構造に対する定量解析は、上述したレイリー仮説に基づく2Dアプローチまたはより厳密なRCWAアプローチ等、帯域幅や入射角の範囲にわたってマックスウェル方程式を解くことで干渉分光信号のより厳密なモデリングの点で利益を受けることができる。
たとえば、2Dのレイリーアプローチは、パターン化された構造上のサンプル・ウェハに入射する円偏光光に対する、図27に示す反転結果を説明している。具体的には、未偏光あるいは円偏光の光では、逆相関をもたらすxとyの偏光状態間で競合が存在する。反転は、x偏光反射強度のエッチング深さに対する高い感度に起因するため、y偏光と比べてx偏光の寄与に変調が生ずる。二つの偏光は異なる深さを示すため、それぞれの反射強度間のバランスが見かけの格子変調深さと実際の格子変調深さとの間の非線形の相関関係を生じさせる。2Dのレイリー計算は、このような反転が分解できない格子ラインで起こり得ることを示している。
別の実施例では、上膜層のない純粋シリコンの5ラインの格子をモデリングするために厳密なRCWAアプローチが使用され、このときラインは幅W=120nm、ピッチL=320nmである。図30aに格子の略図を示す。図30bに示すシミュレーションの出力は、一連の画素(x方向)それぞれに対するシミュレートされたSWLI信号(z方向)である。図30bは、ライン間の領域またはトレンチよりもラインの頂部により敏感な、ラインに対して平行なy偏光に対する結果だけを示している。図31aおよび31bのように、xおよびy偏光それぞれについて、単一の画素に対する出力を見ると、包絡線またはフリンジ・コントラスト関数によって変調された搬送フリンジ・パターンによって近似化される、馴染みのある白色光干渉パターンが認識できる。ここで、包絡線構造及びより低い高さに対応する左側への一般的なシフトを有する減衰した信号につながる、格子ラインの未処理の頂部とその間のトレンチとの競合を示す幾らか歪んだx偏光信号(図31a)に注目すべきである。
これらの信号をFDA解析すると、x偏光(格子ラインに直交)とy偏光(格子ラインに平行)それぞれについての、図32aおよび図32bに示す見かけ表面プロファイルが得られる。ステップ高さ測定に関連付けるためには、中心画素をエッジ画素と比較してH’を決定し、この際、エッジ画素は基板の真のエッチング深さEを示していると推定する。測定された基板のエッチング深さはE’=H’−Eとなる。この値は、エッチングされていない基板領域を参照した場合に測定される値である。見かけのエッチング深さを実際の深さに変えるためにはモデリングまたは実験的データを利用する。
図33aおよび図33bは、y偏光(格子ラインに平行)およびx偏光(格子ラインに直交)にそれぞれついて、異なる実際のエッチング深さEの関数として、シミュレートされた走査干渉分光データから抽出される見かけのエッチング深さE’=H’−Eに対するRCWA解析結果を示す。E’=E線は基準用である。図34aおよび図34bは、y偏光(格子ラインに平行)およびx(格子ラインに直交)それぞれについて、異なる実際のエッチング深さEに対する対応する信号強度を示す。結果は、二つの偏光状態に対する期待挙動を示す。明らかに、図34bに示すように145nmのエッチング深さで反射強度が最小値となると仮定すると、エッチング深さの感度に対する好ましい形態はx偏光である。
測定された高さE’とエッチングされた高さEとの差は、ある意味では、上膜層を含む未処理の格子ラインから結果として生ずるバイアスまたはオフセットである。理想的なケースでは、基板のエッチング深さが唯一の関心パラメータであるとして、機器はラインの存在を単に無視する。図35は、図33bと同じデータに対するグラフであり、x偏光について、バイアスが適度でありエッチング深さと共に急速に変化しないことを示している。具体的には、シリコン格子について、測定バイアスまたはオフセットE−E’を測定されたステップ高さ−E’の関数として示している。
図36は、純粋Si格子についての、円偏光光に対する期待RCWA結果を示す。具体的には、一対一の対応線と比較した際の、円偏光光による、測定されたエッチング深さE’=H’−Eに対するRCWA予想をシリコン格子に対する実際のエッチング深さEの関数として示す。ここで、システム応答が一般的に乏しく、特に、測定されたエッチング深さと実際のエッチング深さとの逆相関が60nmと170nmであることに注意する。これは、レイリー仮説から予想され、図27に示され、上述した現象と同じである。100nmを超えるエッチングでは、測定された深さは負である、つまり、エッチングされたシリコンはエッチングされていないシリコンより上に隆起する。該領域は窪みよりもむしろ突起物に見える。
図37aおよび図37bは、yおよびx偏光それぞれについて、5ラインのシリコン格子に対する、測定された(見かけ)エッチング深さE’=H’−EのRCWA予想をエッチング深さE=100nmおよびピッチL=320nmに対する特徴幅Wの関数として示す。それぞれのグラフでは、実線は基準用のエッチング深さを印している。これらグラフは、広範囲に亘るライン幅に対する相対的な不感性を含む幾つかの興味深い挙動を示している。これらの結果は、たとえば、100nm乃至180nmのライン幅について、少なくともこのパラメータの組み合わせでは(図33と比較)x偏光測定がライン幅というよりもエッチング幅に対してはるかに敏感であることを示している。しかし、y偏光は、それぞれの場合で感度が弱いものの、エッチング深さというよりもライン幅に対してより敏感であることを示している。
定量的には、図33bにおける反射率の最小値と図36の逆相関は、対応する格子の深さによって四分の一波長の反射防止状態に関連する位相フリップが生じる状態からの結果であるものと理解される。従って、相関曲線のより線形の部分に移動することが望ましい場合、干渉計で使用される光の波長は、四分の一波長状態に対して調節され、このとき四分の一波長状態は、格子深さと、ライン間の材料屈折率(たとえば、本構造では空気についてn=1)との積が光波長の四分の一と光波長の半分の整数の倍数(ゼロを含む)との和に等しいときに満たされる。一方で、反射率の最小値(より一般的には、干渉分光信号強度から導出される反射率情報)の存在は、十分に分解できない表面特徴についての情報を決定するためにサンプルの異なるモデルに対する期待情報と比較される情報として、単独でまたは、見かけの表面プロファイルと共に使用されてもよい。
上述の例は特に、一次元のパターン化された構造に関わるが、該技術の一般的な原理は離散しているステップ高さや二次元のパターン化された構造等、他のタイプの十分に分解できない表面パターンにも拡張され得る。その基礎となる原理は、表面特徴が十分に分解できないとしても、個々の画素に対する干渉分光信号や複数の画素からの干渉分光信号から抽出される集合的な情報の両方に影響を与えることである。従って、実験的に導出された情報は、関心のある十分に分解できない特徴に対して異なる値でパラメータ化されたモデルと比較され得、その最良な比較により関心特徴の対応する値が得られる。
更に、他の例では、格子の異なる部分が異なる変調深さを有する場合もあり、また、異なる材料組成上に形成される場合もある。たとえば、格子構造は、二酸化珪素の領域を含むシリコン基板上に形成される。更に、二酸化珪素間の格子の領域は異なる深さにエッチングされる。このような実施形態では、モデルと比較される情報は、格子の様々な分解された領域間の見かけの表面高さの差等である。つまり、個々の格子ラインが分解されなくても、二酸化珪素上に形成される格子の部分は格子の他の部分から区別可能である。
図38は、電子プロセッサ970の制御下で、干渉分光信号を生成するために使用される干渉分光システム900の構成要素がどのように自動化されるかを示す図であり、本開示の実施形態では、電子プロセッサは、数学的解析(たとえば、モデル・ライブラリとの比較)を実施する解析プロセッサ972と、干渉分光システムの各種構成要素を制御する装置制御部974と、ユーザ・インタフェース976(たとえば、キーボードおよびディスプレイ)と、情報(たとえば、ライブラリ・モデルおよび校正情報)、データ・ファイル、および/または、自動プロトコルを記憶する記憶媒体978と、を含む。干渉分光システム900は、一般的に、ステージ950上のマウント940に固定される試験対象物926に試験光922を方向付ける。
最初に、システムは、複数の対物レンズ912を支持し、選択された対物レンズを入力光の路に案内するよう構成される電動タレット910を含む。1つまたは複数の対物レンズが干渉対物レンズでもよく、異なる干渉対物レンズは異なる倍率を提供する。更に、ある実施形態では、干渉対物レンズは、(たとえば、格子ラインに直交するよう偏光された光で格子パターンを照明するために)取り付けられる一つ(または複数の)偏光素子(たとえば、直線偏光子)を含んでもよい。このような場合、偏光子の配向は、たとえば、パターン化された構造の格子ラインに対して照明光の偏光を位置合わせするよう自動制御下にあってもよい。更に、1つまたは複数の対物レンズが、それぞれ異なる倍率を有する非干渉分光対物レンズ(即ち、基準脚がないもの)でもよく、それによりシステム900は試験表面の光像を収集する従来の顕微鏡モードで動作することもできる。タレット910は、ユーザ入力または何らかの自動プロトコルに応じて所望の対物レンズを選択する電子プロセッサ970の制御下にある。
システムは、リレー・レンズ936および938を支持する電動ステージ920(たとえば、鏡筒ホルダ)を含む。このようなステージは、本願で一般的に意図されるプロファイリング・モードと、瞳平面が検出器に結像されるエリプソメトリーまたは反射率測定モードとを選択するために使用され得、本願で参照として組み込まれる、コロナ デ レガ(Colonna de Lega)外による米国特許出願公開第2006/0158659号明細書、発明の名称「対象物表面の特性を決定する干渉計(Interferometer for determining characteristics of an object surface)」に開示される。電動ステージ920は、ユーザ入力または何らかの自動プロトコルに応じて所望のリレー・レンズを選択する電子プロセッサ970の制御下にある。第1と第2のモード間で切り換えるために検出器の位置を調節するよう並進ステージが移動される他の実施形態では、並進は電子プロセッサの制御下にある。更に、複数の検出チャネルを備える実施形態では、各検出器は解析のために電子プロセッサ970に接続される。
更に、システムは、視野絞りおよびアパーチャ絞りそれぞれの寸法を制御するために電子プロセッサ970の制御下にあるアパーチャ930および932を含む。ここでも、電動アパーチャは、ユーザ入力または何らかの自動プロトコルに応じて所望の設定を選択する電子プロセッサ970の制御下にある。
干渉計の試験脚と基準脚との間の相対的な光路長を変えるために使用される並進ステージ980は、電子プロセッサ970の制御下にある。並進ステージは、試験対象物926を支持するマウント940に対して干渉対物レンズの位置を調節するために接続される。代替的に、更なる実施形態では、並進ステージはマウントに対して干渉分光システムの位置を全体として調節することができ、または、並進ステージはマウントに接続されてもよいため、マウントが光路長差を変えるために移動される。
更に、電子プロセッサ970の制御下にある横方向の並進ステージ950は、光学検査されている試験表面の領域を横方向に平行移動するために試験対象物を支持するマウント940に接続される。ある実施形態では、並進ステージ950は、試験表面を干渉対物レンズの光軸に対して垂直に位置合わせするようマウント940を方向付けることができる(たとえば、傾けるおよびチルトさせる)。
最後に、電子プロセッサ970の制御下にある対象物処理ステーション960は、測定のためにシステム900に試験サンプルを自動的に案内し、取り除くよう、マウント940に接続される。たとえば、技術において公知の自動ウェハ処理システムが該目的のために使用されてもよい。更に、システム900と対象物処理システムは、必要であれば、試験対象物の汚染を最小化するために真空下または無菌室下で収容されてもよい。
結果として得られるシステムは、様々な測定法および手順を提供することに柔軟である。たとえば、システムは、対象物の様々な横方向の位置について試験対象物の光像を捕捉するために1つまたは複数の選択倍率を有する顕微鏡モードで最初に構成されてもよい。このような画像はユーザによって、または、電子プロセッサ970(マシン・ビジョン技術を利用して)によって解析され、対象物中のある領域(たとえば、特定の構造または特徴、目印、基準マーカ、欠陥等)が識別される。この識別に基づき、サンプルの選択された領域がエリプソメトリー・モードで分析され、サンプル特性(たとえば、屈折率、下にある膜の厚さ、材料識別等)が決定される。
自動対象物処理システム960と併せて使用された場合、測定手順は一連のサンプルについて自動的に繰り返される。これは、1つまたは複数の半導体処理段階をモニタリングする、試験する、及び/または、最適化する等の様々な処理制御スキームにとって有用である。
たとえば、システムは、ツール特有モニタリング、または、処理の流れ自体を制御するために半導体処理において使用されてもよい。処理をモニタリングする用途では、対応する処理ツールによりパターン化されていないSiウェハ(モニタリング・ウェハ)上で単層/多層膜が成長され、堆積され、研磨され、または、エッチングされ、その後、本願に開示する干渉分光システムを用いて厚さ及び/または光学特性が測定される。モニタリング・ウェハの厚さ(及び/または光学特性)の平均並びにウェハ均一性は、関連する処理ツールが意図とする仕様で動作しているか、または、新しくターゲットに向けられる、調節される、または、製造使用から外されるべきかを判断するために用いられる。
処理を制御する用途では、対応する処理ツールによりパターン化されたSi製造ウェハ上で後者の単層/多層膜が成長され、堆積され、研磨され、または、エッチングされ、その後、本願に開示する干渉分光システムを用いて厚さ及び/光学特性(たとえば、エリプソメトリー・モード、プロファイリング・モード、またはその両方を用いて)が測定される。処理制御に使用される製造測定は、典型的には、小さい測定部位と関心サンプル領域に対して測定ツールを位置合わせすることを伴う。該部位は、多層膜積層体(それ自体がパターン化されていてもよい)よりなるため、物理的パラメータを抽出するために複雑な数学的モデリングを必要とする。処理制御測定は、統合された処理の流れの安定性を判断し、統合された処理が継続される、新しいターゲットに向けられる、他の機器に再び方向付けられる、または、完全にシャットダウンされるべきかを判断する。
具体的には、たとえば、本願記載の干渉分光システムは、拡散、高速熱アニール、化学気相蒸着ツール(低圧および高圧)、誘電体エッチング、化学的機械的研磨、プラズマ堆積、プラズマ・エッチング、リソグラフィ・トラック、リソグラフィ露光ツール等の機器をモニタリングするために使用され得る。更には、本願記載の干渉分光システムは、トレンチおよびアイソレーション、トランジスタ形成、並びに、層間誘電体形成(たとえば、デュアルダマシン)等の処理を制御するために使用され得る。前述した方法およびシステムは、半導体応用例において特に有用であり得る。本発明のさらなる実施形態は、前述した測定技術のいずれかを適用して後述の半導体応用例のいずれかに対応すること、測定技術および半導体応用例の両方を行なうシステムとを含む。
現在、表面トポグラフィの定量測定を行なうことは、半導体業界においてかなり関心が持たれている。典型的なチップ特徴のサイズが小さいために、これらの測定を行なうために使用される機器は通常、チップ表面に平行および垂直の両方において高い空間分解能を有していなければならない。エンジニアおよび科学者は、表面トポグラフィ測定システムを用いて、プロセス制御を行ない、また製造の過程で生じる欠陥、特にエッチング、研磨、クリーニング、およびパターニングなどのプロセスの結果として生じる欠陥を検出する。
プロセス制御および欠陥検出が特に有用であるためには、表面トポグラフィ測定システムは、横方向の解像度が、典型的な表面特徴の横方向のサイズに匹敵し、垂直方向の解像度が、許容される最小の表面ステップ高さに匹敵しなければならない。通常、このことは、横方向の解像度がミクロン未満であり、垂直方向の解像度が1ナノメータ未満であることが要求される。またこのようなシステムが、その測定を、チップ表面に接触せずに、その他の場合には潜在的に損傷を与える力を表面に及ぼすことなく、行なって、表面改質または欠陥導入を回避することが好ましい。さらに、チップ作製において使用される多くのプロセスの効果が局所的な因子たとえばパターン密度およびエッジ近似に強く依存することが良く知られているため、表面トポグラフィ測定システムが、高い測定スループットと、対象とする1つまたは多くの表面特徴を含み得る領域において大面積に亘って高密度にサンプリングする能力とを有することも重要である。
いわゆる「デュアル・ダマシン銅」プロセスを用いて、チップの種々の部品間の電気的な相互接続を作製することは、チップ・メーカの間で一般的になりつつある。これは、好適な表面トポグラフィ・システムを用いて効果的に特徴付けを行なうことができるプロセスの例である。デュアル・ダマシン・プロセスには、5つの部分があると考えることができる。(1)誘電体材料(たとえばポリマー、またはガラス)の層を、ウェハ(複数の別個のチップを収容する)の表面上に堆積させる中間層誘電体(ILD)堆積。(2)誘電体層を研磨して、精密な光リソグラフィにとって好適な滑らかな表面を形成する化学的機械的研磨(CMP)。(3)ウェハ表面に平行に進行する狭いトレンチと、トレンチの底部から下方の(事前に規定された)電気伝導層まで進行する小さいビアとを含む複雑なネットワークが形成されるリソグラフィ・パターニングおよび反応性イオン・エッチング・ステップの組み合わせ。(4)銅が過剰充填されるトレンチおよびビアをもたらす金属堆積ステップの組み合わせ。(5)過剰の銅を取り除いて、誘電体材料によって囲まれた、銅が充填されたトレンチ(およびあるいはビア)のネットワークを残す最終的な化学的機械的研磨(CMP)ステップ。
通常は、トレンチ領域における銅の厚み(すなわちトレンチ深さ)、および周囲の誘電体の厚みは、0.2〜0.5ミクロンの範囲である。結果として生じるトレンチの幅は、100〜100、000ナノメータの範囲にあってもよい。また各チップ内の銅領域は、ある領域では、規則的なパターンたとえば平行なラインのアレイを形成してもよく、他の領域では、明らかなパターンがなくてもよい。同様に、ある領域では、表面が銅領域によって高密度に覆われていてもよく、他の領域では、銅領域はまばらであってもよい。以下のことを理解することは重要である。すなわち、研磨レート、したがって研磨後の残存する銅(および誘電体)の厚みは、研磨条件(たとえばパッド圧力および研磨用スラリ組成)だけでなく、銅および周囲の誘電体領域の局所的な詳細な配置(すなわち、方位、近接性、形状)に強くかつ複雑な仕方で依存する。
この「位置依存性の研磨レート」は、可変の表面トポグラフィを、多くの横方向の長さ規模で生じることが知られている。たとえば、集合体上のウェハのエッジ近くに位置するチップは、中心近くに位置するチップよりも急速に研磨され、その結果、形成される銅領域は、エッジ付近では所望よりも薄く、中心では所望よりも厚くなることを意味する場合がある。これは、「ウェハ規模」のプロセス不均一性の例であり、すなわち、ウェハ直径に匹敵する長さ規模で起こる。また、高密度の銅トレンチが存在する領域の研磨レートは、銅ライン密度が低い近くの領域よりも高いことが知られている。これは、高い銅密度領域における「CMP誘導腐食」として知られている現象の原因となる。これは、「チップ規模」のプロセス不均一性の例であり、すなわち、単一チップの長さ寸法に匹敵する(はるかに小さい場合もある)長さ規模で起こる。銅充填された単一のトレンチ領域(周囲の誘電体材料よりも高いレートで研磨される傾向がある)内では、他のタイプのチップ規模不均一性(「デッシング」として知られている)が起こる。トレンチが幅デッシングにおいて数ミクロンよりも大きくなると危険で、影響されたラインの電気抵抗が後に過度に大きくなることがある。これはチップ故障の原因となる。
CMP誘導のウェハおよびチップ規模のプロセス不均一性は、本来的に予測が難しい。またこれらは、CMP処理システム内の状態の進展とともに経時変化する。どんな不均一性も確実に許容限界内に留まるように、プロセス条件を効果的にモニタして適切に調整するためには、プロセス・エンジニアが、チップ上での非接触の表面トポグラフィ測定を多数かつ幅広い箇所で頻繁に行なうことが重要である。これは、前述した干渉分光技術の実施形態を用いれば、可能である。
上述の干渉計の実施形態は、ミラウ型とリニック型の干渉対物レンズを含む。ミラウ型では、干渉対物レンズのビーム・スプリッタは、基準光を試験光の光軸に沿って戻るよう方向付ける。リニック型では、ビーム・スピリッタは試験表面に対して(入力光に対して)対物レンズの前に位置決めされ、試験光および基準光を異なる路に沿って方向付ける。別個の対物レンズが基準光を基準レンズに合焦するために使用される。つまり、ビーム・スプリッタは、入力光を試験光と基準光に分離し、別々の対物レンズが試験光と基準光をそれぞれ試験表面と基準表面に合焦する。二つの対物レンズは、試験光と基準光が同様の収差および光路を有するように互いと合致されることが理想的である。
他の実施形態では、干渉分光システムは、マイケルソン対物等の異なるタイプの干渉対物レンズを代わりに用いてもよく、この際、ビーム・スプリッタは基準光を試験光の光軸から離れるよう方向付ける(たとえば、ビーム・スプリッタは試験光と基準光が互いに対して直角に進むよう入力光に対して45度に方向付けられる)。このような場合には、基準表面は試験光の路の外側に位置決めされる。
更なる干渉計の構造も可能である。たとえば、システムは、試験サンプルを透過した後に基準光と合成される試験光を収集するよう構成され得る。このような実施形態では、システムは、各脚にデュアル顕微鏡対物レンズを備えるマッハ・テェンダー干渉計を実行してもよい。
干渉計の光源は、スペクトル帯域通過フィルタを備えるもしくは備えないハロゲン灯またはメタル・ハライド・ランプ等の白熱光源、広帯域レーザ・ダイオード、発光ダイオード、同じまたは異なるタイプの幾つかの光源の組み合わせ、可視スペクトル領域の全ての光源、特に、粗い表面を見るため且つ位相プロファイリングを適用するためのIRスペクトル領域の全ての光源、および、特に高められた横方向の解像度のためのUVスペクトル領域における全ての光源のいずれでもよい。広帯域用途では、光源は好ましくは平均波長の5%より長い、より好ましくは、平均波長の10%、20%、30%、更には50%より長い正味のスペクトル帯域幅を有する。調節可能な狭帯域用途では、調節領域は、幅広い範囲の波長にわたって情報を供給するような広さを有していることが好ましく(たとえば、可視光について50nmより大きい、100nmより大きい、更には200nmより大きい)、一方で、任意の特定の設定におけるスペクトル幅は10nm、2nm、または、1nm程に小さい分解能を最適化するために狭いことが好ましい。光源は、光源から出射される入力光の空間広がりを増大させるために1つまたは複数の拡散素子を含んでもよい。
更に、並進ステージ150等のシステム中の各種並進ステージは、圧電装置、ステッパ・モータ、および、音声コイルのいずれかによって駆動され、光路長を変えるよう純粋な並進(たとえば、液晶、電気光学効果、歪みファイバ、および、回転波長板のいずれかを用いる)よりもむしろ光学機械的にまたは光学電子的に実行され、屈曲マウントを備える全ての駆動部および機械的ステージ、たとえば、転がり軸受けまたは空気軸受けを備える全ての駆動部でもよい。上述した通り、走査干渉分光信号に対する位相シフトが機械的並進ステージを用いてしばしば行われるが、試験脚と基準脚との間に非ゼロ光路長差がある場合には、光源の波長を変えることで干渉計の試験脚と基準脚との間の位相を変化させることが可能となる。
電子検出器は、多素子CCDまたはCMOS検出器等、空間分解能を有する光学干渉パターンを測定するどのタイプの検出器でもよい。
前述したコンピュータ解析方法はどれも、ハードウェアもしくはソフトウェアまたは両方の組み合わせにおいて、実施することができる。本方法は、本明細書で説明した方法および図に従って、標準的なプログラミング技術を用いて、コンピュータ・プログラムで実現することができる。プログラム・コードを入力データに適用して、本明細書で説明した関数を実行し、出力情報を生成する。出力情報を、1つまたは複数の出力装置たとえばディスプレイ・モニタに加える。各プログラムを、高レベルの手続き型またはオブジェクト指向のプログラミング言語で実現して、コンピュータ・システムと通信してもよい。しかしプログラムは、必要に応じて、アセンブリまたは機械語で実現することができる。どの場合でも、言語は、コンパイルされた言語またはインタープリットされた言語とすることができる。さらに、プログラムを、その目的用に事前にプログラムされた専用の集積回路上で実行させることができる。
このようなコンピュータ・プログラムはそれぞれ、好ましくは一般的または特別な目的のプログラマブル・コンピュータによって読取可能な記憶媒体または装置(たとえば、ROMまたは磁気的ディスク)上に記憶して、記憶媒体または装置がコンピュータによって読み取られたときに、本明細書で説明した手順を行なうようにコンピュータを構成および操作する。またコンピュータ・プログラムは、プログラム実行中に、キャッシュまたは主メモリ内に存在することもできる。また本解析方法は、コンピュータ読取可能な記憶媒体として実現することができ、コンピュータ・プログラムによって構成することができる。記憶媒体をそのように構成することによって、コンピュータを特定および所定の仕方で動作させて、本明細書で説明した機能が実行される。上述の具体的な説明は、干渉分光システムにおいてコヒーレンスが制限されているために干渉フリンジが局所化している走査干渉分光信号に関するが、多くの実施形態では、フリンジが局所化されていない干渉分光信号から複雑な表面特徴についての情報を抽出することが可能である。
たとえば、フリンジの局所化がない試験対象物の異なる箇所からの干渉分光信号は、試験対象物に対する見かけの表面プロファイルを生成するためにさらに使用することができ、その見かけの表面プロファイルあるいはそこから導出される情報は、見かけの表面プロファイルでは未処理のまたは不明瞭な試験対象物の横方向の表面特徴の異なる値に対する期待応答のモデルと比較されて、低コヒーレンス走査干渉分光信号について上述したのと略同じ方法で十分に分解できない特徴についての情報が決定される。このような「高」コヒーレンス干渉分光信号から表面プロファイル情報を抽出する技法は、一般的に位相シフト干渉法(PSI)アルゴリズムと呼ばれ、技術において周知である。たとえば、本願で参照として組み込まれる、米国特許第6,359,692号明細書、発明の名称「波長調節位相シフト干渉法を用いて複数の反射表面を有する対象物をプロファイリングする方法およびシステム(METHOD AND SYSTEM FOR PROFILING OBJECTS HAVING MULTIPLE REFLECTIVE SURFACES USING WAVELENGTH−TUNING PHASE−SHIFTING INTERFEROMETRY)」の背景及び内容を参照する。PSI解析について干渉分光データを生成するためには、所与の画素に対する干渉分光信号は、基準脚と測定脚との間の光路長差を機械的に変えることで、または、基準脚と測定脚との間の固定の非ゼロ光路長差に対する光の波長を変えることで生成される。
本発明の多くの実施形態について説明したが、本発明の趣旨および範囲から逸脱することなく種々の変更を行なってもよいことが、理解される。

Claims (20)

  1. 試験対象物上の格子構造の1つまたは複数の空間特性を決定する方法であって、前記格子構造が400nm未満の幅を有するライン素子を備えるため前記ライン素子が干渉顕微鏡によって完全に分解できない、方法において、
    前記干渉顕微鏡によって測定された前記試験対象物の異なる箇所における干渉信号から少なくとも幾らかの格子ラインの集まりに対する見かけの高さを決定すること、
    前記格子構造の特性への異なる可能な値に対する前記干渉顕微鏡の期待応答を提供することであって、前記期待応答は前記格子構造の十分に分解できないライン素子からの影響を含む、期待応答を提供すること、
    前記見かけの高さを、前記異なる可能な値に対する前記期待応答と比較して格子構造の空間特性についての情報を決定すること、
    前記格子構造の前記空間特性についての前記決定された情報を出力することを備える方法。
  2. 請求項1に記載の方法において、前記見かけの高さは前記試験対象物の基準部分を参照して決定される、方法。
  3. 請求項1に記載の方法において、前記干渉顕微鏡は、前記見かけの高さを決定する際に個々の格子ラインの長さに直交して偏光する光で前記格子構造を照明する、方法。
  4. 請求項1に記載の方法において、前記格子構造の前記空間特性についての前記決定された情報は、前記格子構造に対する変調深さに対応する、方法。
  5. 請求項1に記載の方法において、前記格子構造は、前記試験対象物の前記ライン間の部分をエッチングすることで少なくとも部分的に形成される一連の周期的に離間されたラインである、方法。
  6. 請求項1に記載の方法において、前記干渉信号は、試験対象物から発生する試験光を結像して検出器上で基準光と干渉させ、前記試験光の干渉部分と前記基準光の干渉部分との間における共通の光源から検出器までの光路長差を変えることとによって生成される走査干渉分光信号であり、前記試験光および前記基準光が共通の光源から引き出され、前記各走査干渉分光信号は、光路長差を変えたときに前記検出器によって測定される干渉強度に対応する、方法。
  7. 請求項6に記載の方法において、前記光路長差は、前記干渉顕微鏡のコヒーレンス長よりも長い範囲に亘って変えられる、方法。
  8. 方法であって、
    試験対象物の異なる箇所において干渉分光システムによって生成される複数の干渉分光信号から試験表面の1つまたは複数の見かけの特性を決定すること、
    前記干渉分光信号から決定される前記見かけの特性と、前記試験表面の1つまたは複数の横方向に十分に分解できない特徴への異なる可能な値に対する前記干渉分光システムの期待応答と比較すること、
    前記比較に基づいて前記試験表面の前記1つまたは複数の横方向に十分に分解できない特徴についての情報を出力することを備える方法。
  9. 請求項8に記載の方法において、前記干渉分光システムは走査型干渉分光システムである、方法。
  10. 請求項8に記載の方法において、前記試験表面の前記見かけの特性は干渉位相、干渉コントラスト、および、表面反射率のいずれかにおける変化に基づいて前記干渉分光信号から決定される、方法。
  11. 請求項8に記載の方法において、前記期待応答は、表面高さと表面組成の1つまたは複数における変化について計算される、方法。
  12. 請求項8に記載の方法において、前記試験表面は変調深さ、周期性、及び、幅のある素子を有するパターン化された構造を有し、
    前記期待応答は前記変調深さ、前記周期性、および、素子幅の1つまたは複数における変化について計算される、方法。
  13. 請求項12に記載の方法において、前記期待応答は前記変調深さにおける変化について計算される、方法。
  14. 請求項13に記載の方法において、実際の変調深さと、前記期待応答に対して計算された見かけの変調との対応は、実際の変調深さの第1の範囲に亘る正の相関関係と実際の変調深さの第2の範囲に亘る負の相関関係とを含む、方法。
  15. 請求項8に記載の方法において、前記1つまたは複数の横方向に十分に分解できない特徴についての情報は、1つまたは複数の半導体処理段階をモニタリングするために使用される、方法。
  16. 請求項15に記載の方法において、前記半導体処理段階は、アイソレーション・パターニングおよびエッチング、ポリシリコン・ゲート電極パターニングおよびエッチング、ソース/ドレイン・エッチングおよび堆積、並びに、メタライゼーション・パターニング、エッチング、および、研磨処理のいずれかを含む、方法。
  17. 試験対象物上の格子構造の1つまたは複数の空間特性を決定する装置であって、前記格子構造が400nm未満の幅を有するライン素子を備えるため前記ライン素子が干渉顕微鏡によって完全に分解できない、装置において、
    コンピュータ内のプロセッサに、
    1)前記干渉顕微鏡によって測定された前記試験対象物の異なる箇所における干渉信号から少なくとも幾らかの格子ラインの集まりに対する見かけの高さを決定させ、
    2)前記格子構造の特性への異なる可能な値に対する前記干渉顕微鏡の期待応答を提供させ、ここで、前記期待応答は前記格子構造の十分に分解できないライン素子からの影響を含み、
    3)前記見かけの高さを、前記異なる可能な値に対する前記期待応答と比較させて格子構造の空間特性についての情報を決定させ、
    4)前記格子構造の前記空間特性についての前記決定された情報を出力させるプログラムを有するコンピュータ読取可能な媒体を備える装置。
  18. 試験対象物上の格子構造の1つまたは複数の空間特性を決定する装置であって、前記格子構造が400nm未満の幅を有するライン素子を備えるため前記ライン素子が干渉顕微鏡によって完全に分解できない、装置において、
    干渉顕微鏡と、
    前記干渉顕微鏡に接続される電子プロセッサであって、
    1)前記干渉顕微鏡によって測定された前記試験対象物の異なる箇所における干渉信号から少なくとも幾らかの格子ラインの集まりに対する見かけの高さを決定し、
    2)前記格子構造の特性への異なる可能な値に対する前記干渉顕微鏡の期待応答を提供し、ここで、前記期待応答は前記格子構造の十分に分解できないライン素子からの影響を含み、
    3)前記見かけの高さを、前記異なる可能な値に対する前記期待応答と比較して格子構造の空間特性についての情報を決定し、
    4)前記格子構造の前記空間特性についての前記決定された情報を出力するようにプログラミングされた電子プロセッサとを備える装置。
  19. 装置であって、
    コンピュータ内のプロセッサに、
    1)干渉分光システムによって生成される干渉分光信号から試験表面の1つまたは複数の見かけの特性を決定させ、
    2)前記干渉分光信号から決定される前記見かけの特性と、前記試験表面の1つまたは複数の十分に分解できない特徴への異なる可能な値に対する前記干渉分光システムの期待応答とを比較させ、
    3)前記比較に基づいて前記試験表面の前記1つまたは複数の十分に分解できない特徴についての情報を出力させるプログラムを有するコンピュータ読取可能な媒体を備える、装置。
  20. 装置であって、
    試験対象物の異なる表面箇所に対応する複数の干渉分光信号を生成するように構成された干渉分光システムと、
    前記干渉分光システムに接続され、前記干渉分光信号を受信する電子プロセッサであって、
    1)前記干渉分光信号から試験表面の1つまたは複数の見かけの特性を決定し、
    2)前記干渉分光信号から決定される前記見かけの特性と、前記試験表面の1つまたは複数の十分に分解できない特徴への異なる可能な値に対する前記干渉分光システムの期待応答とを比較し、
    3)前記比較に基づいて前記試験表面の前記1つまたは複数の十分に分解できない特徴についての情報を出力するようにプログラミングされた電子プロセッサとを備える装置。
JP2013113241A 2005-11-15 2013-05-29 光学的に未処理の表面特徴の特性を測定する干渉計及び方法 Active JP5654636B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US73701605P 2005-11-15 2005-11-15
US60/737,016 2005-11-15
US11/525,355 US7324214B2 (en) 2003-03-06 2006-09-21 Interferometer and method for measuring characteristics of optically unresolved surface features
US11/525,355 2006-09-21

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008540268A Division JP2009516171A (ja) 2005-11-15 2006-11-13 光学的に未処理の表面特徴の特性を測定する干渉計及び方法

Publications (2)

Publication Number Publication Date
JP2013210383A true JP2013210383A (ja) 2013-10-10
JP5654636B2 JP5654636B2 (ja) 2015-01-14

Family

ID=38049220

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2013113241A Active JP5654636B2 (ja) 2005-11-15 2013-05-29 光学的に未処理の表面特徴の特性を測定する干渉計及び方法
JP2013113240A Pending JP2013224945A (ja) 2005-11-15 2013-05-29 光学的に未処理の表面特徴の特性を測定する干渉計及び方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013113240A Pending JP2013224945A (ja) 2005-11-15 2013-05-29 光学的に未処理の表面特徴の特性を測定する干渉計及び方法

Country Status (4)

Country Link
US (3) US7324214B2 (ja)
JP (2) JP5654636B2 (ja)
TW (1) TWI417518B (ja)
WO (1) WO2007059088A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104406539A (zh) * 2014-11-21 2015-03-11 浙江工业大学 全天候主动式全景感知装置及3d全景建模方法
JP7351758B2 (ja) 2020-01-29 2023-09-27 レーザーテック株式会社 検査装置

Families Citing this family (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) * 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) * 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
EP1664932B1 (en) * 2003-09-15 2015-01-28 Zygo Corporation Interferometric analysis of surfaces
US7277183B2 (en) * 2004-04-22 2007-10-02 Zygo Corporation Vibration resistant interferometry
WO2005114096A2 (en) * 2004-05-18 2005-12-01 Zygo Corporation Methods and systems for determining optical properties using low-coherence interference signals
DE102004026193B4 (de) * 2004-05-28 2012-03-29 Carl Mahr Holding Gmbh Messverfahren zur Formmessung
GB0415766D0 (en) * 2004-07-14 2004-08-18 Taylor Hobson Ltd Apparatus for and a method of determining a characteristic of a layer or layers
US20060012582A1 (en) * 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US7428057B2 (en) * 2005-01-20 2008-09-23 Zygo Corporation Interferometer for determining characteristics of an object surface, including processing and calibration
US7884947B2 (en) * 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US7595891B2 (en) * 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
US7636168B2 (en) * 2005-10-11 2009-12-22 Zygo Corporation Interferometry method and system including spectral decomposition
US7408649B2 (en) * 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US7545512B2 (en) * 2006-01-26 2009-06-09 Koh Young Technology Inc. Method for automated measurement of three-dimensional shape of circuit boards
US7522288B2 (en) * 2006-07-21 2009-04-21 Zygo Corporation Compensation of systematic effects in low coherence interferometry
WO2008055060A2 (en) * 2006-10-27 2008-05-08 Zygo Corporation Vibration resistant interferometry
KR101519932B1 (ko) * 2006-12-22 2015-05-13 지고 코포레이션 표면 특징물의 특성을 측정하기 위한 장치 및 방법
US7889355B2 (en) 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
TWI322884B (en) * 2007-03-27 2010-04-01 Ind Tech Res Inst Singal analysis method for vibratory interferometry
US7505863B2 (en) * 2007-07-13 2009-03-17 Veeco Instruments, Inc. Interferometric iterative technique with bandwidth and numerical-aperture dependency
WO2009009831A1 (en) * 2007-07-18 2009-01-22 Iatia Imaging Pty Ltd Method and apparatus for determining the surface profile of an object
US7619746B2 (en) * 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
US7823440B2 (en) * 2007-08-16 2010-11-02 Micron Technology, Inc. Systems and methods for characterizing thickness and topography of microelectronic workpiece layers
WO2009024970A2 (en) * 2007-08-19 2009-02-26 Camtek Ltd. Depth measurement of narrow holes
US8072611B2 (en) * 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
US7978337B2 (en) * 2007-11-13 2011-07-12 Zygo Corporation Interferometer utilizing polarization scanning
FR2923905B1 (fr) * 2007-11-19 2014-09-05 Centre Nat Etd Spatiales Procede et dispositif pour l'inversion interferometrique a echantillonnage libre
KR101254161B1 (ko) * 2007-12-14 2013-04-18 지고 코포레이션 주사 간섭계를 사용해서 표면 구조를 분석하는 방법 및 장치
US7821647B2 (en) * 2008-02-21 2010-10-26 Corning Incorporated Apparatus and method for measuring surface topography of an object
US7728984B2 (en) * 2008-02-28 2010-06-01 Inficon Gmbh Method for evaluating a measured parameter
US20090296365A1 (en) * 2008-04-18 2009-12-03 Coinsecure, Inc. Calibrated and color-controlled multi-source lighting system for specimen illumination
US8023121B2 (en) * 2008-04-18 2011-09-20 Coinsecure, Inc. Method for optically collecting numismatic data and associated algorithms for unique identification of coins
EP2281279B1 (en) * 2008-04-18 2015-11-04 Coinsecure, Inc. Apparatus for producing optical signatures from coinage
US20090295912A1 (en) * 2008-05-12 2009-12-03 Coinsecure, Inc. Coin edge imaging device
US20090296073A1 (en) * 2008-05-28 2009-12-03 Lam Research Corporation Method to create three-dimensional images of semiconductor structures using a focused ion beam device and a scanning electron microscope
JP6185693B2 (ja) * 2008-06-11 2017-08-23 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation ウェーハー上の設計欠陥および工程欠陥の検出、ウェーハー上の欠陥の精査、設計内の1つ以上の特徴を工程監視特徴として使用するための選択、またはそのいくつかの組み合わせのためのシステムおよび方法
US8004688B2 (en) * 2008-11-26 2011-08-23 Zygo Corporation Scan error correction in low coherence scanning interferometry
US8107084B2 (en) * 2009-01-30 2012-01-31 Zygo Corporation Interference microscope with scan motion detection using fringe motion in monitor patterns
FI20095619A0 (fi) * 2009-06-04 2009-06-04 Gasera Ltd Järjestelmä ja menetelmä suhteellisen liikkeen mittaamiseksi
WO2010149403A1 (en) * 2009-06-22 2010-12-29 Asml Netherlands B.V. Object inspection systems and methods
US9075106B2 (en) * 2009-07-30 2015-07-07 International Business Machines Corporation Detecting chip alterations with light emission
US8189202B2 (en) * 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
TWI412940B (zh) * 2009-10-06 2013-10-21 Univ Nat Chiao Tung Image reconstruction method, device and computer program for diffuse optical tomography
US8379227B2 (en) * 2009-10-28 2013-02-19 Nanometrics Incorporated Optical metrology on textured samples
JP5591063B2 (ja) * 2009-11-12 2014-09-17 キヤノン株式会社 測定方法及び測定装置
US8610899B2 (en) * 2009-12-02 2013-12-17 Lumetrics, Inc. Rotational and linear system and methods for scanning of objects
JP5427896B2 (ja) 2010-01-06 2014-02-26 パナソニック株式会社 干渉を用いた膜厚計測装置及び干渉を用いた膜厚計測方法
US8729495B2 (en) * 2010-03-24 2014-05-20 President And Fellows Of Harvard College Methods and apparatus for detecting neutral chemical units via nanostructures
CN103119704A (zh) 2010-07-23 2013-05-22 第一太阳能有限公司 在线计量系统及方法
US20120089365A1 (en) * 2010-10-08 2012-04-12 Zygo Corporation Data interpolation methods for metrology of surfaces, films and underresolved structures
US9066657B2 (en) * 2010-11-23 2015-06-30 General Electric Company Methods and systems of optical imaging for target detection in a scattering medium
RU2503922C2 (ru) * 2010-11-24 2014-01-10 Константин Васильевич Индукаев Изображающий микроэллипсометр
US8909491B2 (en) * 2010-12-09 2014-12-09 The United States Of America As Represented By The Adminstrator Of The National Aeronautics And Space Adminstration Multi-point interferometric phase change detection method
JP2014508921A (ja) * 2011-01-31 2014-04-10 ビアメトリクス ゲゼルシャフト ミット ベシュレンクテル ハフツング 複数の波長の光を用いて薄膜層における強度を同時に測定することによって光学特性を決定する方法及び装置
US8379800B2 (en) * 2011-03-29 2013-02-19 Microsoft Corporation Conference signal anomaly detection
KR101186464B1 (ko) * 2011-04-13 2012-09-27 에스엔유 프리시젼 주식회사 Tsv 측정용 간섭계 및 이를 이용한 측정방법
US8818754B2 (en) * 2011-04-22 2014-08-26 Nanometrics Incorporated Thin films and surface topography measurement using reduced library
WO2012171687A1 (en) * 2011-06-14 2012-12-20 Asml Netherlands B.V. Inspection for lithography
US20130017762A1 (en) * 2011-07-15 2013-01-17 Infineon Technologies Ag Method and Apparatus for Determining a Measure of a Thickness of a Polishing Pad of a Polishing Machine
NL2009273A (en) * 2011-08-31 2013-03-04 Asml Netherlands Bv Level sensor arrangement for lithographic apparatus, lithographic apparatus and device manufacturing method.
CN103105283B (zh) * 2011-11-15 2015-03-11 中国科学院西安光学精密机械研究所 单光谱大口径长焦距透镜的焦距测量装置
WO2013086350A1 (en) * 2011-12-07 2013-06-13 Celloptic, Inc. Apparatus for producing a hologram
JP2013160543A (ja) * 2012-02-02 2013-08-19 Canon Inc 計測方法およびプログラム
TWI484154B (zh) * 2012-02-24 2015-05-11 光學檢測裝置及其運作方法
JP5965167B2 (ja) * 2012-03-16 2016-08-03 株式会社ミツトヨ 白色光干渉測定装置
EP2677271B1 (en) 2012-06-18 2017-04-26 Mitutoyo Corporation Broadband interferometer for determining a property of a thin film
KR102231730B1 (ko) * 2012-06-26 2021-03-24 케이엘에이 코포레이션 각도 분해형 반사율 측정에서의 스캐닝 및 광학 계측으로부터 회절의 알고리즘적 제거
CN102768024B (zh) * 2012-07-05 2015-02-11 哈尔滨工业大学 一种基于分离反射镜组的共焦测量装置
US9311700B2 (en) 2012-09-24 2016-04-12 Kla-Tencor Corporation Model-based registration and critical dimension metrology
GB201219761D0 (en) * 2012-11-02 2012-12-19 Res & Dev Ltd Method and apparatus for processing the signal in spectral interferometry and method and apparatus for spectral optical coherence tomography
US9091650B2 (en) * 2012-11-27 2015-07-28 Kla-Tencor Corporation Apodization for pupil imaging scatterometry
US20150157199A1 (en) * 2012-12-06 2015-06-11 Noam Sapiens Method and apparatus for scatterometric measurement of human tissue
US8869081B2 (en) * 2013-01-15 2014-10-21 International Business Machines Corporation Automating integrated circuit device library generation in model based metrology
US9655521B2 (en) * 2013-01-31 2017-05-23 Physical Sciences, Inc. Combined reflectance confocal microscopy-optical coherence tomography system for imaging of biological tissue
KR102048793B1 (ko) * 2013-02-12 2019-11-26 지고 코포레이션 표면 컬러를 이용한 표면 토포그래피 간섭측정계
US9696264B2 (en) 2013-04-03 2017-07-04 Kla-Tencor Corporation Apparatus and methods for determining defect depths in vertical stack memory
CN114594550B (zh) * 2013-05-22 2023-12-29 菲尼萨公司 光学系统中像差校正的系统的方法
JP6190168B2 (ja) * 2013-06-04 2017-08-30 キヤノン株式会社 合焦方法、合焦装置、露光方法、およびデバイス製造方法
MX367557B (es) * 2013-07-09 2019-08-26 Ford Global Tech Llc Sistema y metodo para caracterizar superficies usando datos de tamaño.
US9377292B2 (en) * 2013-08-06 2016-06-28 Zygo Corporation Interferometry employing refractive index dispersion broadening of interference signals
US8930858B1 (en) * 2013-11-27 2015-01-06 United Microelectronics Corp. Method for optical proximity correction
US9194692B1 (en) 2013-12-06 2015-11-24 Western Digital (Fremont), Llc Systems and methods for using white light interferometry to measure undercut of a bi-layer structure
US10061111B2 (en) * 2014-01-17 2018-08-28 The Trustees Of Columbia University In The City Of New York Systems and methods for three dimensional imaging
US9335146B1 (en) 2014-01-29 2016-05-10 The United States Of America As Represented By The Secretary Of The Navy Dimensional measurement apparatus for a cylindrical object
US9651356B1 (en) 2014-01-29 2017-05-16 The United States Of America As Represented By The Secretary Of The Navy Measuremental evaluation of dimensional tolerancing compliance of a cylindrical object
GB2529131B (en) * 2014-05-06 2019-06-05 Taylor Hobson Ltd Method and apparatus for characterising instrument error
WO2015183994A1 (en) 2014-05-28 2015-12-03 Santec Corporation Non-invasive optical measurement of blood analyte
KR20170092522A (ko) 2014-09-08 2017-08-11 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕 금속 격자 및 이의 측정 방법
US9658150B2 (en) * 2015-01-12 2017-05-23 Kla-Tencor Corporation System and method for semiconductor wafer inspection and metrology
US10548520B2 (en) 2015-04-01 2020-02-04 Santec Corporation Non-invasive optical measurement of blood analyte
TWI568989B (zh) * 2015-05-21 2017-02-01 財團法人工業技術研究院 全域式影像檢測系統及其檢測方法
CN106168466B (zh) 2015-05-21 2019-06-28 财团法人工业技术研究院 全域式影像检测系统及其检测方法
CN106198568B (zh) * 2015-05-24 2019-03-12 上海微电子装备(集团)股份有限公司 一种具有透明基底的薄膜的测量装置及测量方法
US10426336B2 (en) 2015-06-01 2019-10-01 Santec Corporation Optical coherence tomography system combining two wavelengths
JP6815336B2 (ja) * 2015-06-30 2021-01-20 コーニング インコーポレイテッド 静的縞パターンを使用した干渉ロールオフ測定
CN105115940B (zh) * 2015-09-08 2017-10-20 福州大学 光学材料折射率曲线测量方法及装置
CN108292106B (zh) * 2015-10-09 2021-05-25 Asml荷兰有限公司 用于检查及量测的方法和设备
JP6577342B2 (ja) 2015-11-16 2019-09-18 株式会社村上開明堂 ヘッドアップディスプレイ装置のコールドミラー、およびヘッドアップディスプレイ装置
EP3397949B1 (en) * 2015-12-31 2022-05-18 Zygo Corporation Method and apparatus for optimizing the optical performance of interferometers
US10136120B2 (en) 2016-04-15 2018-11-20 Microsoft Technology Licensing, Llc Depth sensing using structured illumination
US10677580B2 (en) 2016-04-27 2020-06-09 Santec Corporation Optical coherence tomography system using polarization switching
US9993153B2 (en) 2016-07-06 2018-06-12 Santec Corporation Optical coherence tomography system and method with multiple apertures
KR102216013B1 (ko) * 2016-07-19 2021-02-15 어플라이드 머티어리얼스, 인코포레이티드 디지털 리소그래피를 위한 포커스 센터링 방법
JP6762608B2 (ja) * 2016-09-06 2020-09-30 株式会社日立ハイテクサイエンス 走査型白色干渉顕微鏡を用いた三次元形状計測方法
WO2018067243A1 (en) * 2016-10-04 2018-04-12 Kla-Tencor Corporation Expediting spectral measurement in semiconductor device fabrication
US10571248B2 (en) * 2017-01-09 2020-02-25 Kla-Tencor Corporation Transparent film error correction pattern in wafer geometry system
US9992472B1 (en) * 2017-03-13 2018-06-05 Heptagon Micro Optics Pte. Ltd. Optoelectronic devices for collecting three-dimensional data
US10426337B2 (en) 2017-06-01 2019-10-01 Santec Corporation Flow imaging in an optical coherence tomography (OCT) system
TWI778072B (zh) 2017-06-22 2022-09-21 以色列商奧寶科技有限公司 用於在超高解析度面板中偵測缺陷之方法
US10408600B2 (en) * 2017-06-22 2019-09-10 Santec Corporation Optical coherence tomography with a fizeau-type interferometer
US10206567B2 (en) 2017-07-12 2019-02-19 Santec Corporation Dual wavelength resampling system and method
DE102017115922C5 (de) * 2017-07-14 2023-03-23 Precitec Gmbh & Co. Kg Verfahren und Vorrichtung zur Messung und Einstellung eines Abstands zwischen einem Bearbeitungskopf und einem Werkstück sowie dazugehöriges Verfahren zur Regelung
CN109752354A (zh) * 2017-11-06 2019-05-14 锐准医光股份有限公司 整合米洛光学干涉显微术与荧光显微术的光学切层装置
US10502546B2 (en) 2017-11-07 2019-12-10 Santec Corporation Systems and methods for variable-range fourier domain imaging
KR102570888B1 (ko) 2017-11-23 2023-08-28 삼성전자주식회사 마스크 레이아웃의 보정 방법 및 이를 이용한 반도체 소자의 제조방법
US11213200B2 (en) 2018-03-22 2022-01-04 Santec Corporation Topographical imaging using combined sensing inputs
CN108535863B (zh) * 2018-03-30 2020-10-27 武汉华星光电技术有限公司 一种盖板的处理方法、控制器、盖板处理装置及存储介质
US10838047B2 (en) 2018-04-17 2020-11-17 Santec Corporation Systems and methods for LIDAR scanning of an environment over a sweep of wavelengths
US11067671B2 (en) 2018-04-17 2021-07-20 Santec Corporation LIDAR sensing arrangements
WO2019212959A1 (en) * 2018-05-03 2019-11-07 Arizona Board Of Regents On Behalf Of The University Of Arizona Interferometer with multiple wavelength sources of different coherence lengths
CN110658196B (zh) * 2018-06-29 2022-07-08 上海微电子装备(集团)股份有限公司 一种缺陷检测装置及缺陷检测方法
TWI718557B (zh) * 2018-06-29 2021-02-11 美商伊路米納有限公司 用於預測結構照明參數之方法、系統和非暫時性電腦可讀取媒體
CN110726702A (zh) * 2018-07-17 2020-01-24 锐准医光股份有限公司 采用进阶光学干涉显微术的光学切层装置
TWI691700B (zh) * 2018-07-18 2020-04-21 薩摩亞商銳準醫光股份有限公司 採用進階光學干涉顯微術之光學切層裝置
CN113396312B (zh) * 2018-10-12 2024-03-01 电力研究所有限公司 用于在光学失真介质中测量表面特性的方法
US11011435B2 (en) * 2018-11-20 2021-05-18 Asm Technology Singapore Pte Ltd Apparatus and method inspecting bonded semiconductor dice
KR102506803B1 (ko) * 2018-11-23 2023-03-07 삼성전자주식회사 배선 기판 테스트 방법 및 이를 수행하기 위한 장치
FR3089286B1 (fr) * 2018-11-30 2022-04-01 Unity Semiconductor Procédé et système pour mesurer une surface d’un objet comprenant des structures différentes par interférométrie à faible cohérence
JP7481090B2 (ja) * 2019-01-09 2024-05-10 株式会社ディスコ 厚み計測装置、及び厚み計測装置を備えた加工装置
US11614321B2 (en) * 2019-03-29 2023-03-28 Topcon Corporation Method and apparatus for measuring tear film thickness using optical interference
US11150195B2 (en) 2019-09-25 2021-10-19 Onto Innovation Inc. Sample surface polarization modification in interferometric defect inspection
JP7358204B2 (ja) * 2019-11-11 2023-10-10 大塚電子株式会社 膜厚測定装置および膜厚測定方法
WO2021104631A1 (de) * 2019-11-28 2021-06-03 Ev Group E. Thallner Gmbh Vorrichtung und verfahren zur vermessung eines substrats
CN111076659B (zh) * 2019-12-02 2022-05-24 深圳市太赫兹科技创新研究院有限公司 一种信号处理方法、装置、终端和计算机可读存储介质
CN113008160B (zh) * 2020-02-24 2023-02-10 长江存储科技有限责任公司 用于半导体芯片表面形貌计量的系统和方法
CN111406198B (zh) 2020-02-24 2021-02-19 长江存储科技有限责任公司 用于半导体芯片表面形貌计量的系统和方法
TW202146861A (zh) * 2020-02-24 2021-12-16 以色列商諾發股份有限公司 光學量測系統及方法
CN111386441B (zh) * 2020-02-24 2021-02-19 长江存储科技有限责任公司 用于半导体芯片表面形貌计量的系统
WO2021168613A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
JP6758736B1 (ja) * 2020-04-08 2020-09-23 大塚電子株式会社 光学測定システムおよび光学測定方法
TWI799875B (zh) * 2021-05-28 2023-04-21 國立中央大學 折射率量測系統、方法與其所使用的全反射子系統
CN113740034B (zh) * 2021-08-19 2024-04-30 中国科学院合肥物质科学研究院 基于光学干涉的薄膜均匀性检测系统
CN113624459A (zh) * 2021-08-19 2021-11-09 中国科学院合肥物质科学研究院 基于相干层析成像的薄膜均匀性检测系统
CN113784043B (zh) * 2021-08-26 2023-07-18 昆山丘钛微电子科技股份有限公司 摄像模组控制电路、控制方法、摄像模组及电子设备
CN113837947B (zh) * 2021-11-29 2022-05-20 南开大学 一种获得光学相干层析大焦深图像的处理方法
CN114322836B (zh) * 2022-03-17 2022-05-27 板石智能科技(深圳)有限公司 基于启发式搜索的周期纳米结构形貌参数测量方法及装置
CN114910015A (zh) * 2022-04-29 2022-08-16 深圳市中图仪器股份有限公司 白光干涉信号的重建方法
CN117059512B (zh) * 2023-10-13 2024-01-26 苏州瑞霏光电科技有限公司 一种晶圆的三维表面微观测量方法及系统

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002005634A (ja) * 2000-06-16 2002-01-09 Toshiba Corp 段差測定方法とその装置およびエッチング方法
JP2003068639A (ja) * 2001-06-15 2003-03-07 Nikon Corp 重ね合わせ測定方法、テストマークおよび非像化測定装置
JP2003229414A (ja) * 2002-02-04 2003-08-15 Toshiba Corp モニタ方法、エッチング方法及び半導体装置の製造方法
WO2004079294A2 (en) * 2003-03-06 2004-09-16 Zygo Corporation Characterizing and profiling complex surface structures using scanning interferometry

Family Cites Families (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US585871A (en) * 1897-07-06 Nicholas koeler
US2612074A (en) 1949-03-30 1952-09-30 Prec Mecanique Paris Soc Interferometer
US4199219A (en) 1977-04-22 1980-04-22 Canon Kabushiki Kaisha Device for scanning an object with a light beam
US4188122A (en) 1978-03-27 1980-02-12 Rockwell International Corporation Interferometer
US4340306A (en) 1980-02-04 1982-07-20 Balasubramanian N Optical system for surface topography measurement
US4355903A (en) * 1980-02-08 1982-10-26 Rca Corporation Thin film thickness monitor
DE3145633A1 (de) 1981-11-17 1983-08-11 Byk-Mallinckrodt Chemische Produkte Gmbh, 4230 Wesel Vorrichtung zur farbmessung
US4576479A (en) * 1982-05-17 1986-03-18 Downs Michael J Apparatus and method for investigation of a surface
US4523846A (en) 1982-09-10 1985-06-18 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Integrated optics in an electrically scanned imaging Fourier transform spectrometer
JPS60127403A (ja) * 1983-12-13 1985-07-08 Anritsu Corp 厚み測定装置
US4618262A (en) * 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4639139A (en) 1985-09-27 1987-01-27 Wyko Corporation Optical profiler using improved phase shifting interferometry
US4818110A (en) * 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4806018A (en) 1987-07-06 1989-02-21 The Boeing Company Angular reflectance sensor
US4869593A (en) 1988-04-22 1989-09-26 Zygo Corporation Interferometric surface profiler
US4923301A (en) 1988-05-26 1990-05-08 American Telephone And Telegraph Company Alignment of lithographic system
US4964726A (en) 1988-09-27 1990-10-23 General Electric Company Apparatus and method for optical dimension measurement using interference of scattered electromagnetic energy
US4948253A (en) 1988-10-28 1990-08-14 Zygo Corporation Interferometric surface profiler for spherical surfaces
GB8903725D0 (en) * 1989-02-18 1989-04-05 Cambridge Consultants Coherent tracking sensor
US5042949A (en) * 1989-03-17 1991-08-27 Greenberg Jeffrey S Optical profiler for films and substrates
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5042951A (en) * 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US5073018A (en) 1989-10-04 1991-12-17 The Board Of Trustees Of The Leland Stanford Junior University Correlation microscope
DE3942896A1 (de) 1989-12-23 1991-06-27 Zeiss Carl Fa Interferometrischer sensor zur messung von abstandsaenderungen einer kleinen flaeche
US5112129A (en) * 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5135307A (en) * 1990-05-30 1992-08-04 Hughes Danbury Optical System, Inc. Laser diode interferometer
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5129724A (en) * 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
US5164790A (en) 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
DE69231715D1 (de) * 1991-03-04 2001-04-12 At & T Corp Herstellungsverfahren von integrierten Halbleiterschaltungen unter Anwendung von latenten Bildern
DE4108944A1 (de) 1991-03-19 1992-09-24 Haeusler Gerd Verfahren und einrichtung zur beruehrungslosen erfassung der oberflaechengestalt von diffus streuenden objekten
US5153669A (en) 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
US5194918A (en) 1991-05-14 1993-03-16 The Board Of Trustees Of The Leland Stanford Junior University Method of providing images of surfaces with a correlation microscope by transforming interference signals
US5173746A (en) 1991-05-21 1992-12-22 Wyko Corporation Method for rapid, accurate measurement of step heights between dissimilar materials
US5133601A (en) * 1991-06-12 1992-07-28 Wyko Corporation Rough surface profiler and method
US5204734A (en) 1991-06-12 1993-04-20 Wyko Corporation Rough surface profiler and method
JPH05304627A (ja) 1991-08-19 1993-11-16 Fuji Photo Film Co Ltd ビデオカメラのサイドグリップ
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5390023A (en) 1992-06-03 1995-02-14 Zygo Corporation Interferometric method and apparatus to measure surface topography
US5402234A (en) 1992-08-31 1995-03-28 Zygo Corporation Method and apparatus for the rapid acquisition of data in coherence scanning interferometry
US5539571A (en) 1992-09-21 1996-07-23 Sdl, Inc. Differentially pumped optical amplifer and mopa device
US5384717A (en) 1992-11-23 1995-01-24 Ford Motor Company Non-contact method of obtaining dimensional information about an object
US5398113A (en) * 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5777742A (en) 1993-03-11 1998-07-07 Environmental Research Institute Of Michigan System and method for holographic imaging with discernible image of an object
DE4309056B4 (de) 1993-03-20 2006-05-24 Häusler, Gerd, Prof. Dr. Verfahren und Vorrichtung zur Ermittlung der Entfernung und Streuintensität von streuenden Punkten
US5386119A (en) 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
JPH074922A (ja) * 1993-06-21 1995-01-10 Jasco Corp 半導体多層薄膜膜厚測定装置およびその測定方法
EP0767361B1 (en) 1993-07-22 2000-02-23 Applied Spectral Imaging Ltd. Method and apparatus for spectral imaging
US5856871A (en) 1993-08-18 1999-01-05 Applied Spectral Imaging Ltd. Film thickness mapping using interferometric spectral imaging
US5481811A (en) 1993-11-22 1996-01-09 The Budd Company Universal inspection workpiece holder
US5483064A (en) 1994-01-21 1996-01-09 Wyko Corporation Positioning mechanism and method for providing coaxial alignment of a probe and a scanning means in scanning tunneling and scanning force microscopy
US5459564A (en) 1994-02-18 1995-10-17 Chivers; James T. Apparatus and method for inspecting end faces of optical fibers and optical fiber connectors
US5471303A (en) 1994-04-29 1995-11-28 Wyko Corporation Combination of white-light scanning and phase-shifting interferometry for surface profile measurements
US5633714A (en) 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5555471A (en) 1995-05-24 1996-09-10 Wyko Corporation Method for measuring thin-film thickness and step height on the surface of thin-film/substrate test samples by phase-shifting interferometry
US5589938A (en) * 1995-07-10 1996-12-31 Zygo Corporation Method and apparatus for optical interferometric measurements with reduced sensitivity to vibration
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5748318A (en) 1996-01-23 1998-05-05 Brown University Research Foundation Optical stress generator and detector
US5602643A (en) * 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
US5640270A (en) 1996-03-11 1997-06-17 Wyko Corporation Orthogonal-scanning microscope objective for vertical-scanning and phase-shifting interferometry
GB9610471D0 (en) 1996-05-18 1996-07-24 Univ Nottingham Optical measurement
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
JP3459327B2 (ja) 1996-06-17 2003-10-20 理化学研究所 積層構造体の層厚および屈折率の測定方法およびその測定装置
US5923423A (en) 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5956141A (en) 1996-09-13 1999-09-21 Olympus Optical Co., Ltd. Focus adjusting method and shape measuring device and interference microscope using said focus adjusting method
US5757502A (en) 1996-10-02 1998-05-26 Vlsi Technology, Inc. Method and a system for film thickness sample assisted surface profilometry
US5774224A (en) * 1997-01-24 1998-06-30 International Business Machines Corporation Linear-scanning, oblique-viewing optical apparatus
US5777740A (en) 1997-02-27 1998-07-07 Phase Metrics Combined interferometer/polarimeter
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5784164A (en) 1997-03-20 1998-07-21 Zygo Corporation Method and apparatus for automatically and simultaneously determining best focus and orientation of objects to be measured by broad-band interferometric means
JP3275797B2 (ja) 1997-09-10 2002-04-22 松下電器産業株式会社 低圧水銀蒸気放電ランプ
US6031615A (en) 1997-09-22 2000-02-29 Candela Instruments System and method for simultaneously measuring lubricant thickness and degradation, thin film thickness and wear, and surface roughness
US20020015146A1 (en) 1997-09-22 2002-02-07 Meeks Steven W. Combined high speed optical profilometer and ellipsometer
US6665078B1 (en) 1997-09-22 2003-12-16 Candela Instruments System and method for simultaneously measuring thin film layer thickness, reflectivity, roughness, surface profile and magnetic pattern in thin film magnetic disks and silicon wafers
US6392749B1 (en) 1997-09-22 2002-05-21 Candela Instruments High speed optical profilometer for measuring surface height variation
US5912741A (en) 1997-10-10 1999-06-15 Northrop Grumman Corporation Imaging scatterometer
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5900633A (en) * 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6124141A (en) 1998-01-07 2000-09-26 International Business Machines Corporation Non-destructive method and device for measuring the depth of a buried interface
US6028670A (en) 1998-01-19 2000-02-22 Zygo Corporation Interferometric methods and systems using low coherence illumination
US5953124A (en) 1998-01-19 1999-09-14 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6407816B1 (en) 1998-02-23 2002-06-18 Zygo Corporation Interferometer and method for measuring the refractive index and optical path length effects of air
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
DE19814057B4 (de) * 1998-03-30 2009-01-02 Carl Zeiss Meditec Ag Anordnung zur optischen Kohärenztomographie und Kohärenztopographie
US6242739B1 (en) * 1998-04-21 2001-06-05 Alexander P. Cherkassky Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
US6275297B1 (en) 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
USH1972H1 (en) * 1998-10-06 2001-07-03 Nikon Corporation Autofocus system using common path interferometry
JP2000121317A (ja) 1998-10-12 2000-04-28 Hitachi Electronics Eng Co Ltd 光干渉計の干渉位相検出方式
US6159073A (en) 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
JP3569726B2 (ja) 1998-12-15 2004-09-29 独立行政法人理化学研究所 試料の幾何学的厚さおよび屈折率測定装置およびその測定方法
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
KR100290086B1 (ko) 1999-03-23 2001-05-15 윤덕용 백색광주사간섭법을 이용한 투명한 박막층의 3차원 두께 형상 측정 및 굴절률 측정 방법 및 그 기록매체
US6449066B1 (en) * 1999-04-29 2002-09-10 Kaiser Optical Systems, Inc. Polarization insensitive, high dispersion optical element
US6888638B1 (en) 1999-05-05 2005-05-03 Zygo Corporation Interferometry system having a dynamic beam steering assembly for measuring angle and distance
TW477897B (en) * 1999-05-07 2002-03-01 Sharp Kk Liquid crystal display device, method and device to measure cell thickness of liquid crystal display device, and phase difference plate using the method thereof
US6507405B1 (en) * 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt
US6249351B1 (en) * 1999-06-03 2001-06-19 Zygo Corporation Grazing incidence interferometer and method
US6381009B1 (en) 1999-06-29 2002-04-30 Nanometrics Incorporated Elemental concentration measuring methods and instruments
US6160621A (en) 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6259521B1 (en) * 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
JP3642996B2 (ja) 1999-11-18 2005-04-27 独立行政法人科学技術振興機構 光干渉法による測定対象物の屈折率と厚さの同時測定方法及びそのための装置
US6545761B1 (en) 1999-11-30 2003-04-08 Veeco Instruments, Inc. Embedded interferometer for reference-mirror calibration of interferometric microscope
AU2001260975A1 (en) 2000-01-25 2001-08-20 Zygo Corporation Optical systems for measuring form and geometric dimensions of precision engineered parts
JP4673955B2 (ja) 2000-03-24 2011-04-20 オリンパス株式会社 光学装置
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
LU90580B1 (fr) 2000-05-08 2001-11-09 Europ Economic Community M-thode d'identification d'un objet
US6449048B1 (en) 2000-05-11 2002-09-10 Veeco Instruments, Inc. Lateral-scanning interferometer with tilted optical axis
US6597460B2 (en) * 2000-05-19 2003-07-22 Zygo Corporation Height scanning interferometer for determining the absolute position and surface profile of an object with respect to a datum
US6417109B1 (en) * 2000-07-26 2002-07-09 Aiwa Co., Ltd. Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
EP1303778A2 (en) 2000-07-27 2003-04-23 Zetetic Institute Differential interferometric scanning near-field confocal microscopy
US6847029B2 (en) 2000-07-27 2005-01-25 Zetetic Institute Multiple-source arrays with optical transmission enhanced by resonant cavities
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6917419B2 (en) 2000-09-20 2005-07-12 Kla-Tencor Technologies Corp. Methods and systems for determining flatness, a presence of defects, and a thin film characteristic of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6798511B1 (en) 2000-10-18 2004-09-28 Regents Of The University Of Minnesota Imaging ellipsometry
ATE464534T1 (de) * 2000-11-02 2010-04-15 Zygo Corp Verfahren und vorrichtung zur höhenabtastenden interferometrie mit phasendifferenz-analyse
US6633389B1 (en) 2000-11-28 2003-10-14 Nanometrics Incorporated Profiling method
US6909509B2 (en) 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
US6721094B1 (en) * 2001-03-05 2004-04-13 Sandia Corporation Long working distance interference microscope
KR100393429B1 (ko) 2001-04-09 2003-08-02 한국과학기술원 각기 다른 금속 물질의 단차 측정을 위한 두 파장 백색광간섭법과 간섭계
US6624894B2 (en) * 2001-06-25 2003-09-23 Veeco Instruments Inc. Scanning interferometry with reference signal
US7382447B2 (en) 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6867866B1 (en) 2001-08-10 2005-03-15 Therma-Wave, Inc. CD metrology analysis using green's function
US6741357B2 (en) 2001-08-14 2004-05-25 Seagate Technology Llc Quadrature phase shift interferometer with unwrapping of phase
EP1430270A4 (en) 2001-09-21 2006-10-25 Kmac METHOD AND DEVICE FOR MEASURING THE THICK PROFILE AND THE DISTRIBUTION OF THIN FILM MULTI-LAYER REFRACTIVE INDICES BY TWO-DIMENSIONAL REFLECTOMETRY
US6714307B2 (en) 2001-10-16 2004-03-30 Zygo Corporation Measurement of complex surface shapes using a spherical wavefront
KR100437024B1 (ko) * 2001-10-18 2004-06-23 엘지전자 주식회사 박막 검사 방법 및 그 장치
US6630982B2 (en) 2001-10-18 2003-10-07 Motorola, Inc. Color and intensity tunable liquid crystal device
KR100354613B1 (ko) * 2001-11-06 2002-10-11 박헌휘 교체 가능한 침지형 중공사막 모듈
US7030995B2 (en) 2001-12-10 2006-04-18 Zygo Corporation Apparatus and method for mechanical phase shifting interferometry
US6856384B1 (en) 2001-12-13 2005-02-15 Nanometrics Incorporated Optical metrology system with combined interferometer and ellipsometer
US6934035B2 (en) * 2001-12-18 2005-08-23 Massachusetts Institute Of Technology System and method for measuring optical distance
CN1623085A (zh) 2002-01-24 2005-06-01 通用医疗公司 使用光谱带并行检测的低相干干涉测量法(lci)和光学相干层析成像(oct)信号的测距和降噪的装置和方法
GB2385417B (en) 2002-03-14 2004-01-21 Taylor Hobson Ltd Surface profiling apparatus
CN1320334C (zh) 2002-03-14 2007-06-06 泰勒·霍布森有限公司 表面成型设备和获得数据方法,数据处理设备及其相关器
US7068376B2 (en) 2002-04-19 2006-06-27 Zygo Corporation Interferometry method and apparatus for producing lateral metrology images
AU2003241356A1 (en) 2002-05-02 2003-11-17 Zygo Corporation Phase gap analysis for scanning interferometry
DE10392828T5 (de) 2002-06-17 2005-07-21 Zygo Corp., Middlefield Interferometrieverfahren und -systeme mit gekoppelter Hohlraumgeometrie zur Verwendung mit einer erweiterten Quelle
WO2003106921A1 (en) 2002-06-17 2003-12-24 Zygo Corporation Interferometric optical system and methods providing simultaneously scanned optical path length and focus
WO2004003463A2 (en) 2002-07-01 2004-01-08 Lightgage, Inc. Interferometer system of compact configuration
JP4313006B2 (ja) 2002-08-30 2009-08-12 コニカミノルタビジネステクノロジーズ株式会社 画像形成方法
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US6925860B1 (en) 2003-02-21 2005-08-09 Nanometrics Incorporated Leveling a measured height profile
US7271918B2 (en) * 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US6985232B2 (en) * 2003-03-13 2006-01-10 Tokyo Electron Limited Scatterometry by phase sensitive reflectometer
US7049156B2 (en) 2003-03-19 2006-05-23 Verity Instruments, Inc. System and method for in-situ monitor and control of film thickness and trench depth
US6999180B1 (en) 2003-04-02 2006-02-14 Kla-Tencor Technologies Corporation Optical film topography and thickness measurement
DE10327019A1 (de) 2003-06-12 2004-12-30 Carl Zeiss Sms Gmbh Verfahren zur Bestimmung der Abbildungsgüte eines optischen Abbildungssystems
US7102761B2 (en) 2003-06-13 2006-09-05 Zygo Corporation Scanning interferometry
US6956716B2 (en) 2003-07-30 2005-10-18 Hitachi Global Storage Technologies Netherlands, B.V. Magnetic head having multilayer heater for thermally assisted write head and method of fabrication thereof
FI20031143A0 (fi) 2003-08-08 2003-08-08 Wallac Oy Optinen fokusointimenetelmä ja -järjestely
US7061623B2 (en) 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
EP1664932B1 (en) * 2003-09-15 2015-01-28 Zygo Corporation Interferometric analysis of surfaces
TWI335417B (en) 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
WO2005067579A2 (en) * 2004-01-06 2005-07-28 Zygo Corporation Multi-axis interferometers and methods and systems using multi-axis interferometers
US20050179911A1 (en) 2004-02-17 2005-08-18 Digital Optics Corporation Aspheric diffractive reference for interferometric lens metrology
US7492469B2 (en) 2004-03-15 2009-02-17 Zygo Corporation Interferometry systems and methods using spatial carrier fringes
WO2005119169A2 (en) 2004-04-19 2005-12-15 Arist Instruments, Inc. Beam profile complex reflectance system and method for thin film and critical dimension measurements
US7177030B2 (en) 2004-04-22 2007-02-13 Technion Research And Development Foundation Ltd. Determination of thin film topography
US7277183B2 (en) * 2004-04-22 2007-10-02 Zygo Corporation Vibration resistant interferometry
WO2005114096A2 (en) 2004-05-18 2005-12-01 Zygo Corporation Methods and systems for determining optical properties using low-coherence interference signals
US7119909B2 (en) 2004-06-16 2006-10-10 Veeco Instruments, Inc. Film thickness and boundary characterization by interferometric profilometry
US20060012582A1 (en) * 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US20060066842A1 (en) 2004-09-30 2006-03-30 Saunders Winston A Wafer inspection with a customized reflective optical channel component
US7884947B2 (en) 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US7428057B2 (en) 2005-01-20 2008-09-23 Zygo Corporation Interferometer for determining characteristics of an object surface, including processing and calibration
JP2006214856A (ja) 2005-02-03 2006-08-17 Canon Inc 測定装置及び方法
EP1883781B1 (en) 2005-05-19 2019-08-07 Zygo Corporation Analyzing low-coherence interferometry signals for thin film structures
US7595891B2 (en) 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
US7636168B2 (en) 2005-10-11 2009-12-22 Zygo Corporation Interferometry method and system including spectral decomposition
EP1946412A2 (en) 2005-10-11 2008-07-23 Clear Align LLC Apparatus and method for generating short optical pulses
US7408649B2 (en) 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US20070127036A1 (en) 2005-12-07 2007-06-07 Chroma Ate Inc. Interference measurement system self-alignment method
US7612891B2 (en) 2005-12-15 2009-11-03 Veeco Instruments, Inc. Measurement of thin films using fourier amplitude
WO2007101026A2 (en) 2006-02-24 2007-09-07 The General Hospital Corporation Methods and systems for performing angle-resolved fourier-domain optical coherence tomography
US7522288B2 (en) 2006-07-21 2009-04-21 Zygo Corporation Compensation of systematic effects in low coherence interferometry
KR101519932B1 (ko) 2006-12-22 2015-05-13 지고 코포레이션 표면 특징물의 특성을 측정하기 위한 장치 및 방법
US7619746B2 (en) 2007-07-19 2009-11-17 Zygo Corporation Generating model signals for interferometry
US7978337B2 (en) 2007-11-13 2011-07-12 Zygo Corporation Interferometer utilizing polarization scanning

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002005634A (ja) * 2000-06-16 2002-01-09 Toshiba Corp 段差測定方法とその装置およびエッチング方法
JP2003068639A (ja) * 2001-06-15 2003-03-07 Nikon Corp 重ね合わせ測定方法、テストマークおよび非像化測定装置
JP2003229414A (ja) * 2002-02-04 2003-08-15 Toshiba Corp モニタ方法、エッチング方法及び半導体装置の製造方法
WO2004079294A2 (en) * 2003-03-06 2004-09-16 Zygo Corporation Characterizing and profiling complex surface structures using scanning interferometry

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104406539A (zh) * 2014-11-21 2015-03-11 浙江工业大学 全天候主动式全景感知装置及3d全景建模方法
JP7351758B2 (ja) 2020-01-29 2023-09-27 レーザーテック株式会社 検査装置

Also Published As

Publication number Publication date
TWI417518B (zh) 2013-12-01
WO2007059088A3 (en) 2007-08-16
US7324214B2 (en) 2008-01-29
US20070046953A1 (en) 2007-03-01
US20080266574A1 (en) 2008-10-30
US7684049B2 (en) 2010-03-23
JP5654636B2 (ja) 2015-01-14
TW200730796A (en) 2007-08-16
US7948636B2 (en) 2011-05-24
WO2007059088A2 (en) 2007-05-24
JP2013224945A (ja) 2013-10-31
US20100265516A1 (en) 2010-10-21

Similar Documents

Publication Publication Date Title
JP5654636B2 (ja) 光学的に未処理の表面特徴の特性を測定する干渉計及び方法
JP5443209B2 (ja) 走査干渉分光を用いた複雑な表面構造のプロファイリング
JP5827794B2 (ja) 走査干渉分光を用いた複雑な表面構造のプロファイリング
KR101321861B1 (ko) 광학적으로 분석되지 않은 표면 형상의 특징을 측정하는방법 및 간섭계
KR101519932B1 (ko) 표면 특징물의 특성을 측정하기 위한 장치 및 방법
US7466429B2 (en) Profiling complex surface structures using scanning interferometry
US7271918B2 (en) Profiling complex surface structures using scanning interferometry
KR101195101B1 (ko) 간섭 측정을 위한 모델 신호 발생
EP1604169B1 (en) Method for profiling complex surface structures using scanning interferometry

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140304

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140530

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141111

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141120

R150 Certificate of patent or registration of utility model

Ref document number: 5654636

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250