JP2011103488A - メモリデバイス - Google Patents

メモリデバイス Download PDF

Info

Publication number
JP2011103488A
JP2011103488A JP2011015285A JP2011015285A JP2011103488A JP 2011103488 A JP2011103488 A JP 2011103488A JP 2011015285 A JP2011015285 A JP 2011015285A JP 2011015285 A JP2011015285 A JP 2011015285A JP 2011103488 A JP2011103488 A JP 2011103488A
Authority
JP
Japan
Prior art keywords
active region
region
longitudinal axis
word line
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011015285A
Other languages
English (en)
Other versions
JP5733997B2 (ja
Inventor
Tzyh-Cheang Lee
自強 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2011103488A publication Critical patent/JP2011103488A/ja
Application granted granted Critical
Publication of JP5733997B2 publication Critical patent/JP5733997B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7923Programmable transistors with more than two possible different levels of programmation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】小型のアクティブ領域ピッチを有するメモリアレイを提供する。
【解決手段】アクティブ領域210を基板に形成し、隣接するアクティブ領域210の間にトランジスタを形成することで、アクティブ領域210がトランジスタのソースおよびドレインを形成する。誘電体層330はトランジスタ全体を覆うように形成される。ワード線222はアクティブ領域210と垂直に形成され、トランジスタのゲート220にワード線接点224で電気的に接続される。アクティブ領域210上かつワード線222上にビット線BL−1〜BL−4を形成し、ソースおよびドレインの領域と電気的に接続する。ワード線接点224は、ワード線222とゲート220との間の誘電体層330中に形成される。
【選択図】図2

Description

本発明は、一般に半導体デバイスに関するものであり、とりわけ、集積回路用のメモリセルの配置に関するものである。
一般的に、メモリチップは、ビット線とワード線により相互に接続されたメモリセル・アレイから構成される。ワード線とビット線は、各メモリセルの2進値を読み書きするために使用される。各メモリセルは、1ビット分の情報を表している。従来のメモリセルは、各ビットの情報を表すのに、トランジスタおよび/またはコンデンサを組み合わせたものを、通常は使用していた。メモリ・サイズが減少するにつれて、2ビットの情報を、それぞれのメモリセルにストア(蓄積)可能にする別の型のメモリが開発されつつある。
メモリセルの一つの型に、シリコン−酸化物−窒化物−酸化物―シリコン(SONOS)フラッシュ・メモリセルがある。従来のSONOSメモリセルは、多層ゲート電極を有するトランジスタに基づいており、この多層ゲート電極が、ソースとドレインの各領域の近傍に、論理的な「1」または「0」を表す電荷を捕捉し蓄積するための酸化物−窒化物−酸化物(ONO)構造を用いることで、1セル毎に2ビットの蓄積を行なうようになっている。しかしながら、従来のこの種のSONOSメモリセルは、チャンネル領域の寸法を小さくすることができないため、メモリセルの寸法低減に限界がある。
近年の進歩により、ゲートと平行に形成された窒化物スペーサに電荷を捕捉する側壁(sidewall)SONOSメモリセルが出現している。
この種のSONOSメモリセルは、チャンネル寸法を短くすることは可能であるが、ビット線とソース/ドレイン領域との間の接触に必要とされるアクティブ領域が大きいため、メモリセルのサイズが大きくなる。
例えば、図1は、側壁SONOSメモリアレイのレイアウトを示している。このSONOSメモリアレイは、ワード線14およびワード線16により交差されるアクティブ領域10,12を有し、これによりトランジスタ20,22,24,26を形成している。各トランジスタ20,22,24,26は、ソース/ドレイン領域30を有する。ビット線BL−1,BL−2,BL−3およびBL−4は、ソース領域またはドレイン領域のどちらか一方に、接点(ビット線上の「X」を有する四角で示されている)を経由して電気的に接続される。上述のように、例えば、フローティングゲート或いはスペーサのような、電荷捕捉窒化層のソースとドレインの各側に、1ビットの情報を蓄積することができる。
しかしながら、図1に説明するように、アクティブ領域10,12は、比較的広くする必要がある。幅の広いアクティブ領域10,12は、2本のビット線に対して接触を持つ必要があり、この2本のビット線の各々は、ソース領域とドレイン領域に接触する必要がある。これらのアクティブ領域が大きくなる程、メモリアレイの寸法は大きくなり、SONOSメモリアレイの寸法を小さくし、縮小することを制限する。
従って、必要とする面積が少なく、さらに縮小が可能なSONOS型メモリアレイにおけるメモリセルの配置が要求される。
本発明の実施例は、集積回路用のメモリセル配置部を提供するものであり、これにより上記若しくはその他の問題が、概ね低減され、解決され、或いは回避され、技術的利点が広く達成される。
一つの実施例において、メモリアレイは、基板に形成されるアクティブ領域と、近接するアクティブ領域の間に形成されるトランジスタとを有し、アクティブ領域によりトランジスタのソース/ドレイン領域が形成されるようになっている。この実施例では、ほぼ平行な長手方向軸を有するアクティブ領域が提供されると共に、近接するアクティブ領域がトランジスタのソース/ドレイン領域として動作するように、2ビットの情報を蓄積可能なSONOS型トランジスタのような、複数のトランジスタが、近接するアクティブ領域の間に形成される。誘電体層がトランジスタの全体を覆うように形成される。ワード線が、アクティブ領域と垂直に形成されると共に、トランジスタのゲートにワード線接点で電気的に接続され、ビット線が、アクティブ領域上かつワード線上に形成され、ソース/ドレイン領域との電気的な接続が行われる。ワード線接点は、ワード線とゲートとの間の誘電体層中に形成される。
1つの実施例においては、誘電体層がトランジスタの上部に形成され、ワード線がこの誘電体層の上部に形成される。ワード線は、多結晶シリコンから形成される。この誘電体層は、ワード線を形成する前に平坦化されるか、さもなければ誘電体層は、非平坦な表面を有していてもよい。また他の実施例において、ワード線は、金属層の上に形成される。
当業者であれば、この構想と開示した特定の実施例は、本発明と同様な目的を実行するための他の構造,或いは処理法を一部変更し、または設計するための基礎として、容易に利用できることを認識するであろう。また、当業者であれば、このような均等な構成は、添付の請求項において述べているように、本発明の精神と範囲から逸脱するものではないことを理解するであろう。
複数のトランジスタを、長手方向のアクティブ領域間に設置することにより、このアクティブ領域のピッチとメモリセルの寸法を小さくすることができる。この結果、従来の技術と比較して、メモリアレイのさらなる縮尺が可能になる。
好ましい本実施例の製造方法と利用に関して、下記に詳細に説明する。本発明は、種々様々な特定の状況において具体化が可能な、多くの応用可能な進歩性を、提供するものである。特に、本発明の手法は、窒化物スペーサを捕捉領域として使用するSONOSメモリセルのメモリアレイと関連して説明する。当業者であれば、ここに説明する本発明の特徴は、捕捉層として動作するゲート電極における、窒化物層を有するプレーナーSONOSメモリセルのような、他の種類の装置を形成するために、使用されることを認識するであろう。さらに、本発明の実施例は、単体のメモリデバイスや、他の集積回路と一体になったメモリデバイスなどとして使用可能である。従って、ここで検討する特定の実施例は、本発明を作成し使用するための特定の方法を説明しているに過ぎず、本発明の範囲を限定するものではない。
図2を参照すると、本発明の実施例に基づいて、メモリアレイ配置部200の平面図が示されている。メモリアレイ配置部200は、アクティブ領域210を備え、各アクティブ領域210のそれぞれは、ほぼ平行に配置された長手方向軸を有している。アクティブ領域210の対応する部分は、近接するアクティブ領域に向けて広がっており、例えばゲート220のようなトランジスタのゲートと交差する。ワード線222は、このワード線222の長手方向軸が、アクティブ領域210の長手方向軸とほぼ直交するように、メモリアレイ配置部200上に広がっている。ワード線接点224が、ワード線222とその下側にあるゲート220との間に形成されており、図では「X」を内部に含む四角により示されている。ビット線BL−1,BL−2,BL−3およびBL−4は、その下側にあるアクティブ領域210の長手方向軸とほぼ平行な長手方向軸を有している。ビット線接点228が、ビット線BL−1,BL−2,BL−3,BL−4とその下側にあるアクティブ領域210との間に形成され、図では「X」を内部に含む四角により示されている。
この実施例では、各側のゲート220に、一つのビット情報を蓄積することができる。例えば、1ビットの情報が、ビット線BL‐1に沿った各ゲート220に蓄積され、もう一つの1ビットの情報が、ビット線BL−2に沿った各ゲート220に蓄積される。このようなメモリの配置は、上述した既存のメモリの配置よりも小さなアクティブ領域寸法を可能にし、所定のメモリに対してより小さなメモリアレイ寸法を可能にする。
図3a〜図3eと、図4a〜図4dと、図5a〜図5eは、本発明の実施例に基づく上述のメモリアレイ配置部を形成するために使用される3つの実施例を、説明するものである。
図3a〜図3eを参照すると、図3aは、その上部にトランジスタ312が形成される基板310を示している。基板310は、ドープされたバルクシリコン,ドープされていないバルクシリコン,或いはシリコンオンインシュレータ(SOI)基板のアクティブ層で構成してもよい。通常、このSOIは、絶縁層上に形成されたシリコンなどの半導体材料の層からなる。当該絶縁層は、例えば埋め込まれた酸化物(BOX)層や酸化シリコン層とすることができる。この絶縁層は、一般にはシリコンまたはガラス基板のような基板上に設けられる。また、多層基板や傾斜(gradient)基板などの他の基板を使用してもよい。
当技術分野では周知のように、基板310上にはゲート誘電体層314とゲート電極316が形成され、パターン化される。ゲート誘電体314は、酸化シリコン,シリコンオキシナイトライド,窒化シリコン,酸化物,窒素含有酸化物,またはこれらの合成物などのような、高誘電率(high-k)材料であるのが好ましい。こうした材料の別な例では、酸化アルミニウム,酸化ランタン,酸化ハフニウム,酸化ジルコニウム,ハフニウムオキシナイトライド、またはこれらの合成物を含むものである。
好ましい実施例において、ゲート誘電体層314は酸化層から構成され、その場合のゲート誘電体層314は、酸化物,HO,NO,またはこれらの合成物から成る雰囲気中の湿式熱酸化または乾式熱酸化のように、任意の酸化処理によって、或いは、テトラ・エチル・オルソ・シリケート(TEOS)および酸素を、前駆体として使用する化学気相堆積法(CVD)技術によって形成される。
ゲート電極316は、金属(例えば、タンタル,チタン,モリブデン,タングステン,プラチナ,アルミニウム,ハフニウム,ルテニウム)や、金属シリサイド(例えば、チタンシリサイド,コバルトシリサイド,ニッケルシリサイド,タンタルシリサイド)や、窒化金属(例えば、窒化チタン,窒化タンタル)や、ドープされた多結晶シリコンや、その他の導電材料や、これらの合成物のような、導電材料から構成するのが好ましい。一例では、アモルファスシリコンを堆積し、再結晶することで、多結晶シリコン(ポリシリコン)を生成する。
硬質マスク317は、エッチング処理中にその下側にあるゲート電極316を保護するものであるが、窒化シリコン(Si)層のような窒素含有層から構成できる。このSi層は、シランとアンモニアを前駆体として使用する化学気相堆積(CVD)技術を用いて、形成することができる。オキシ窒化シリコンSiO,シリコンオキシム(silicon oxime)SiO:H,またはこれらの合成物のように、その他の材料を同様に硬質マスク317を形成するのに使用してもよい。
ゲート誘電体314,ゲート電極316,硬質マスク317は、この技術分野で周知のフォトリソグラフィによりパターン化される。通常、フォトリソグラフィは、フォトレジスト材料を堆積することが必要であり、このフォトレジスト材料は、その後でマスクで覆われて、露光され、現像される。フォトレジストマスクがパターン化された後、エッチング処理が行われ、ゲート誘電体とゲート電極材料の不要な部分が除去され、図3aに示すように、ゲート誘電体314とゲート電極316が形成される。ゲート電極材料が多結晶シリコンであり、ゲート誘電体が酸化物である好ましい実施例においては、エッチング処理は、湿式(ウェット)または乾式(ドライ)の等方性または異方性エッチングプロセスで行われるが、異方性ドライエッチング処理が好ましい。
ライナー318とスペーサ320は、ゲート電極316と平行して形成される。好ましくは、酸化物,HO,NO,またはこれらの合成物から成る雰囲気中の湿式熱酸化または乾式熱酸化のように、任意の酸化処理によって、或いは、テトラ・エチル・オルソ・シリケート(TEOS)および酸素を、前駆体として使用する化学気相堆積(CVD)技術によって、ライナー318が形成される。スペーサ320は、窒化シリコン(Si)から構成するか、さもなければ、Si,オキシ窒化シリコンSiO,シリコンオキシムSiO:H,またはこれらの合成物のように、窒化シリコンSi以外の層を含む窒素から構成するのが好ましい。好ましい実施例において、スペーサ320は、窒化シリコンSiから成る層で形成され、この窒化シリコンSiは、シランとアンモニアを前駆体として使用する化学気相堆積法(CVD)技術を用いて形成される。
ソース/ドレイン領域322は、イオン注入法により形成される。ソース/ドレイン領域322には、NMOSデバイスを製作するために、リン,窒素,砒素,アンチモン等のn型ドーパントを注入し、或いはPMOSデバイスを製作するために、ボロン,アルミニウム,インジウム等のp型ドーパントを注入する。状況に応じて、NMOSデバイスは、PMOSデバイスのように同一チップ上に製作することもある。この状況に応じた実施例において、当該技術分野では周知のように、n型および/またはp型のイオンを特定の領域のみに注入するような複数のマスキングとイオン注入ステップを、利用する必要がある。
ここでは、シリサイデーション処理が実施されることが注目される。シリサイデーション処理は、ソース/ドレイン領域322における接触抵抗を低減すると共に、導電ゲート電極316の導電度を改善するために使用される。シリサイドは、プラズマ気相堆積(PVD)法により、チタン,ニッケル,タングステン,またはコバルトのような金属層を堆積することで形成してもよい。焼きなまし(アニール)処理により、金属層を、導電性ゲート電極316とソース/ドレイン領域322とに反応させて、金属シリサイドを形成する。絶縁スペーサ320の上に在る金属層の部分は、反応しないでそのまま残る。金属層の非反応部は、例えばウエットエッチング手段により、選択的な除去を行なってもよい。シリサイド領域の相を変更したい場合は、さらに、アニールサイクルを行なうことで、シリサイド領域の抵抗が下がる結果をもたらす。
上記の説明は、本発明の実施例で使用するトランジスタ312の一例に過ぎないことに注目されたい。その他のトランジスタおよび半導体デバイスも、同様に利用することができる。例えば、このトランジスタは、隆起したソース/ドレインを有してもよく、またトランジスタは、スプリットゲート型のトランジスタや、フィンFET設計としてもよく、異なった材料と厚さを使用してもよいし、複数のライナーやスペーサなどを使用してもよい。
図3bは、本発明の実施例に基づく、トランジスタ312の全体を覆う誘電体層330の形成を説明している。本実施例において、誘電体層330は、酸化物,HO,NO,またはこれらの合成物から成る雰囲気中の湿式熱酸化または乾式熱酸化のように、任意の酸化処理によって、或いは、テトラ・エチル・オルソ・シリケート(TEOS)および酸素を、前駆体として使用する化学気相堆積(CVD)技術によって、形成される酸化物である。好ましくは、誘電体層330は、ゲート電極316と硬質マスク317の高さと少なくとも同程度の寸法の厚さに形成される。
図3cにおいて、本発明の実施例に基づき、化学的機械研磨(CMP)処理のような平坦化処理が行われる。平坦化処理は、硬質マスク317上で停止させるのが好ましく、ほぼ平坦な表面を生成する。
図3dは、本発明の実施例に基づく硬質マスク317の除去を説明している。硬質マスク317が窒化シリコンにより形成される実施例では、リン酸(HPO)溶液中にウエット・ディップすることにより、硬質マスク317が除去される。図3dに示すように、硬質マスク317を除去することで、ゲート電極316が露出する。
その後、図3eにおいて、本発明の実施例に基づき導電層340が形成され、パターン化される。導電層340は、ドープされた多結晶シリコンからなることが好ましい。この導電層340は、本技術分野では周知のフォトリソグラフィによりパターン化され、図2におけるワード線222が形成される。
その後、層間誘電体(ILDs)と金属層が、本技術分野では周知のように形成してもよい。金属層の内の1つが、図2のビット線BL−1,BL−2,BL−3,BL−4を形成する。さらに、ビアやその他の配線構造が形成され、製作が終了する。
図4a〜図4dは、本発明の第2実施例を示している。先ず、図4aを参照すると、図3aと同じ要素に対して同じ参照番号を引用したトランジスタが示されている。図4aに示す実施例において、硬質マスク317を必要としないことを除いては、図4aが図3aと概ね同じになっている。以下に説明するように、図4a〜図4dで説明する実施例は、エッチング停止層として前記硬質マスク317を利用しない。
図4bを参照すると、本発明の実施例に基づき、誘電体層430がトランジスタ312の上部を覆って形成される。1つの実施例において、図3bにおける誘電体層330の厚さが、好ましくはゲート電極316の高さよりも少なくとも大きな寸法であるのに対して、図4bの誘電体層430はそれよりも厚さが薄いことを除いては、誘電体層430は、図3bにおける誘電体層330と同じ酸化物である。誘電体層430は、約300Å(1オングストローム=10−10m)〜約500Åの間の厚さを有していることが好ましい。図3aにおいては、誘電体層330が平坦化されているが、本実施例では誘電体層430が非平坦な表面を有することに注目すべきである。
図4cにおいては、本発明の実施例に基づき、ゲート電極316上を覆う誘電体層430に、ビア432が形成される。ビア432は、ゲート電極316の一部分を露出させ、次の各ステップで形成されるワード線用の接触点を形成する。1つの実施例において、ビア432は、本技術分野では周知のフォトリソグラフィ技術により形成される。
その後、図4dにおいては、本発明の実施例に基づいて、導電層440が形成され、パターン化される。導電層440は、ドープされた多結晶シリコンからなることが好ましい。図2におけるワード線222を形成するために、本技術分野では周知のフォトリソグラフィ技術により、導電層440をパターン化してもよい。本実施例において、ワード線222(例えば、図4dの導電層440)は非平坦な表面を有している。
図5a〜図5eは、本発明の第3実施例を示している。先ず、図5aを参照すると、図3aおよび図4aと同じ要素に対して同じ参照番号を引用したトランジスタが示されている。
図5bを参照すると、本発明の実施例に基づき、誘電体層530がトランジスタ312上を覆って形成される。1つの実施例において、誘電体層530は、図3bにおける誘電体層330と同様な酸化物であり、ゲート電極316よりも上方にあって、約2000Åより大きな厚さを有している。
化学的機械研磨法(CMP)処理のような平坦化処理が、ほぼ平坦な平面を生成するために実施される。しかしながら、平坦化処理が完了した後、ゲート電極の上方に位置する処理後の残りの誘電体層530は、約1000Åより大きな厚さを有することが好ましい。
図5cにおいて、本発明の実施例に基づき、ゲート電極316上を覆う誘電体層530に、ビア532が形成される。ビア532は、ゲート電極316の一部分を露出させ、次の各ステップで形成されるワード線用の接触点を形成する。1つの実施例において、ビア532は、本技術分野では周知のフォトリソグラフィ技術により形成される。
その後、図5dにおいては、本発明の実施例に基づいて、ビア532が導電材料538によって充填される。1つの実施例において、ビア532は、ドープされた多結晶シリコンを堆積し、ほぼ平坦な表面を生成するための平坦化処理(例えば、CMP)を実施することで充填される。
図5eは、本発明の実施例に基づいて、導電層540の形成とパターン化を示している。導電層540は、ドープされた多結晶シリコンからなることが好ましい。図2のワード線222を形成するために、本技術分野では周知のフォトリソグラフィ技術により、導電層540をパターン化してもよい。この実施例において、ワード線222(例えば、図5eの導電層540)がほぼ平坦な表面を有していることに注目すべきである。
当業者ならば理解するとおり、蓄積トランジスタを、長手方向のアクティブ領域間に設置することにより、このアクティブ領域のピッチとメモリセルの寸法を小さくすることができる。この結果、従来の技術と比較して、メモリアレイのさらなる縮尺が可能になる。
本発明の実施例は、種々の処理法を利用する。例えばワード線222は、金属(例えば、タンタル,チタン,モリブデン,タングステン,プラチナ,アルミニウム,ハフニウム,ルテニウム,銅)や、金属シリサイド(例えば、チタンシリサイド,コバルトシリサイド,ニッケルシリサイド,タンタルシリサイド)や、窒化金属(例えば、窒化チタン,窒化タンタル)などの、多結晶シリコン以外の導電材料から形成してもよい。これらのいくつかの実施例においては、各ワード線を金属1の層に形成し、ビット線を金属2の層に形成するのが好ましい。
本発明とその利点について、詳細な説明を行ってきたが、添付の請求項により規定されるごとく、種々の変更,置換,代替が、本発明の精神と範囲を逸脱せずに実行可能であることを、理解すべきである。さらに、本明細書の範囲を、本明細書に記述されたプロセスや、機械や、製造や、物質,手段,方法,またはステップの複合に対して、限定する意図は無い。当業者ならば、ここで述べた本発明の開示内容から容易に理解するであろうが、ここで説明した実施例に対応するものとして、ほぼ同一の機能を実行しほぼ同一の結果を達成する、現存し、或いは、これ以後に開発されるであろうプロセスや、機械や、製造や、物質,手段,方法,またはステップの複合が、本発明に従って利用可能であろう。従って、添付の請求項は、このような、機械や、製造や、物質,手段,方法,またはステップの複合を、発明の範囲内で包含するように意図されている。
一般的なSONOSメモリアレイ配置部の平面図である。 本発明の一実施例に基づくSONOSメモリアレイ配置部の平面図である。 本発明の第1実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。 本発明の第1実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。 本発明の第1実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。 本発明の第1実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。 本発明の第1実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。 本発明の第2実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。 本発明の第2実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。 本発明の第2実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。 本発明の第2実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。 本発明の第3実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。 本発明の第3実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。 本発明の第3実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。 本発明の第3実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。 本発明の第3実施例に基づく種々の処理ステップを実施した後のウェハの断面図である。
210 アクティブ領域(第1のアクティブ領域,第2のアクティブ領域,第1の共通アクティブ領域,第2の共通アクティブ領域)
220 ゲート
222 ワード線
224 ワード線接点
310 基板
312 トランジスタ
322 ソース/ドレイン領域(ソース領域、ドレイン領域)
BL−1,BL−2,BL−3,BL−4 ビット線
330 誘電体層

Claims (4)

  1. 基板に存在する第1のアクティブ領域と、
    前記基板に存在し、前記第1のアクティブ領域の長手方向軸とほぼ平行な長手方向軸を有する第2のアクティブ領域と、
    前記第1のアクティブ領域と前記第2のアクティブ領域がソースとドレインの領域として動作するように、前記第1のアクティブ領域と前記第2のアクティブ領域との間に位置し、対応する前記ソースとドレインの領域の間にゲートを有する複数のトランジスタと、
    前記各トランジスタの全体を覆う誘電体層と、
    長手方向軸が前記第1のアクティブ領域および前記第2のアクティブ領域の長手方向軸と直交するように広がり、前記ゲートの上に配置されて前記ゲートとワード線接点で電気的に接続されるワード線と、
    前記第1のアクティブ領域および前記第2のアクティブ領域の長手方向軸とそれぞれ平行な長手方向軸を有し、前記第1のアクティブ領域および前記第2のアクティブ領域の上にそれぞれ配置され、前記ワード線の上に配置される第1のビット線および第2のビット線とを備え、
    前記ワード線接点は、前記ワード線と前記ゲートとの間の前記誘電体層中に形成されることを特徴とするメモリデバイス。
  2. 前記トランジスタが、シリコン−酸化物−窒化物−酸化物−シリコン(SONOS)トランジスタであることを特徴とする請求項1記載のメモリデバイス。
  3. それぞれが、ソース領域と、ドレイン領域と、前記ソース領域および前記ドレイン領域の間に配置されるゲートとを有し、前記ソース領域が第1の共通アクティブ領域を共有し、前記ドレイン領域が第2の共通アクティブ領域を共有し、前記第1の共通アクティブ領域と前記第2の共通アクティブ領域がほぼ平行な長手方向軸を有する複数のトランジスタと、
    前記各トランジスタの全体を覆う誘電体層と、
    前記ゲートの上に配置され、前記誘電体層中で前記ゲートとの間に形成されるワード線接点で前記ゲートに電気的に接続され、前記第1の共通アクティブ領域と前記第2の共通アクティブ領域の長手方向軸とほぼ垂直な長手方向軸を有するワード線と、
    前記第1の共通アクティブ領域および前記第2の共通アクティブ領域の長手方向軸とそれぞれ平行な長手方向軸を有し、前記第1の共通アクティブ領域および前記第2の共通アクティブ領域の上にそれぞれ配置され、前記ワード線の上に配置される第1のビット線および第2のビット線とを備えることを特徴とするメモリデバイス。
  4. 前記トランジスタが、シリコン−酸化物−窒化物−酸化物−シリコン(SONOS)トランジスタであることを特徴とする請求項3記載のメモリデバイス。
JP2011015285A 2005-12-05 2011-01-27 メモリデバイス Active JP5733997B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/294,280 2005-12-05
US11/294,280 US7538384B2 (en) 2005-12-05 2005-12-05 Non-volatile memory array structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2006212581A Division JP2007158297A (ja) 2005-12-05 2006-08-03 メモリデバイス

Publications (2)

Publication Number Publication Date
JP2011103488A true JP2011103488A (ja) 2011-05-26
JP5733997B2 JP5733997B2 (ja) 2015-06-10

Family

ID=38117850

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006212581A Pending JP2007158297A (ja) 2005-12-05 2006-08-03 メモリデバイス
JP2011015285A Active JP5733997B2 (ja) 2005-12-05 2011-01-27 メモリデバイス

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2006212581A Pending JP2007158297A (ja) 2005-12-05 2006-08-03 メモリデバイス

Country Status (5)

Country Link
US (1) US7538384B2 (ja)
JP (2) JP2007158297A (ja)
KR (1) KR100779638B1 (ja)
CN (1) CN1979866B (ja)
TW (1) TWI306670B (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4199338B2 (ja) * 1998-10-02 2008-12-17 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
KR100729366B1 (ko) * 2006-05-19 2007-06-15 삼성전자주식회사 반도체 장치 및 그 형성 방법
JP5147654B2 (ja) 2008-11-18 2013-02-20 パナソニック株式会社 半導体装置
US8791522B2 (en) * 2011-10-12 2014-07-29 Macronix International Co., Ltd. Non-volatile memory
KR20230057484A (ko) 2011-12-22 2023-04-28 인텔 코포레이션 반도체 구조
US10269697B2 (en) 2015-12-28 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TWI683351B (zh) * 2017-12-14 2020-01-21 新唐科技股份有限公司 半導體裝置及其形成方法

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0316182A (ja) 1989-03-08 1991-01-24 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JPH0521757A (ja) 1990-06-15 1993-01-29 Ricoh Co Ltd 半導体メモリ装置とその製造方法
JP2000195974A (ja) * 1998-12-25 2000-07-14 Sony Corp 半導体不揮発性記憶装置およびその製造方法
JP2000260888A (ja) * 1999-03-09 2000-09-22 Sanyo Electric Co Ltd 不揮発性半導体記憶装置とその製造方法
JP2002158298A (ja) * 2000-11-17 2002-05-31 Fujitsu Ltd 不揮発性半導体メモリ装置および製造方法
JP2002313962A (ja) 2001-04-11 2002-10-25 Mitsubishi Electric Corp 不揮発性半導体記憶装置
JP2003163289A (ja) * 2001-11-27 2003-06-06 Mitsubishi Electric Corp 半導体メモリの製造方法、及び該半導体メモリを含む半導体装置の製造方法
JP2003297957A (ja) * 2002-04-05 2003-10-17 Mitsubishi Electric Corp 半導体装置及び半導体装置の製造方法
JP2004022575A (ja) * 2002-06-12 2004-01-22 Sanyo Electric Co Ltd 半導体装置
JP2004104009A (ja) * 2002-09-12 2004-04-02 Fujitsu Ltd 半導体装置及びその製造方法
JP2004253571A (ja) * 2003-02-19 2004-09-09 Seiko Epson Corp 半導体装置の製造方法及び半導体装置
JP2004530296A (ja) * 2001-03-02 2004-09-30 インフィネオン テクノロジーズ アクチエンゲゼルシャフト メモリセルアレイの金属性ビット線の製造方法、メモリセルアレイの製造方法、およびメモリセルアレイ
JP2005005513A (ja) * 2003-06-12 2005-01-06 Sony Corp 不揮発性半導体メモリ装置およびその読み出し方法
JP2006024868A (ja) * 2004-07-09 2006-01-26 Oki Electric Ind Co Ltd 半導体不揮発性メモリセルアレイとその製造方法
WO2006129341A1 (ja) * 2005-05-30 2006-12-07 Spansion Llc 半導体装置およびその製造方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4964080A (en) * 1990-03-09 1990-10-16 Intel Corporation Three-dimensional memory cell with integral select transistor
KR920009748B1 (ko) * 1990-05-31 1992-10-22 삼성전자 주식회사 적층형 캐패시터셀의 구조 및 제조방법
TW301782B (ja) * 1991-08-16 1997-04-01 Gold Star Electronics
US5768192A (en) * 1996-07-23 1998-06-16 Saifun Semiconductors, Ltd. Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping
US6768165B1 (en) * 1997-08-01 2004-07-27 Saifun Semiconductors Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
KR100357185B1 (ko) * 2000-02-03 2002-10-19 주식회사 하이닉스반도체 비휘발성 메모리소자 및 그의 제조방법
US6897514B2 (en) * 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
JP2002299473A (ja) * 2001-03-29 2002-10-11 Fujitsu Ltd 半導体記憶装置及びその駆動方法
TW510048B (en) * 2001-11-16 2002-11-11 Macronix Int Co Ltd Manufacturing method of non-volatile memory
TW520554B (en) * 2002-01-11 2003-02-11 Macronix Int Co Ltd Memory device structure and its manufacturing method
KR100432889B1 (ko) 2002-04-12 2004-05-22 삼성전자주식회사 2비트 기입가능한 비휘발성 메모리 소자, 그 구동방법 및그 제조방법
US6734063B2 (en) * 2002-07-22 2004-05-11 Infineon Technologies Ag Non-volatile memory cell and fabrication method
US6958272B2 (en) * 2004-01-12 2005-10-25 Advanced Micro Devices, Inc. Pocket implant for complementary bit disturb improvement and charging improvement of SONOS memory cell
US6987298B2 (en) * 2004-02-03 2006-01-17 Solide State System Co., Ltd. Circuit layout and structure for a non-volatile memory
KR100645040B1 (ko) 2004-02-09 2006-11-10 삼성전자주식회사 소오스 스트래핑을 갖는 플래시 메모리 소자의 셀 어레이
US6952366B2 (en) * 2004-02-10 2005-10-04 Micron Technology, Inc. NROM flash memory cell with integrated DRAM
US7072210B2 (en) * 2004-04-26 2006-07-04 Applied Intellectual Properties Co., Ltd. Memory array
US6878988B1 (en) * 2004-06-02 2005-04-12 United Microelectronics Corp. Non-volatile memory with induced bit lines
KR101128711B1 (ko) * 2005-04-29 2012-03-23 매그나칩 반도체 유한회사 노아형 플래시 메모리 소자

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0316182A (ja) 1989-03-08 1991-01-24 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JPH0521757A (ja) 1990-06-15 1993-01-29 Ricoh Co Ltd 半導体メモリ装置とその製造方法
JP2000195974A (ja) * 1998-12-25 2000-07-14 Sony Corp 半導体不揮発性記憶装置およびその製造方法
JP2000260888A (ja) * 1999-03-09 2000-09-22 Sanyo Electric Co Ltd 不揮発性半導体記憶装置とその製造方法
JP2002158298A (ja) * 2000-11-17 2002-05-31 Fujitsu Ltd 不揮発性半導体メモリ装置および製造方法
JP2004530296A (ja) * 2001-03-02 2004-09-30 インフィネオン テクノロジーズ アクチエンゲゼルシャフト メモリセルアレイの金属性ビット線の製造方法、メモリセルアレイの製造方法、およびメモリセルアレイ
JP2002313962A (ja) 2001-04-11 2002-10-25 Mitsubishi Electric Corp 不揮発性半導体記憶装置
JP2003163289A (ja) * 2001-11-27 2003-06-06 Mitsubishi Electric Corp 半導体メモリの製造方法、及び該半導体メモリを含む半導体装置の製造方法
JP2003297957A (ja) * 2002-04-05 2003-10-17 Mitsubishi Electric Corp 半導体装置及び半導体装置の製造方法
JP2004022575A (ja) * 2002-06-12 2004-01-22 Sanyo Electric Co Ltd 半導体装置
JP2004104009A (ja) * 2002-09-12 2004-04-02 Fujitsu Ltd 半導体装置及びその製造方法
JP2004253571A (ja) * 2003-02-19 2004-09-09 Seiko Epson Corp 半導体装置の製造方法及び半導体装置
JP2005005513A (ja) * 2003-06-12 2005-01-06 Sony Corp 不揮発性半導体メモリ装置およびその読み出し方法
JP2006024868A (ja) * 2004-07-09 2006-01-26 Oki Electric Ind Co Ltd 半導体不揮発性メモリセルアレイとその製造方法
WO2006129341A1 (ja) * 2005-05-30 2006-12-07 Spansion Llc 半導体装置およびその製造方法

Also Published As

Publication number Publication date
CN1979866A (zh) 2007-06-13
JP2007158297A (ja) 2007-06-21
KR100779638B1 (ko) 2007-11-26
TW200723544A (en) 2007-06-16
KR20070058985A (ko) 2007-06-11
JP5733997B2 (ja) 2015-06-10
US7538384B2 (en) 2009-05-26
US20070126053A1 (en) 2007-06-07
TWI306670B (en) 2009-02-21
CN1979866B (zh) 2010-05-12

Similar Documents

Publication Publication Date Title
US10103169B1 (en) Method of making a three-dimensional memory device using a multi-step hot phosphoric acid wet etch process
US7968924B2 (en) Semiconductor device and a method of manufacturing the same
US7399672B2 (en) Methods of forming nonvolatile memory devices
US20150187791A1 (en) Methods of fabricating three-dimensional semiconductor devices
JP5733997B2 (ja) メモリデバイス
US20120007165A1 (en) Semiconductor devices
US7768061B2 (en) Self aligned 1 bit local SONOS memory cell
CN110896075B (zh) 集成电路存储器及其制备方法
US7442998B2 (en) Non-volatile memory device
CN109216346A (zh) 集成电路器件及其制造方法
KR20110040470A (ko) 금속 실리사이드층을 포함하는 반도체 소자
CN110098175A (zh) 半导体器件及其制造方法
KR101847628B1 (ko) 금속함유 도전 라인을 포함하는 반도체 소자 및 그 제조 방법
US8072018B2 (en) Semiconductor device and method for fabricating the same
TWI582841B (zh) 製造電晶體閘極之方法及包含電晶體閘極之半導體裝置
JP2000307086A (ja) 半導体装置及びその製造方法
US6717224B2 (en) Flash memory cell and method for fabricating a flash
US20090072293A1 (en) Flash Memory and Method for Manufacturing the Same
TW202205626A (zh) 記憶體裝置及其製作方法
US20230084374A1 (en) Semiconductor device and method for fabricating the same
TWI774371B (zh) 記憶體元件及形成三維記憶體元件的方法
US20230317469A1 (en) Semiconductor Device and Methods of Forming the Same
JP2010129740A (ja) 不揮発性半導体記憶装置およびその製造方法
US20100270603A1 (en) Semiconductor device and method of manufacturing the same
JP2008294215A (ja) 不揮発性半導体記憶装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130403

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130806

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131204

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20131211

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20140214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150109

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150414

R150 Certificate of patent or registration of utility model

Ref document number: 5733997

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250