JP2009540603A - 低接触抵抗cmos回路およびその製造方法 - Google Patents

低接触抵抗cmos回路およびその製造方法 Download PDF

Info

Publication number
JP2009540603A
JP2009540603A JP2009515383A JP2009515383A JP2009540603A JP 2009540603 A JP2009540603 A JP 2009540603A JP 2009515383 A JP2009515383 A JP 2009515383A JP 2009515383 A JP2009515383 A JP 2009515383A JP 2009540603 A JP2009540603 A JP 2009540603A
Authority
JP
Japan
Prior art keywords
metal
transition metal
layer
silicide
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009515383A
Other languages
English (en)
Other versions
JP5140073B2 (ja
Inventor
アール. ベセル ポール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2009540603A publication Critical patent/JP2009540603A/ja
Application granted granted Critical
Publication of JP5140073B2 publication Critical patent/JP5140073B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28537Deposition of Schottky electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

低接触抵抗CMOS集積回路(50)とその製造方法が提供される。CMOS集積回路(50)は、N型の回路領域(72、74)に電気的に結合された第1遷移金属(102)と、P型の回路領域(76、78)に電気的に結合され、第1遷移金属とは異なる第2遷移金属(98)と、を含む。導電性バリア層(104)は第1遷移金属の各々の上に重なり、第2遷移金属およびプラグ金属(110)は導電性バリア層の上に重なる。

Description

概して、本発明はCMOS集積回路とその製造方法に関するものであり、より詳細には、低接触抵抗CMOS回路とその製造方法に関する。
今日の集積回路(IC)の多くは、酸化金属半導体電界効果トランジスタ(MOSFETまたはMOSトランジスタ)とも呼ばれる複数の相互接続された電界効果トランジスタ(FETs)により実装される。ICは通常、PチャネルおよびNチャネルFETを用いて形成される。従って、このICは相補型MOSまたはCMOS集積回路(IC)とも呼ばれ、より多数の回路が単一のICチップに組み込まれるようになっている。
増え続ける回路を組み込むために、回路中の個々のデバイス寸法と、デバイス素子間の寸法および間隔(加工寸法)とを縮小する必要がある。所望の回路機能を実装するには、回路の個々の素子、MOSトランジスタおよび他の受動回路素子および能動回路素子を金属または他の導体によって相互接続する必要がある。導体と回路素子間が接触すると、幾分かの小さな抵抗が生じる。加工寸法が縮小すると、接触抵抗は増加し、回路抵抗の全体に占める割合がますます大きくなる。加工寸法が150ナノメートル(nm)から90nmにまで縮小すると、45nmあるいはそれ以下の接触抵抗がますます重要になってくる。加工寸法が32nmの場合、このような傾向を変える何らかの方策が講じられない限りは、接触抵抗がチップ性能を左右することになる。
したがって、低接触抵抗CMOS集積回路を提供することが望まれている。さらに、低接触抵抗CMOS集積回路を製造する方法を提供することが望まれている。さらに、本発明のその他の望ましい特性、および特徴は、添付の図面とすでに説明した技術分野および背景技術とあわせて、以下の詳細な説明と添付の請求の範囲から明らかになるであろう。
低接触抵抗CMOS集積回路が提供される。一実施形態によれば、CMOS集積回路はN型の集積領域に電気的に結合された第1遷移領域と、P型の回路領域に電気的に結合され、第1遷移金属とは異なる第2遷移金属と、を含む。導電性バリア層は、第1遷移金属および第2遷移金属の各々の上に重なり、プラグ金属は導電性バリア層の上に重なる。
N型のドレイン領域とP型のドレイン領域とを有する低接触抵抗CMOS集積回路の製造法が提供される。一実施形態によれば、方法において、P型のドレイン領域に接する、障壁の高さが高い金属シリサイドが形成され、さらに、N型のドレイン領域に接する、障壁の高さが低い金属シリサイドが形成される。障壁の高さが高い金属シリサイドの一部を露出する第1開口部と、障壁の高さが低い金属シリサイドの一部を露出する第2開口部と、を形成するように誘電層が蒸着され、パターン形成される。障壁の高さが低い金属は、障壁高さの低い金属シリサイドの一部に接するように第2開口部中に蒸着され、障壁の高さが高い金属は、障壁の高さが高い金属シリサイドの一部に接するように第1開口部中に蒸着される。障壁の高さが高い金属に接して、および、障壁の高さが低い金属に接して導電性キャップ層が蒸着され、第1および第2開口部はこの導電性キャップ層に接してプラグ金属で埋め込まれる。
加工寸法の縮小に伴って生じる接触抵抗の問題点を説明したグラフ。 不純物ドープした領域に対する導電接触を示した概略的説明図。 本発明の各種の実施形態に従うCMOS集積回路を製造するための方法ステップを断面で示した概略的説明図。 本発明の各種の実施形態に従うCMOS集積回路を製造するための方法ステップを断面で示した概略的説明図。 本発明の各種の実施形態に従うCMOS集積回路を製造するための方法ステップを断面で示した概略的説明図。 本発明の各種の実施形態に従うCMOS集積回路を製造するための方法ステップを断面で示した概略的説明図。 本発明の各種の実施形態に従うCMOS集積回路を製造するための方法ステップを断面で示した概略的説明図。 本発明の各種の実施形態に従うCMOS集積回路を製造するための方法ステップを断面で示した概略的説明図。 本発明の各種の実施形態に従うCMOS集積回路を製造するための方法ステップを断面で示した概略的説明図。 本発明の各種の実施形態に従うCMOS集積回路を製造するための方法ステップを断面で示した概略的説明図。
以下に本発明を以下の図面とともに説明する。図面において、同じ参照符号は同様の要素を表す。
以下の詳細な説明は本質的に単なる例示を目的としており、本発明を限定したり、本発明の応用および用途を限定したりすることを意図するものではない。さらに、先行する技術分野、背景技術、簡単な要約または以下の詳細な説明において示されたいずれの理論によっても拘束されることを意図しない。
図1に、加工寸法の縮小に伴い生じる接触抵抗の問題をグラフにより示す。左垂直軸20は、ナノメートル単位の加工寸法を示す。右垂直軸22は、接触抵抗(単位:Ω)を示す。水平軸24は、「技術ノード(technology node:TN)」を示す。「技術ノード」とは、特定の加工寸法に伴う技術パッケージのことを指す。典型的には、ある1つの完全な技術パッケージにおいて、加工寸法がそれぞれ縮小している。例えば「90nm技術ノード」で製造されるデバイスの最小加工寸法は90nmとなり、さらに、その寸法のデバイスのために特別に設計されたプロセスレシピによって製造されることになる。曲線26は、本業界において、技術ノードから技術ノードへの移行時の加工寸法の変化を示す。曲線28は、このような技術ノードの各々においての典型的な接触抵抗を示す。図面から明らかなように、加工寸法が縮小すると、接触抵抗が著しく増加する。回路が動作できる速度は主に回路中の抵抗に左右され、加工寸法が縮小すると、その動作速度を制限する際に、接触抵抗がますます重要となっている。
図2に、シリコン基板34中に不純物ドープした領域32と導電性の金属プラグ36間のコンタクト30を断面図で概略的に示す。図示していないが、導電性金属プラグは、目的とする回路機能を実装すべく集積回路(IC)のデバイスを相互接続するために行われるメタライゼーションにより、順に接続される。絶縁層40をエッチスルーした開口部またはビア38中にコンタクト30が形成される。不純物ドープした領域32の表面に金属シリサイド層42が形成される。金属シリサイド層の少なくとも一部はビア38の底部において露出される。金属シリサイド層に接した状態でインターフェースもしくは接触層44が形成される。バリア層46は層44に接しており、ビアの壁に沿って上方に拡張している。また、コンタクトを埋め込むために導電材料48がバリア層の上に蒸着される。従来技術の構造では、導電性プラグ構造にはシリサイド層に接した状態のチタン(Ti)接触層、チタン層の上に川なる窒化チタン(TiN)層、および、TiN層に接するとともにビアを埋め込んでいるタングステン(W)が含まれていた。
コンタクト30のトータルの接触抵抗RTは、いくつかの抵抗の合計、つまり、シリサイド42からシリコン32にかけての界面抵抗R1、シリサイド42自体の抵抗R2、シリサイド42から界面金属44にかけての界面抵抗R3、界面金属44とバリア層46の抵抗R4、および、バリア層46と導電性プラグ材料48に並行する抵抗で構成される抵抗R5の合計である。従って、RT=R1+R2+R3+R4+R5となる。本発明の各種の実施形態は、R1およびR2を最適化し、R3、R4、およびR5を小さくすることでトータルの接触抵抗RTを小さくする役割を果たす。トータルの接触抵抗は、シリコン、インターフェース金属、バリア層材料、および導電性プラグ材料を適切に選択することにより小さくされる。
図3〜図10に、本発明の各種実施形態に従うCMOS集積回路50を製造するための方法ステップを断面図で概略的に示す。MOSコンポーネントの製造における各種ステップは周知であるので、簡略化のために、従来のステップは本文中に簡単に述べるのみとしているか、従来のプロセスの詳細を記載せずに完全に省略されている。「MOSデバイス」という用語は、正確には金属ゲート電極と酸化ゲート絶縁体とを有するデバイスのことを指すが、この用語は、半導体基板に順番に位置決めされるゲート絶縁体(酸化物であるかその他の絶縁体であるかを問わず)上に位置決めされる導電性ゲート電極(金属であるかその他の導電性材料であるかを問わず)を含むいかなる半導体デバイスに対しても用いられる。
CMOS集積回路50は、複数のNチャネルMOSトランジスタ52と、PチャネルMOSトランジスタ54とを含み、図面にはそれぞれ1つだけを示している。当業者であれば、IC50は、所望の回路機能を実装するために求められる多数のそのようなトランジスタを含みうることが分かるであろう。IC50の製造において、各初期ステップは従来どおりであり、そのようなステップから形成される構造を図3に例示する。しかし、各初期ステップ自体は示していない。集積回路は、シリコン基板34上に製造される。この基板34は例示のようにバルクシリコンウェハであってもよいし、あるいは、絶縁基板上の薄いシリコン層(SOI)であってもよい。
本文に用いられているように、「シリコン層」および「シリコン基板」という用語は、実質的に単結晶の半導体材料を形成するために、半導体産業で典型的に使用される比較的純粋な、または軽く不純物がドープされた単結晶シリコン材料、および、ゲルマニウム、炭素など、その他の要素と混合したシリコンを包含するように用いられる。NチャネルMOSトランジスタ52とPチャネルMOSトランジスタ54とは誘電絶縁領域56によって、好適にはシャロートレンチ絶縁(STI)領域によって電気的に絶縁される。周知のように、STIを形成するための多くのプロセスがあることから、そのようなプロセスは本文中に詳細に説明するに及ばない。概して、STIは、半導体基板の表面にエッチングされ、その後、絶縁材料で埋め込みされるシャロートレンチを含む。トレンチが酸化シリコンなどの絶縁材料で埋め込みされた後、通常、その表面は化学機械平坦化(CMP)などによって平坦化される。
シリコン基板の少なくとも表面部位58は、NチャネルMOSトランジスタを製造するために、P型の導電性を決定する不純物でドープされ、別の表面部位60はPチャネルMOSトランジスタ54を製造するためにN型の導電性を決定する不純物でドープされる。部位58および60は、例えばホウ素や砒素などのドーパントイオンを注入し、続いて熱アニールすることによって不純物ドープすることができる。
従来のプロセスにおいては、ゲート絶縁材料層62は不純物ドープした領域の表面に形成され、さらに、ゲート絶縁材料58と不純物ドープ領域58との上に重ねてゲート電極64および66がそれぞれ形成される。ゲート絶縁材料層は、熱成長した二酸化シリコン層であってもよいし、他の形態(図示しているように)では、酸化シリコン、窒化シリコン、HfSiOなどの高誘電定数の絶縁体などの、蒸着絶縁体であってもよい。蒸着絶縁体は、例えば、化学蒸着法(CVD)、低圧化学蒸着法(LPCVD)、あるいはプラズマエンハンスト化学蒸着法(PECVD)によって蒸着することができる。
好適には、ゲート絶縁体62の厚みは、約1〜10nmであるが、現実の厚みは実装される回路中のトランジスタの用途に基づいて決定される。好適には、ゲート電極64および66は、多結晶シリコン層、好適にはアンドープ多結晶シリコン層を蒸着し、パターン形成し、さらにエッチングすることで形成される。ゲート電極の厚みは一般的に、約100〜300nmである。多結晶シリコンは、例えば、CVD反応でシランを減らすことで蒸着できる。ゲート電極64および66の側壁には、側壁スペーサ68および70がそれぞれ形成される。この側壁スペーサは、酸化シリコンおよび/または窒化シリコンなどの絶縁材料層を蒸着し、その後、反応性イオンエッチ(RIE)などによって絶縁層を異方性エッチすることにより形成される。
酸化シリコンおよび窒化シリコンは、例えば、CHF、CF、あるいはSF化学物質においてエッチすることができる。マスキング材料層(例えば、フォトレジスト層であってもよい)が塗布され、トランジスタ構造の1つを露出するようにパターン形成される。マスキング材料は、例えばPチャネルMOSトランジスタ構造をマスキングし、NチャネルMOSトランジスタ構造を露出するようにパターン形成される。この、パターン形成されたマスキング材料をイオン注入マスクとして用いることで、N型の導電性を決定するイオンが、シリコン基板にN型のソース領域72とドレイン領域74とを形成するようにシリコン基板のP型の部分58に注入され、さらに、ゲート電極64をN型の不純物で伝導性ドープするように、ゲート電極64に注入される。
注入されたイオンは、燐イオンまたは砒素イオンのいずれであってもよい。パターン形成されたマスキング材料層は除去され、別のマスキング材料層、同じくフォトレジスト層などの層、が塗布され、トランジスタ構造のその他の部分を露出するようにパターン形成される。この第2の、パターン形成されたマスク材料層をイオン注入マスクとして用いることで、ホウ素イオンなどのP型の導電性を決定するイオンが、シリコン基板にP型のソース領域76とドレイン領域78とを形成するようにシリコン基板のN型の部分60に注入され、さらに、ゲート電極66をP型の不純物で伝導性ドープするように、ゲート電極66に注入される。トランジスタ構造の各々に対しては、イオン注入されたソースおよびドレイン領域は、ゲート電極に対して自己整合する。当業者であれば、ドレイン拡張部、ハロ注入、深いソースおよびドレインなどを生成するために、付加的なサイドウォールスペーサを設け、更なる注入を行ってもよいことが分かるであろう。さらに、当業者であれば、NチャネルおよびPチャネルMOSトランジスタのソース領域およびドレイン領域を形成する順序を逆にしてもよいことが分かるであろう。
本発明の一実施形態によれば、図4に示すように、低温の窒化シリコン層などのマスキング材料層80は、蒸着され、パターン形成される。マスキング材料層は、NチャネルMOSトランジスタ52をマスキングし、PチャネルMOSトランジスタ54を露出するようにパターン形成される。パターン形成されたマスクは、エッチマスクとして用いられ、P型ソース領域76とドレイン領域78とを露出するように、ゲート絶縁体62のいずれの露出部分がエッチされる。このエッチングステップはさらに、ゲート電極66に残留しているおそれのあるいずれの絶縁材料をも除去するために用いられる。
本発明の一実施形態によれば、構造にわたって、および、露出したP型のソース領域76とドレイン領域78の一部およびゲート電極66に接触した状態で、障壁の高さが高いシリサイド形成金属層(図示せず)が蒸着される。「障壁の高さが高いシリサイド形成金属」とは、シリコンに対する障壁の高さが少なくとも約0.7eVよりも大きな金属のことである。この基準を満たすシリサイド形成金属の一例としては、イリジウムおよび白金が挙げられる。本発明の一実施形態によれば、シリサイド形成金属を含む構造は、例えば高速熱アニール(RTA)によって熱せられ、シリサイド形成金属を露出したシリコンと反応させて、P型ソース領域76とドレイン領域78の表面に金属シリサイド82を形成し、P型のゲート電極66上に金属シリサイド84を形成するようにする。このシリサイドを形成するのは、露出したシリコンが存在する領域に限られる。
サイドウォールスペーサ上や、露出したSTI、およびマスキング層上など、露出したシリコンが存在しない領域にはシリサイドを形成せず、また、シリサイド形成金属はこのような領域では未反応の状態である。未反応のシリコン形成金属は、H/HSOまたはHNO/HCl溶液中でウェットエッチングにより除去することができる。選択したシリサイド形成金属から形成されるシリサイドは、P型ドープしたソースおよびドレイン領域およびP型ドープしたゲート電極に対する接触抵抗が低いP型シリコンにショットキー接合を形成する。
図5に示すように、パターン形成されたマスキング材料層80は除去され、別のマスキング材料層86が蒸着されパターン形成される。マスキング材料層は、例えば、蒸着した低温窒化物層であってもよい。マスキング層は、NチャネルMOSトランジスタ52を露出し、PチャネルMOSトランジスタ54を覆った状態にするようにパターン形成される。
パターン形成されたマスクは、エッチマスクとして用いられ、N型ソース領域72とドレイン領域74の一部を露出するように、ゲート絶縁体62のいずれの露出部分がエッチされる。このエッチングステップはさらに、ゲート電極64に残留しているおそれのあるいずれの絶縁材料をも除去するために用いられる。本発明の一実施形態によれば、構造にわたって、および、露出したN型のソース領域72とドレイン領域74の一部およびゲート電極64に接触した状態で、障壁の高さが低いシリサイド形成金属層(図示せず)が蒸着される。「障壁の高さが低いシリサイド形成金属」とは、シリコンに対する障壁の高さが約0.4eV未満の、好適には約0.3eV未満の金属のことである。
この基準を満たすシリサイド形成金属の一例としては、イッテルビウム、エルビウム、ジスプロシウム、およびガドリニウムが挙げられる。本発明の一実施形態によれば、シリサイド形成金属を含む構造は、例えばRTAによって熱せられ、シリサイド形成金属を露出したシリコンと反応させて、N型ソース領域72とドレイン領域74の表面に金属シリサイド88を形成し、N型のゲート電極64上に金属シリサイド90を形成するようにする。同様に、このシリサイドを形成するのは、露出したシリコンが存在する領域に限られる。
サイドウォールスペーサ上や、露出したSTI、およびマスキング層上など、露出したシリコンが存在しない領域にはシリサイドを形成せず、また、シリサイド形成金属はこのような領域においては未反応の状態である。未反応のシリコン形成金属は、H/HSOまたはHNO/HCl溶液中でウェットエッチングにより除去することができる。選択したシリサイド形成金属から形成されるシリサイドは、N型ドープしたソースおよびドレイン領域およびN型ドープしたゲート電極に対する接触抵抗が低いN型シリコンにショットキー接合を形成する。さらに、金属シリサイド領域82、84、88および90の特徴として、低抵抗であることが挙げられる。したがって、シリサイド形成金属は、界面抵抗R1とシリサイド自体の抵抗R2を最適化し小さくする。
図示していないが、各シリサイド領域の形成順序を逆にしてもよい。従って、シリサイド領域88と90とがシリサイド領域82と84の前に形成される。各ステップにおいて、シリサイド形成金属が、例えばスパッタリングによって、約5〜50nmの厚みに、好適には、約10nmの厚みに蒸着される。
図6に示すように、マスキング層86は除去され、酸化シリコン層などの誘電材料層92が蒸着される。層92の上面は、例えば化学機械研磨(CMP)により平坦化され、開口部またはビア94は、金属シリサイド領域82、84、88、および90の一部を露出するようにエッチスルーされる。好適には、層92は低温プロセスによって蒸着され、例えば、LPCVDプロセスによって蒸着されてもよい。図示していないが、層92は2つ以上の誘電材料からなる層を含み、このような層の一例としては、ビアのエッチングを容易にするためのエッチストップ層を挙げることができる。この例示的実施形態において、ゲート電極64および66上の金属シリサイドの一部を露出しているビアが示される。実装される回路に応じて、ビアをゲート電極の全てに対して形成してもよいし、形成しなくてもよい。
図7に示すように、方法は、本発明の一実施形態に従って、マスキング層96を蒸着しパターン形成することによって継続する。例えば、マスキング層96(例えば、蒸着された低温窒化物層であってもよい)は、PチャネルMOSトランジスタ54を露出し、NチャネルMOSトランジスタ52をマスキングするようにパターン形成される。マスキング層は、金属シリサイド領域82および84の一部を露出するように、PチャネルMOSトランジスタ上のビア94から除去される。遷移金属層98はこのマスキング層にわたって蒸着され、また、ビア94中に拡張して金属シリサイド領域82および84に接するようにいる。Pドープシリコンに接している遷移金属層は、好適には、シリコンに対する障壁高さが約0.7eV以上となっている。
遷移金属層98に適切な金属の一例としては、障壁高さがそれぞれ0.8eVおよび0.9eVのパラジウムおよび白金、および、これらの金属の合金が挙げられる。その他の適切な金属としては、金、銀、およびアルミニウム、ならびにそれらの合金が挙げられ、これら全ての障壁高さは0.7eVから0.9eVである。遷移金属層は、例えば、原子層蒸着法(ALD)またはスパッタリングなどの物理蒸着法(PVD)によって蒸着することができる。遷移金属層は薄く、約1〜5nmである。必要となるのは、領域82および84中の金属シリサイドと、続いて形成される、上に重なるプラグメタライゼーションとの間の仕事関数を実際に変化させ得るために十分な量の遷移金属だけである。ごく微量ながら、ある程度の遷移金属がビアの側壁に蒸着される。
図8に示すように、パターン形成されたマスキング層96と、パターン形成されたマスキング層の上に重なる遷移金属98の一部は除去され、別のマスキング材料層100が蒸着されてパターン形成される。マスキング層100もまた同様に、蒸着された低温の窒化層などであり、NチャネルMOSトランジスタ52を露出し、遷移金属層98を含むPチャネルMOSトランジスタ54をマスキングするようにパターン形成される。マスキング層は金属シリサイド領域88および90を露出するように、NチャネルMOSトランジスタ上のビア94から除去される。別の遷移金属層102はマスキング層上に蒸着される。
この金属層は金属シリサイド領域88および90に接するようにビア94中にまで及んでいる。Nドープしたシリコンに接している遷移金属層は、好適には、約0.4eV以下のシリコンに対する障壁高さを有する。遷移金属層102に適切な金属の一例としては、障壁高さがそれぞれ0.35Veおよび0.4eVのスカンジウムおよびマグネシウム、および、これらの金属の合金が挙げられる。遷移金属層102は、例えば、原子層蒸着法(ALD)またはスパッタリングなどの物理蒸着法(PVD)によって約1〜5nmの厚みにまで蒸着することができる。必要となるのは、領域88および90中の金属シリサイドと、続いて蒸着される、上に重なるプラグメタライゼーション間の仕事関数を実際に変化させ得るに十分な量の遷移金属だけである。
図9に示すように、マスキング層100と、マスキング層の上に重なる遷移金属層102の一部は除去され、導電性バリア層104は遷移金属層98と遷移金属層102に接した状態で蒸着される。導電性バリア層は遷移金属層の酸化を未然に防ぎ、続いて蒸着されるプラグ材料が周囲の誘電層92へ移動するのを妨げる障壁としての役割を果たし、また、プラグ材料あるいは反応物質を形成するプラグ材料が下のシリコン中に移動するのを未然に防ぎ、さらに、シリコンがプラグ材料中に移動するのを未然に防ぐ。導電性バリア層に適切な金属の一例としては、窒化チタン(TiN)および窒化タンタル(TaN)が挙げられる。導電性バリア層は、LPCVD、ALDまたはPVDなどで蒸着することができる。さらに、TiNおよびTaNはそれぞれチタンまたはタンタルをそれぞれ蒸着し、その後、窒化することで形成することができる。好適には、バリア層の厚みは、誘電層92の上部が約2〜15nmであり、ビア94の底部が約1〜5nmである。
好適には、この厚みは、適切なバリア特性を実現することができるように十分な厚みを維持しつつも、バリア層の抵抗R4を最小限にするように調整される。さらに、図9に例示されているように、バリア金属層が蒸着されると、導電性プラグを形成すべく、ビアは、タングステン、銅、またはその他の導電性材料の層110を蒸着することによって埋め込まれる。好適には、導電性プラグ材料は、抵抗R5を小さくするように、銅である。導電性材料は、例えばPVD、ALD、CVDまたは電気化学的方法によって蒸着することができる。
図10に例示しているように、本発明の一実施形態に従い、導電性プラグ構造は、誘電層92の上面に存在する余分な導電性プラグ材料110、導電性バリア層104、および遷移金属層98および102を除去することによって完成する。余分な材料は、例えばCMPによって除去することができる。この結果もたらされる構造は、PMOSトランジスタ54とNMOSトランジスタ52の端部とに電気接触している導電性プラグ120、122、124、126、128および130を含む。導電性プラグの各々には、導電性材料110と導電性バリア層104が含まれる。
導電性プラグ120、122、および124はそれぞれ、遷移金属層98と電気接触している。この遷移金属層98は、順に、P型のソース領域76とドレイン領域78に接している金属シリサイド82と、または、PチャネルMOSトランジスタのゲート電極に接している金属シリサイド84と電気接触しているものである。導電性プラグ126、128、および130はそれぞれ、遷移金属層102と電気接触している。この遷移金属102は、順に、N型のソース領域72とドレイン領域74に接している金属シリサイド88と、または、Nチャネルトランジスタのゲート電極に接している金属シリサイド90と電気接触しているものである。結果としてもたらされる構造では、全体の接触抵抗を下げるように、各種の導電層の金属障壁の高さが適切に合わせられる。
図示していないが、所望の回路機能を実装すべくNチャネルおよびPチャネルMOSトランジスタを互いに接続するために、導電性プラグのうち、適切なものに結合された相互接続線を必要に応じて形成することによって、CMOS集積回路50の製造を継続することになる。相互接続線が銅で形成されていれば、製造プロセスには、誘電層(層間誘電層またはILD)を蒸着しパターン形成するステップ、TaN層などの導電性バリア層を蒸着するステップ、銅層を蒸着するステップ、および、ダマシンプロセスにおいてCMPによって銅層を研磨するステップ、が含まれうる。
これまでの詳細な説明では、少なくとも1つの例示的実施形態を示してきたが、多数の変形例が存在することは明らかであろう。例えば、上述したように、NチャネルおよびPチャネルMOSトランジスタ上にシリサイドを形成するステップにおいては、その順序を逆にしてもよい。同様に、遷移金属層98および102を形成するステップの順序を逆にしてもよい。記載した2つのアニールステップではなくて、単一のアニールステップを行ってシリサイド形成金属を露出したシリコンと反応させてもよい。図示していないが、他の実施形態では、遷移金属層98と遷移金属層102とを蒸着した後に導電性バリア層104を蒸着するのではなくて、遷移金属層の各々を蒸着した後に導電性バリア層を蒸着してもよい。つまり、遷移金属層を蒸着し、次に、真空破壊せずに、導電性バリア層を遷移金属層上に蒸着することができる。
次いで、遷移金属層102を蒸着後に、導電性バリア層をその遷移金属層上に真空破壊せずに蒸着することができる。遷移金属層の蒸着直後に導電性バリア層を蒸着することによって、遷移金属層を酸化から守ることができる。当業者であれば、発明の方法において多くの洗浄ステップ、付加的な蒸着ステップなどもまた用いることができることがわかるであろう。さらに、例示的な実施形態は単なる例であって、本発明の範囲、応用性または構成を限定するものではないことは明らかであろう。
むしろ、既述の詳細な説明は、当業者達にとって、例示的実施形態を実装するための利便性のある指針となるであろう。添付の請求の範囲にて説明した本発明の範囲およびその法的等価物から逸脱することなく、要素の機能および配置において各種の変更を行うことができる点を理解されたい。

Claims (10)

  1. N型のソース領域(72)とドレイン領域(74)を有するNMOSトランジスタ(52)と、P型のソース領域(76)とドレイン領域(78)を有するPMOSトランジスタを含むCMOS集積回路(50)であって、
    前記N型のソースおよびドレイン領域に接する第1金属シリサイド(88)と、
    前記P型のソースおよびドレイン領域に接し、前記第1金属シリサイドとは異なる第2金属シリサイド(82)と、
    前記第1金属シリサイドに接する第1遷移金属(102)と、
    前記第2金属シリサイドに接する、前記第1バリア金属とは異なる第2遷移金属(98)と、
    前記第1遷移金属と電気的に接している第1金属プラグ(110)と、
    前記第2遷移金属と電気的に接している第2金属プラグ(110)と、を含むCMOS集積回路。
  2. 前記第1金属シリサイド(88)は、シリコンに対する障壁高さが0.4eV未満である金属から形成された金属シリサイドを含む、請求項1記載のCMOS集積回路。
  3. 前記第2金属シリサイド(82)は、シリコンに対する障壁高さが0.7eVよりも大きい金属から形成された金属シリサイドを含む、請求項1記載のCMOS集積回路。
  4. 前記第1遷移金属(102)は、シリコンに対する障壁高さが約0.4eV以下である金属を含む、請求項1記載のCMOS集積回路。
  5. 前記第1遷移金属(102)は、スカンジウムおよびマグネシウムならびにその合金からなる群より選択される金属を含む、請求項4記載のCMOS集積回路。
  6. 前記第2遷移金属(98)は、シリコンに対する障壁高さが0.7eV以上である金属を含む、請求項4記載のCMOS集積回路。
  7. 前記第2遷移金属(98)は、白金、パラジウム、金、銀、アルミニウムおよびその合金からなる群より選択される金属を含む、請求項6記載のCMOS集積回路。
  8. 第1N型領域(72、74)と第2P型領域(76、78)を有するCMOS集積回路(50)であって、
    前記第1N型領域に電気的に結合された第1遷移金属(102)と、
    前記第2P型領域に電気的に結合され、前記第1遷移金属とは異なる第2遷移金属(98)と、
    前記第1遷移金属と前記第2遷移金属の各々の上に重なる導電性バリア層(104)と、
    前記導電性バリア層上に重なるプラグ金属(110)と、を含む、CMOS集積回路。
  9. 前記第1遷移金属(102)は、スカンジウムおよびマグネシウムならびにその合金からなる群より選択される金属を含み、前記第2遷移金属(98)は、白金、パラジウム、金、銀、アルミニウムおよびその合金からなる群より選択される金属を含む、請求項8記載のCMOS集積回路。
  10. 前記第1遷移金属(102)は、シリコンに対する第1の障壁高さを有し、前記第2遷移金属(98)は前記第1の障壁高さよりも大きなシリコンに対する第2の障壁高さを有する、請求項8記載のCMOS集積回路。
JP2009515383A 2006-06-15 2007-03-29 低接触抵抗cmos回路およびその製造方法 Active JP5140073B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/424,373 2006-06-15
US11/424,373 US7405112B2 (en) 2000-08-25 2006-06-15 Low contact resistance CMOS circuits and methods for their fabrication
PCT/US2007/007549 WO2007145695A1 (en) 2006-06-15 2007-03-29 Low contact resistance cmos circuits and methods for their fabrication

Publications (2)

Publication Number Publication Date
JP2009540603A true JP2009540603A (ja) 2009-11-19
JP5140073B2 JP5140073B2 (ja) 2013-02-06

Family

ID=38293957

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009515383A Active JP5140073B2 (ja) 2006-06-15 2007-03-29 低接触抵抗cmos回路およびその製造方法

Country Status (8)

Country Link
US (2) US7405112B2 (ja)
JP (1) JP5140073B2 (ja)
KR (1) KR101319982B1 (ja)
CN (1) CN101467244B (ja)
DE (1) DE112007001436B4 (ja)
GB (1) GB2452446B (ja)
TW (1) TWI462228B (ja)
WO (1) WO2007145695A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9746600B2 (en) 2012-05-10 2017-08-29 Saint-Gobain Glass France Illuminating glazing with incorporated deflector

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3803631B2 (ja) * 2002-11-07 2006-08-02 株式会社東芝 半導体装置及びその製造方法
US8164141B2 (en) * 2005-10-06 2012-04-24 United Microelectronics Corp. Opening structure with sidewall of an opening covered with a dielectric thin film
US8236702B2 (en) * 2005-10-06 2012-08-07 United Microelectronics Corp. Method of fabricating openings and contact holes
US8258057B2 (en) * 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
JP4560820B2 (ja) * 2006-06-20 2010-10-13 エルピーダメモリ株式会社 半導体装置の製造方法
US20080042222A1 (en) * 2006-08-16 2008-02-21 Force Mos Technology Co., Ltd. Trench mosfet with copper metal connections
US7629646B2 (en) * 2006-08-16 2009-12-08 Force Mos Technology Co., Ltd. Trench MOSFET with terraced gate and manufacturing method thereof
US20080042208A1 (en) * 2006-08-16 2008-02-21 Force Mos Technology Co., Ltd. Trench mosfet with esd trench capacitor
US7851784B2 (en) * 2007-02-13 2010-12-14 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array electronic devices
US7728333B2 (en) * 2007-03-09 2010-06-01 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array ballistic light emitting devices
US7566651B2 (en) * 2007-03-28 2009-07-28 International Business Machines Corporation Low contact resistance metal contact
US20080272435A1 (en) * 2007-05-02 2008-11-06 Chien-Ting Lin Semiconductor device and method of forming the same
KR100857229B1 (ko) * 2007-05-28 2008-09-05 삼성전자주식회사 반도체 소자 및 그 형성방법
US8610104B2 (en) * 2008-01-24 2013-12-17 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array injection lasers
US8624224B2 (en) * 2008-01-24 2014-01-07 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array bipolar transistors
US8610125B2 (en) * 2008-01-24 2013-12-17 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array light emitting diodes
US8492249B2 (en) * 2008-01-24 2013-07-23 Nano-Electronic And Photonic Devices And Circuits, Llc Methods of forming catalytic nanopads
US8440994B2 (en) * 2008-01-24 2013-05-14 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array electronic and opto-electronic devices
US7754559B2 (en) * 2008-03-19 2010-07-13 Tower Semiconductor Ltd. Method for fabricating capacitor structures using the first contact metal
US9324611B2 (en) * 2008-04-03 2016-04-26 Micron Technology, Inc. Corrosion resistant via connections in semiconductor substrates and methods of making same
JP5769160B2 (ja) * 2008-10-30 2015-08-26 国立大学法人東北大学 コンタクト形成方法、半導体装置の製造方法、および半導体装置
US7768074B2 (en) * 2008-12-31 2010-08-03 Intel Corporation Dual salicide integration for salicide through trench contacts and structures formed thereby
DE102010004230A1 (de) 2009-01-23 2010-10-14 Qimonda Ag Integrierter Schaltkreis mit Kontaktstrukturen für P- und N-Dotierte Gebiete und Verfahren zu dessen Herstellung
US8507996B2 (en) * 2009-09-22 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Block contact plugs for MOS devices
US8551874B2 (en) 2010-05-08 2013-10-08 International Business Machines Corporation MOSFET gate and source/drain contact metallization
KR101294449B1 (ko) * 2011-04-13 2013-08-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 집적 회로 및 집적 회로의 제조 방법
CN103367148B (zh) * 2012-03-29 2016-07-06 中芯国际集成电路制造(上海)有限公司 晶体管及其制造方法
KR102001057B1 (ko) * 2012-10-31 2019-07-18 엘지디스플레이 주식회사 어레이 기판의 제조방법
US8778789B2 (en) * 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
US9515163B2 (en) * 2013-09-09 2016-12-06 Globalfoundries Inc. Methods of forming FinFET semiconductor devices with self-aligned contact elements using a replacement gate process and the resulting devices
US20150243663A1 (en) * 2014-02-24 2015-08-27 United Microelectronics Corp. Method for manufacturing semiconductor device and device manufactured using the same
US9165838B2 (en) * 2014-02-26 2015-10-20 Taiwan Semiconductor Manufacturing Company Limited Methods of forming low resistance contacts
US9773919B2 (en) * 2015-08-26 2017-09-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US10304773B2 (en) * 2015-10-21 2019-05-28 International Business Machines Corporation Low resistance contact structures including a copper fill for trench structures
US9960240B2 (en) 2015-10-21 2018-05-01 International Business Machines Corporation Low resistance contact structures for trench structures
US9484255B1 (en) * 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US10304743B2 (en) * 2016-06-02 2019-05-28 Toshiba Memory Corporation Semiconductor device and manufacturing method thereof
US10388576B2 (en) * 2016-06-30 2019-08-20 International Business Machines Corporation Semiconductor device including dual trench epitaxial dual-liner contacts
US11721801B2 (en) 2020-08-17 2023-08-08 International Business Machines Corporation, Armonk Low resistance composite silicon-based electrode

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04188868A (ja) * 1990-11-22 1992-07-07 Seiko Epson Corp 半導体装置の製造方法
JPH04349660A (ja) * 1991-05-28 1992-12-04 Toshiba Corp 半導体装置及び製造方法
JPH0697109A (ja) * 1992-09-16 1994-04-08 Fujitsu Ltd 半導体装置
JPH07235606A (ja) * 1994-02-22 1995-09-05 Mitsubishi Electric Corp 相補型半導体装置及びその製造方法
JP2000349169A (ja) * 1999-06-09 2000-12-15 Toshiba Corp 半導体装置及びその製造方法
JP2002009015A (ja) * 2000-06-23 2002-01-11 Hitachi Ltd 半導体装置およびその製造方法
JP2005123626A (ja) * 2003-10-17 2005-05-12 Interuniv Micro Electronica Centrum Vzw 半導体の接続領域の接触抵抗を低減する方法
JP2005520341A (ja) * 2002-02-28 2005-07-07 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 異なる金属シリサイド部分を有する半導体デバイスを製造する方法
JP2005191545A (ja) * 2003-12-05 2005-07-14 Toshiba Corp 半導体装置
JP2005277345A (ja) * 2004-03-26 2005-10-06 Seiko Epson Corp 半導体装置および半導体装置の製造方法
JP2006060045A (ja) * 2004-08-20 2006-03-02 Toshiba Corp 半導体装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6081034A (en) * 1992-06-12 2000-06-27 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
JP2980057B2 (ja) * 1997-04-30 1999-11-22 日本電気株式会社 半導体装置の製造方法
US6147405A (en) * 1998-02-19 2000-11-14 Micron Technology, Inc. Asymmetric, double-sided self-aligned silicide and method of forming the same
US5970370A (en) * 1998-12-08 1999-10-19 Advanced Micro Devices Manufacturing capping layer for the fabrication of cobalt salicide structures
JP2000286411A (ja) * 1999-03-29 2000-10-13 Toshiba Corp 半導体装置とその製造方法
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
TW497120B (en) 2000-03-06 2002-08-01 Toshiba Corp Transistor, semiconductor device and manufacturing method of semiconductor device
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP3803631B2 (ja) 2002-11-07 2006-08-02 株式会社東芝 半導体装置及びその製造方法
US6845034B2 (en) * 2003-03-11 2005-01-18 Micron Technology, Inc. Electronic systems, constructions for detecting properties of objects, and assemblies for identifying persons
US7453133B2 (en) * 2003-08-29 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide/semiconductor structure and method of fabrication
US20050156208A1 (en) * 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
JP4011024B2 (ja) 2004-01-30 2007-11-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US20050221612A1 (en) 2004-04-05 2005-10-06 International Business Machines Corporation A low thermal budget (mol) liner, a semiconductor device comprising said liner and method of forming said semiconductor device
US7179700B2 (en) 2004-07-21 2007-02-20 Freescale Semiconductor, Inc. Semiconductor device with low resistance contacts

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04188868A (ja) * 1990-11-22 1992-07-07 Seiko Epson Corp 半導体装置の製造方法
JPH04349660A (ja) * 1991-05-28 1992-12-04 Toshiba Corp 半導体装置及び製造方法
JPH0697109A (ja) * 1992-09-16 1994-04-08 Fujitsu Ltd 半導体装置
JPH07235606A (ja) * 1994-02-22 1995-09-05 Mitsubishi Electric Corp 相補型半導体装置及びその製造方法
JP2000349169A (ja) * 1999-06-09 2000-12-15 Toshiba Corp 半導体装置及びその製造方法
JP2002009015A (ja) * 2000-06-23 2002-01-11 Hitachi Ltd 半導体装置およびその製造方法
JP2005520341A (ja) * 2002-02-28 2005-07-07 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 異なる金属シリサイド部分を有する半導体デバイスを製造する方法
JP2005123626A (ja) * 2003-10-17 2005-05-12 Interuniv Micro Electronica Centrum Vzw 半導体の接続領域の接触抵抗を低減する方法
JP2005191545A (ja) * 2003-12-05 2005-07-14 Toshiba Corp 半導体装置
JP2005277345A (ja) * 2004-03-26 2005-10-06 Seiko Epson Corp 半導体装置および半導体装置の製造方法
JP2006060045A (ja) * 2004-08-20 2006-03-02 Toshiba Corp 半導体装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9746600B2 (en) 2012-05-10 2017-08-29 Saint-Gobain Glass France Illuminating glazing with incorporated deflector

Also Published As

Publication number Publication date
TWI462228B (zh) 2014-11-21
DE112007001436B4 (de) 2013-03-21
JP5140073B2 (ja) 2013-02-06
GB0822594D0 (en) 2009-01-21
KR101319982B1 (ko) 2013-10-17
GB2452446B (en) 2011-06-29
US7405112B2 (en) 2008-07-29
CN101467244B (zh) 2012-02-29
US20080251855A1 (en) 2008-10-16
GB2452446A (en) 2009-03-04
WO2007145695A1 (en) 2007-12-21
KR20090032075A (ko) 2009-03-31
TW200805574A (en) 2008-01-16
DE112007001436T5 (de) 2009-04-23
CN101467244A (zh) 2009-06-24
US20060220141A1 (en) 2006-10-05
US7719035B2 (en) 2010-05-18

Similar Documents

Publication Publication Date Title
JP5140073B2 (ja) 低接触抵抗cmos回路およびその製造方法
US6908801B2 (en) Method of manufacturing semiconductor device
TWI382498B (zh) 半導體元件的製造方法
JP5079687B2 (ja) Soiデバイスの製造方法
CN103426821B (zh) 半导体集成电路制造的方法
JP2007251030A (ja) 半導体装置の製造方法および半導体装置
US8664053B2 (en) Semiconductor device with isolation structures and gate insulating film that contain an element for threshold reduction and method of manufacturing the same
US9147746B2 (en) MOS transistors and fabrication method thereof
US20030151098A1 (en) Semiconductor device having dual-gate structure and method of manufacturing the same
CN113178446A (zh) 半导体器件及方法
JP4751705B2 (ja) 半導体装置の製造方法
JP2009181978A (ja) 半導体装置およびその製造方法
KR100850068B1 (ko) 반도체 소자 및 이의 실리사이드막 제조 방법
US7329599B1 (en) Method for fabricating a semiconductor device
US7622348B2 (en) Methods for fabricating an integrated circuit
JP7021821B2 (ja) 金属ゲートプロセスに基づく低コストのフラッシュメモリ製造フロー
TWI528497B (zh) 製造具有低電阻裝置接觸之積體電路的方法
KR100790267B1 (ko) 반도체 소자의 트랜지스터 및 그 제조방법
US7732313B2 (en) FUSI integration method using SOG as a sacrificial planarization layer
KR100670619B1 (ko) 반도체 장치 및 그의 제조방법
JP2006013270A (ja) 半導体装置およびその製造方法
EP3336884A2 (en) Semiconductor structure and fabrication method thereof
US20080064154A1 (en) Process flow for metal gate access device
CN111211055B (zh) 半导体结构及其形成方法
JP2004172179A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20100308

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100326

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120203

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120515

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120613

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121015

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20121022

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121107

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121116

R150 Certificate of patent or registration of utility model

Ref document number: 5140073

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151122

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250