KR20090032075A - 낮은 접촉저항 cmos 회로 및 그 제조를 위한 방법 - Google Patents

낮은 접촉저항 cmos 회로 및 그 제조를 위한 방법 Download PDF

Info

Publication number
KR20090032075A
KR20090032075A KR1020097000618A KR20097000618A KR20090032075A KR 20090032075 A KR20090032075 A KR 20090032075A KR 1020097000618 A KR1020097000618 A KR 1020097000618A KR 20097000618 A KR20097000618 A KR 20097000618A KR 20090032075 A KR20090032075 A KR 20090032075A
Authority
KR
South Korea
Prior art keywords
metal
transition metal
layer
silicide
silicon
Prior art date
Application number
KR1020097000618A
Other languages
English (en)
Other versions
KR101319982B1 (ko
Inventor
폴 알. 베써
Original Assignee
어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 마이크로 디바이시즈, 인코포레이티드 filed Critical 어드밴스드 마이크로 디바이시즈, 인코포레이티드
Publication of KR20090032075A publication Critical patent/KR20090032075A/ko
Application granted granted Critical
Publication of KR101319982B1 publication Critical patent/KR101319982B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28537Deposition of Schottky electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

낮은 접촉저항 CMOS 집적회로(50) 및 그것을 제조하기 위한 방법이 제공된다. 상기 CMOS 집적회로(50)는 N-타입 회로 영역(72, 74)에 전기적으로 연결된 제 1 전이 금속(102)와 P-타입 회로 영역(76, 78)에 전기적으로 연결된, 상기 제 1 전이 금속과는 다른 제 2 전이 금속(98)을 포함하여 구성된다. 전도성 장벽층(104)은 상기 제 1 전이 금속 및 상기 제 2 전이 금속 각각의 위에 놓이며, 플러그 금속(110)은 상기 전도성 장벽층 위에 놓인다.

Description

낮은 접촉저항 CMOS 회로 및 그 제조를 위한 방법{LOW CONTACT RESISTANCE CMOS CIRCUITS AND METHODS FOR THEIR FABRICATION}
본 발명은 일반적으로 CMOS 집적회로 및 그 제조 방법에 관한 것이며, 보다 상세하게는 낮은 접촉저항 CMOS 회로 및 그 제조 방법에 관한 것이다.
오늘날 집적회로(IC)의 대다수는 금속 산화 반도체 전계 효과 트랜지스터(MOSFET 또는 MOS 트랜지스터)라고도 불리는 복수의 상호연결된 전계 효과 트랜지스터(FET)들을 사용함으로써 구현된다. 상기 IC는 일반적으로 P-채널 및 N-채널 FET들 모두를 사용하여 형성되며 상보형(complementary) MOS 또는 CMOS 집적회로(IC)라고 칭해진다. 하나의 IC칩 상에 더 많은 회로를 포함하려는 지속적인 트랜드(trend)가 있다. 증가하는 양의 회로를 포함하기 위해서는 회로 내의 각각의 개별적인 디바이스의 사이즈 및 디바이스 요소들간 공간의 사이즈(피처(feature) 사이즈)가 감소되어야만 한다. 상기 개별적인 회로 소자들, MOS 트랜지스터들, 그리고 다른 수동 및 능동 회로(passive and active circuit) 소자들은 필요한 회로 기능을 구현하기 위하여, 금속 또는 다른 전도체(conductor)에 의해서 상호연결되어야만 한다. 일부 작은 저항이 상기 전도체와 상기 회로 소자 사이의 각각의 접촉부에 연결되어 있다. 피처 사이즈가 감소됨에 따라 접촉저항이 증가하며, 전체 회로 저항 중 접촉저항의 퍼센티지가 더욱 높아지게 된다. 피처 사이즈가 150nm에서 90nm로, 그리고 45nm 이하로 감소됨에 따라, 접촉저항은 점점 더 중요해 진다. 32nm의 피처 사이즈에서, 어떠한 기술혁신이 현재의 트렌드를 바꾸지 않는한, 접촉저항은 칩의 성능을 좌우하게될 것이다.
그러므로, 낮은 접촉저항 CMOS 집적회로를 제공하는 것이 바람직하다. 그에 더하여, 낮은 접촉저항 CMOS 집적회로를 제조하는 방법을 제공하는 것이 바람직하다. 또한, 첨부의 도면들 및 상술한 기술분야 및 배경기술과 함께, 뒤의 상세한 설명 및 첨부된 청구항들로부터 본 발명의 다른 바람직한 피처들 및 특성들이 명확해질 것이다.
낮은 접촉저항 CMOS 집적회로가 제공된다. 일 실시예에 따르면, 상기 CMOS 집적회로는 N-타입 회로 영역에 전기적으로 연결된 제 1 전이 금속(transition metal) 및 P-타입 회로 영역에 전기적으로 연결되며 상기 제 1 전이 금속과는 다른 제 2 전이 금속을 포함하여 구성된다. 전도성 장벽층(conductive barrier layer)이 상기 제 1 전이 금속 및 상기 제 2 전이 금속 각각의 위에 놓여 있으며, 플러그 금속이 상기 전도성 장벽층 위에 놓여 있다.
N-타입 드레인 영역 및 P-타입 드레인 영역을 구비한 낮은 접촉저항 CMOS 집적회로를 제조하기위한 방법이 제공된다. 일 실시예에 따르면, 상기 방법은 P-타입 드레인 영역과 접촉하는 높은 장벽 높이 금속 실리사이드(high barrier height metal silicide) 및 N-타입 드레인 영역과 접촉하는 낮은 장벽 높이 금속 실리사이드(low barrier height metal silicide)를 형성하는 단계를 포함한다. 상기 높은 장벽 높이 금속 실리사이드의 일부를 노출하는 제 1 개구부 및 상기 낮은 장벽 높이 금속 실리사이드의 일부를 노출하는 제 2 개구부를 형성하기 위하여 유전체층(dielectric layer)이 적층되고 패턴된다. 낮은 장벽 높이 금속은 상기 제 2 개구부 내부로 적층되어 상기 낮은 장벽 높이 금속 실리사이드의 일부과 접촉하며, 높은 장벽 높이 금속은 상기 제 1 개구부 내부로 적층되어 상기 높은 장벽 높이 금속 실리사이드의 일부와 접촉한다. 전도성 캡핑층(capping layer)이 상기 높은 장벽 높이 금속 및 상기 낮은 장벽 높이 금속과 접촉하여 적층되며, 상기 제 1 및 제 2 개구부는 상기 전도성 캡핑층과 접촉하여 플러그 금속(plug metal)으로 채워진다.
본 발명은 이하에서 다음의 도면들과 함께 설명될 것이며, 도면에서 유사한 도면 부호들은 유사한 요소들을 표시한다.
도 1은 피처 사이즈 감소에 따른 접촉저항의 문제점을 그래프로 도시한다.
도 2는 불순물 도핑된 영역(impurity doped region)에 대한 전도성 접촉부를 개략적으로 도시한다.
도 3-10은 본 발명의 다양한 실시예들에 따른 CMOS 집적회로의 제조를 위한 방법 단계들의 단면도를 개략적으로 도시한다.
다음의 실시예는 성질상 단지 예시일 뿐이며, 본 발명 또는 본 발명의 애플 리케이션 및 사용을 제한하려 의도된 것이 아니다. 더욱이, 본 발명을 상술한 기술분야, 배경기술, 발명의 상세한 설명, 또는 다음의 실시예에 나타난 임의의 표현된 이론 혹은 내재된 이론에 한정하려 의도된 것이 아니다.
도 1은 피처 사이즈가 감소됨에 따른 접촉저항의 문제점을 그래프로 도시한다. 좌측 수직 축(20)은 피처 사이즈를 나노미터로 나타낸다. 우측 수직 축(22)은 옴(Ohm)단위로 측정된 접촉저항을 나타낸다. 수평 축(24)은 "기술 노드(technology node)"를 나타낸다. "기술 노드"란 특정한 피처 사이즈를 수반하는 기술 패키지를 나타낸다. 일반적으로, 완전한 기술 패키지는 피처 사이즈 각각의 감소를 수반한다. 예를 들어, "90nm 기술 노드"에서 제조된 디바이스는 그 사이즈의 디바이스에 대해서 특별하게 디자인된 공정 기법에 의해 제조될 것이다. 곡선(26)은 기술 노드로부터 기술 노드로 산업이 움직임에 따른 피처 사이즈의 진행을 나타낸다. 곡선(28)은 그 기술 노드들 각각에서 관찰되는 통상적인 접촉저항을 나타낸다. 쉽게 관찰될 수 있듯이, 피처 사이즈가 감소함에 따라, 접촉저항은 현저하게 증가한다. 회로가 동작할 수 있는 속도는 회로 내에 사용되는 저항에 의하여 상당 부분이 정해지며, 피처 사이즈가 감소됨에 따라, 동작속도를 제한하는데 있어서 접촉저항은 더욱 중요해진다.
도 2는 실리콘 기판(34) 내의 불순물 도핑된 영역(32)과 전도성 금속 플러그(36)사이의 접촉부(30)의 단면도를 개략적으로 도시한다. 도시되지는 않았지만, 의도된 회로 기능을 구현하기 위하여 상기 전도성 금속 플러그는 집적회로(IC) 디바이스들을 상호연결하는데에 사용되는 금속화(metallization)에 의해서 접촉될 수 있다. 접촉부(30)는 유전체층(40)을 통하여 식각된 개구부 또는 비아(via)(38) 내에 형성된다. 금속 실리사이드층(42)은 불순물 도핑된 영역(32)의 표면에 형성된다. 상기 금속 실리사이드층의 적어도 일부는 비아(38)의 바닥(bottom)에서 노출된다. 인터페이스 또는 접촉층(44)은 상기 금속 실리사이드층과 접촉하여 형성되고, 장벽층(46)은 상기 층(44)와 접촉하며 비아의 벽을 따라 위쪽으로 신장(extend)되고, 전도성 물질(48)이 상기 장벽층 위에 적층되어 접촉부를 채운다. 선행 기술 구조에서 상기 전도성 플러그 구조는 상기 실리사이드층과 접촉하는 티타늄(Ti) 접촉층을 포함하며, 티타늄 니트라이드(TiN)층이 상기 티타늄층 위에 놓이고, 텅스텐(W)이 상기 TiN층과 접촉하며 비아를 채운다.
접촉부(30)의 전체 접촉저항(RT)은 몇개의 저항의 합이다: 실리사이드(42) 대 실리콘(32) 인터페이스 저항 R1, 실리사이드(42) 자체의 저항 R2, 실리사이드(42) 대 인터페이스 금속(44)의 인터페이스 저항 R3, 인터페이스 금속(44)과 장벽층(46)의 저항 R4, 그리고 장벽층(46)과 전도성 플러그 물질(48)에 병렬인 저항으로 이루어진 저항 R5. 그러므로, RT = R1 + R2 + R3 + R4 + R5 이다. 본 발명의 다양한 실시예들은 R1과 R2를 최적화하고 R3, R4, R5를 줄임으로써 전체 접촉저항(RT)을 줄이도록 동작한다. 전체 접촉저항은 실리사이드, 인터페이스 금속, 장벽층 물질, 그리고 전도성 플러그 물질을 적절하게 선택함으로써 줄어든다.
도 3-10은 발명의 다양한 실시예들에 따른 CMOS 집적회로(50)의 제조를 위한 방법 단계들의 단면도를 개략적으로 도시한다. MOS 소자들 제조에 있어서의 다양한 단계들이 잘 알려져 있으며, 따라서 간결성을 위하여, 많은 종래의 단계들은 본 명세서에서 단지 간략하게 언급되거나, 상기 잘 알려진 방법을 상세히 설명하지 않고, 전체적으로 생략될 것이다. 비록 용어 "MOS 디바이스"가 금속 게이트 전극 및 산화 게이트 절연체를 구비한 디바이스를 적절하게 나타내지만, 이 용어는 게이트 절연체(산화물이건 혹은 다른 절연체이건 간에) 위에 위치한 전도성 게이트 전극(금속이건 혹은 다른 전도성 물질이건)을 포함하는 임의의 반도체 디바이스 전체를 나타내기 위하여 사용될 것이다.
CMOS IC(50)는 복수의 N-채널 MOS 트랜지스터(52)들 및 P-채널 MOS 트랜지스터(54)들을 포함하며, 그것들 각각은 오직 하나씩만 도시되어 있다. 당업자들은 요구되는 회로 기능을 구현하기 위해 IC(50)가 그러한 트랜지스터들 다수를 포함할 수 있다는 것을 알 수 있을 것이다. IC(50)의 초기 제조 단계는 통상적인 것이므로, 이 단계들로부터의 결과적인 구조는 도 3에 도시되어 있으나, 초기 단계들 그 자체는 도시되지 않는다. IC는 실리콘 기판(34) 위에 제조될 수 있으며, 이 실리콘 기판은 도시된 바와 같이 벌크 실리콘 웨이퍼 또는 절연 기판 위의 얇은 실리콘층(SOI)일 수 있다. 본 명세서에 사용된 용어 "실리콘층" 및 "실리콘 기판"은 반도체 산업에서 일반적으로 사용되는 비교적 순수한 또는 약하게 불순물 도핑된 단결정 실리콘 물질, 및 실질적으로 단결정 반도체 물질을 형성하기 위하여 게르마늄, 카본 등과 같은 다른 요소들과 섞인 실리콘을 포괄하기 위하여 사용될 것이다. N-채널 MOS 트랜지스터(52) 및 P-채널 MOS 트랜지스터(54)는 유전체 절연 영역(56), 바람직하게는 얕은 트렌치 분리(STI) 영역에 의하여 전기적으로 절연되어 있다. 잘 알려진 바와 같이, STI를 형성하기 위한 많은 방법들이 있으며, 따라서 이 방법은 여기에서 자세히 설명될 필요가 없다. 일반적으로, STI는 반도체 기판의 표면 내부로 식각되는 얕은 트랜치 영역을 포함하며, 그것은 실질적으로 절연 물질로 채워진다. 트렌치가 실리콘 산화물과 같은 절연 물질로 채워진 후, 일반적으로 상기 표면은 예를 들어, 화학적 기계적 평탄화(CMP) 기법에 의해 평탄화된다.
실리콘 기판의 적어도 한 표면 부분(58)은 N-채널 MOS 트랜지스터(52)의 제조를 위해 P-타입 전도성 결정 불순물(conductivity determining impurity)로 도핑되며, 다른 표면 부분(60)은 P-채널 MOS 트랜지스터(54)의 제조를 위해 N-타입 전도성 결정 물질로 도핑된다. 영역(58, 60)은 예를 들어, 보론(boron) 및 비소(arsenic)와 같은 도판트 이온의 주입 및 후속적인 열적 어닐링(thermal annealing)에 의하여 불순물 도핑될 수 있다.
종래의 방법에서, 게이트 절연 물질층(62)은 불순물 도핑된 영역들의 표면에 형성되며, 게이트 전극(64, 66)은 게이트 절연 물질 및 불순물 도핑된 영역(58, 60)에 각각 형성된다. 게이트 절연층의 층은 열적으로 성장한 실리콘 이산화물의 층 또는, 대안적으로(도시된 바와 같이), 실리콘 산화물, 실리콘 질화물, HfSiO등의 높은 유전율 상수 절연체 등과 같은 적층된 절연체의 층일 수 있다. 적층된 절연체는, 예를 들어 화학적 증기 적층(CVD), 저압 화학적 증기 적층(LPCVD), 또는 플라즈마 인핸스드 화학적 증기 적층(PECVD)에 의해 적층될 수 있다. 게이트 절연체(62)는 바람직하게는 약 1-10nm의 두께를 가지지만, 실제 두께는 구현되는 회로 내의 트랜지스터 애플리케이션에 근거하여 결정될 수 있다. 게이트 전극(64, 66)은 바람직하게는 다결정 실리콘층을 적층하고, 패터닝하고, 식각함으로써 형성된다. 상기 게이트 전극은 일반적으로 약 100-300nm의 두께를 가진다. 상기 다결정 실리콘은 예를 들어, CVD 반응 내에서 시레인(silane)의 감소에 의해 적층될 수 있다. 측벽 스페이서(68, 70)가 게이트 전극(64, 66)의 측벽 위에 각각 형성된다. 상기 측벽 스페이서들은 실리콘 산화물 및/또는 실리콘 질화물과 같은 절연 물질의 층을 적층하고 후속적으로 상기 절연층을 예를 들어 반응성 이온 식각(RIE)에 의해 이방성으로(anisotropically) 식각함으로써 형성된다. 실리콘 산화물 및 실리콘 질화물은 예를 들어 CHF3, CF4, SF6 화학물 안에서 식각될 수 있다. 예를 들어 포토레지스트층일 수 있는 마스킹 물질층은 트랜지스터 구조 중 하나를 노출하도록 적용되고 패턴된다. 마스킹 물질은 예를 들어, P-채널 MOS 트랜지스터 구조를 마스크하도록, 그리고 N-채널 MOS 트랜지스터 구조를 노출하도록 패턴된다. 패턴된 마스킹 물질을 이온 주입 마스크로 이용하여, N-타입 전도성 결정 이온들이 상기 실리콘 기판의 P-타입 부분(58) 내로 주입되어 상기 실리콘 기판 내에 N-타입 소스(72) 및 드레인(74) 영역들을 형성하며, 게이트 전극(64) 내로 주입되어 그 게이트 전극을 N-타입 불순물로 전도성 도핑한다. 상기 주입된 이온들은 인산(phosphorus) 또는 비소(arsenic) 이온들일 수 있다. 패턴된 마스킹 물질층이 제거되고 또 다른 마스킹 물질층이, 다른 트랜지스터 구조를 노출하기 위해 적용되고 패턴된다. 이 제 2의 패턴된 마스크 물질층을 이온 주입 마스크로 이용하여, 보론 이온과 같은 P-타입 전도성 결정 이온들이, 실리콘 기판의 N-타입 영역(60) 내로 주입되어 실리콘 기판 내에 P-타입 소스(76) 및 드레인(78) 영역을 형성하며, 게이트 전극(66) 내로 주입되어 게이트 전극을 N-타입 불순물로 전도성 도핑한다. 트랜지스터 구조 각각에 대하여, 이온 주입된 소스 및 드레인 영역들은 상기 게이트 전극과 함께 자기정렬(self aligned)된다. 당업자가 이해하듯이, 드레인 신장부(drain extension), 할로 주입, 깊은 소스 및 드레인(deep source and drain)을 생성하기 위하여 추가적인 측벽 스페이서 및 추가적인 주입이 사용될 수 있다. 당업자는 N-채널 및 P-채널 MOS 트랜지스터의 소스 및 드레인 영역들을 형성하는 순서가 바뀔 수 있다는 것 또한 알 수 있을 것이다.
발명의 실시예에 따라, 저온 실리콘 니트라이드층과 같은 마스킹 물질층(80)이 도 4에 도시된 바와 같이 적층되고 패턴된다. 마스킹 물질층은 N-채널 MOS 트랜지스터(52)를 마스킹 하는 물질을 남겨두도록, 그리고 P-채널 MOS 트랜지스터(54)를 노출하도록 패턴된다. 상기 패턴된 마스크는 식각 마스크로서 사용되며 게이트 절연체(62)의 임의의 노출된 부분은 P-타입 소스(76) 및 드레인(78) 영역의 일부분을 노출하도록 식각된다. 상기 식각 단계는 또한 게이트 전극(66) 위에 남아있을지 모르는 임의의 절연 물질을 제거하기 위하여 사용된다. 발명의 실시예(도시하지 않음)에 따르면, 높은 장벽 높이 실리사이드 형성 금속은 구조체 위에 적층되며 P-타입 소스(76) 및 드레인(78) 영역들 및 게이트 전극(66)의 노출된 일부와 접한다. "높은 장벽 높이 실리사이드 형성 금속"은 실리콘에 대하여 적어도 약 0.7eV보다 높은 장벽 높이를 가지는 금속을 의미한다. 이 기준에 부합하는 실리사이드 형성 금 속은 예를 들어, 이리듐과 플래티넘을 포함한다. 발명의 일 실시예에 따르면, 실리사이드 형성 금속의 구조체는 예를 들어 고속 열적 어닐링(RAT; rapid thermal annealing)에 의해 가열되어, 실리콘 형성 금속을 노출된 실리콘과 반응하게하여 P-타입 소스(76) 및 드레인(78) 영역의 표면에 금속 실리사이드(82)를, 그리고 P-타입 게이트 전극(66) 위에 금속 실리사이드(84)를 형성하게 한다. 측벽 스페이서, 노출된 STI, 및 마스킹층 위와 같이 노출된 실리콘이 없는 영역들에서는 실리사이드는 형성되지 않으며 실리사이드 형성 금속은 반응하지 않은 채로 유지된다. 반응하지 않은 실리사이드 형성 금속은 H2O2/H2SO4 또는 HNO3/HCl 용액을 사용한 습식 식각에 의하여 제거될 수 있다. 상기 선택된 실리사이드 형성 금속으로부터 형성된 실리사이드는 P-타입 도핑된 소스 및 드레인 영역 및 P-타입 도핑된 게이트 영역에 대해 낮은 접촉저항을 갖는 P-타입 실리콘에 대하여 쇼키 접촉(Shottky contact)을 형성한다.
도 5에서 도시된 바와 같이 마스킹 물질의 패턴층(80)이 제거되며 마스킹 물질의 또 다른 층(86)이 적층되고 패턴된다. 마스킹 물질층은 예를 들어, 저온 니트라이드의 적층된 층일 수 있다. 마스킹 물질층은 N-채널 MOS 트랜지스터(52)를 노출하도록, 그리고 P-채널 MOS 트랜지스터(54)를 덮히게 남겨두도록 패턴된다. 상기 패턴된 마스크는 식각 마스크로서 사용되며, N-타입 소스(72) 및 드레인(74) 영역의 일부를 노출시키기 위하여 게이트 절연체(62)의 일부 노출된 부분이 식각된다. 상기 식각 단계는 또한 게이트 전극(64) 위에 남아있을 수 있는 임의의 절연 물질 을 제거하기 위하여 사용된다. 발명의 실시예에 따르면, 낮은 장벽 높이 실리사이드 형성 금속의 층(도시되지 않음)은 구조체 위에 적층되며 N-타입 소스(72) 및 드레인(74) 영역 및 게이트 전극(64)의 노출된 부분과 접촉한다. "낮은 장벽 높이 실리사이드 형성 금속"은 실리콘에 대해 약 0.4eV보다 낮은, 바람직하게는 약 0.3eV보다 낮은 장벽 높이를 가진다. 이 기준에 부합하는 실리사이드 형성 금속은 예를 들어, 이테르븀(ytterbium), 에르븀(erbium), 디스프로슘(dysprosium), 및 가돌리늄(gadolinium)을 포함한다. 발명의 일 실시예에 따르면, N-타입 소스(72) 및 드레인(74) 영여의 표면에 금속 실리사이드(88)를, N-타입 게이트 전극(64) 위에 금속 실리사이드(90)를 형성하게 하기 위하여, 실리사이드 형성 금속의 구조체가 예를 들어 RTA에 의해 가열되어, 실리사이드 형성 금속을 노출된 실리콘과 반응하게 한다. 즉, 상기 실리사이드는 노출된 실리콘이 있는 영역에서만 형성된다. 측벽 스페이서, 노출된 STI, 및 마스킹층 위와 같이 노출된 실리콘이 없는 영역에서는 실리사이드가 형성되지 않으며 실리사이드 형성 금속은 반응하지 않은채 남아있다. 반응하지 않은 실리사이드 형성 금속은 H2O2/H2SO4 또는 HNO3/HCl 용액을 사용한 습식 식각에 의해 제거될 수 있다. 상기 선택된 실리사이드 형성 금속으로부터 형성된 실리사이드는 N-타입 도핑된 소스 및 드레인 영역 및 N-타입 도핑된 게이트 전극에 대해 낮은 접촉저항을 갖는 N-타입 실리콘에 대해 쇼키 접촉을 형성한다. 금속 실리사이드 영역(82, 84, 88, 90)은 또한 낮은 저항을 가지는 특징이 있다. 그러므로 실리사이드 형성 금속은 인터페이스 저항(R1) 및 실리사이드 자신의 저항(R2)을 최 적화하고 감소시킨다. 비록 도시하지는 않았지만, 실리사이드 영역이 형성되는 순서는 실리사이드 영역(82, 84) 전에 실리사이드 영역(88, 90)이 형성되는 것과 같이 뒤바뀔 수 있다. 각 단계에서, 실리사이드 형성 금속들은 예를 들어, 스퍼터링에 의해 약 5-50nm의 두께로, 바람직하게는 약 10nm의 두께로 적층될 수 있다.
도 6에 도시된 바와 같이, 마스킹층(86)이 제거되며 실리콘 산화물의 층과 같은 유전체 물질의 층(92)이 적층된다. 층(92)의 상단 표면은 예를 들어, 화학적 기계적 평탄화(CMP) 기법에 의해 평탄화되고, 금속 실리사이드 영역들(82, 84, 88, 90)의 일부를 노출하기 위하여 개구부 또는 비아(94)가 상기 층을 통하여 식각된다. 층(92)은 바람직하게는 저온 공정에 의하여 적층되며, 예를 들어 LPCVD 공정에 의해서 적층될 수 있다. 도시하지는 않았지만, 층(92)는 하나 이상의 유전체 물질의 층을 포함할 수 있으며, 그 층들은 예를 들어, 상기 비아의 식각을 돕기 위한 식각 정지층을 포함할 수 있다. 이 예시적인 실시예에서, 비아는 게이트 전극(64, 66) 위의 금속 실리사이드의 일부를 노출하는 것으로 도시된다. 구현되는 회로에 따라, 비아는 상기 게이트 전극들 전체에 대해 형성되거나 형성되지 않을 수 있다.
도 7에 도시된 바와 같이, 발명의 일 실시예에 따라, 마스킹층(96)을 적층하고 패터닝함으로써 방법이 계속된다. 예를 들어 적층된 저온 니트라이드의 층일 수 있는 마스킹층(96)은 P-채널 MOS 트랜지스터(54)를 노출하도록, 그리고 N-채널 MOS 트랜지스터(52)를 마스크하도록 패턴된다. 상기 마스킹층은 금속 실리사이드 영역(82, 84)의 일부를 노출하기 위하여 상기 P-채널 MOS 트랜지스터 위의 비아(94)로부터 제거된다. 전이 금속(transistion metal)층(98)이 마스킹층 위에 적층되며 금속 실리사이드 영역(82, 84)과 접촉하도록 비아(94) 내부로 신장된다. P-도핑된 실리콘과 접촉하는 상기 전이 금속층은 실리콘에 대해 바람직하게는 약 0.7eV와 같거나 그보다 높은 장벽 높이를 갖는다. 전이 금속층(98)으로 적절한 금속은 예를 들어, 각각 0.8 및 0.9eV의 장벽 높이를 갖는 팔라듐 및 플래티넘, 그리고 그러한 금속들의 합금을 포함한다. 다른 적절한 금속은 금, 은, 그리고 알루미늄 및 그것들의 합금이며, 이들 모두는 0.7과 0.9eV사이의 장벽 높이를 갖는다. 상기 전이 금속층은 예를 들어, 원자층증착법(ALD; Automic Layer Deposition) 또는 스퍼터링과 같은 물리적 증기 적층(PVD)에 의해 적층될 수 있다. 상기 전이 금속층은 약 1-5nm정도로 얇을 수 있다. 필요한 것은 오직 영역(82, 84) 내의 금속 실리사이드와 후속적으로 형성될 위에 놓인 플러그 금속사이에서 일 함수(work function)에 변화를 일으키기에 충분한 양의 전이 금속이다. 어느 정도, 그러나 매우 적은 전이 금속이 상기 비아의 측벽 위에 적층될 것이다.
도 8에 도시된 바와 같이 패턴된 마스킹층(96) 및 상기 패턴된 마스킹층 위에 있는 전이 금속(98)의 일부가 제거되며 마스킹 물질(100)의 또 다른 층이 적층되고 패턴된다. 예를 들어, 적층된 저온 니트라이드의 층일 수 있는 마스킹층(100)은 N-채널 MOS 트랜지스터(52)를 노출하고 전이 금속층(98)을 포함하는 P-채널 MOS 트랜지스터(54)를 마스크하도록 패턴된다. 금속 실리사이드 영역(88, 90)의 일부를 노출하기 위하여 상기 N-채널 MOS 트랜지스터 위의 비아(94)로부터 상기 마스킹층이 제거된다. 또 다른 전이 금속층(102)이 상기 마스킹층 위에 적층되며 금속 실리사이드 영역(88, 90)과 접촉하도록 비아(94) 내부로 신장된다. N-도핑된 실리콘과 접촉하는 전이 금속의 층은 실리콘에 대해 바람직하게는 약 0.4eV와 같거나 그보다 낮은 장벽 높이를 갖는다. 전이 금속층(102)으로 적절한 금속은 예를 들어, 각각 0.35 및 0.4 eV의 높이를 갖는 스칸듐 및 마그네슘, 그리고 그러한 금속들의 합금을 포함한다. 전이 금속층(102)은 예를 들어, 원자층증착법(ALD) 또는 약 1-5nm 두께로 스퍼터링하는 것과 같은 물리적 증기 적층(PVD)에 의해 적층될 수 있다. 필요한 것은 오직 영역(88, 90) 내의 금속 실리사이드와 후속적으로 적층될 위에 놓인 플러그 금속화사이의 일 함수(work function)에 변화를 일으키기에 충분한 양의 전이 금속이다.
도 9에 도시된 바와 같이, 마스킹층(100) 및 마스킹층 위에 놓인 전이 금속층(102)의 일부가 제거되며, 전도성 장벽층(104)이 전이 금속층(98) 및 전이 금속층(102)에 접촉하여 적층된다. 전도성 장벽층은 전이 금속층의 산화를 방지하며, 후속적으로 적층된 플러그 물질이 주변의 유전체층(92)으로 이동(migration)하는 것에 대해 장벽으로서 작용하고, 플러그 물질 또는 플러그 물질을 형성하는 반응물(plug material forming reactants)이 아래에 놓인 실리콘으로 이동하는 것과 실리콘이 플러그 물질로 이동하는 것 모두를 방지한다. 전도성 장벽층으로 적절한 물질은 예를 들어, 티타늄 니트라이드(TiN) 및 탄탈 니트라이드(TaN)를 포함한다. 상기 전도성 장벽층은 예를 들어 LPCVD, ALD 또는 PVD에 의해서 적층될 수 있다. TiN 및 TaN은 또한 티타늄 또는 탄탈륨 각각의 적층 및 후속적인 질화(nitridation)에 의해서 형성될 수 있다. 상기 장벽층은 바람직하게는 유전체층(92)의 상단에서 측정된 약 2-15nm, 그리고 비아(94)의 하단에서 측정된 약 1-5nm의 두께를 가진다. 상기 두께는 바람직하게는 적합한 장벽 특성을 달성하기에 충분한 두께를 유지하면서 상기 장벽층의 저항 R4를 최소화하도록 조정된다. 도 9에서 또한 도시된 바와 같이, 일단 장벽 금속층이 적층되면, 상기 비아는 전도성 플러그를 형성하기 위하여 텅스텐, 구리, 또는 다른 전도성 물질을 적층함으로써 채워질 수 있다. 바람직하게는, 상기 전도성 플러그 물질은 저항 R5를 줄이기 위한 구리이다. 상기 전도성 물질은 예를 들어, PVD, ALD, CVD 또는 전기화학적 기법에 의해 적층될 수 있다.
도 10에 도시된 바와 같이, 초과 전도성 플러그 물질(110), 유전체층(92)의 상부 표면 위에 존재하는 전도성 장벽층(104) 및 전이 금속층(98, 102)을 제거함으로써 전도성 플러그 구조체가 완성된다. 초과 물질은 예를 들어 CMP에 의해서 제거될 수 있다. 결과적인 구조체는 PMOS 트랜지스터(54) 및 NMOS 트랜지스터(52)의 터미널과 전기적으로 접촉하고 있는 전도성 플러그(120, 122, 124, 126, 128, 130)를 포함한다. 전도성 플러그(120, 122, 124) 각각은 전이 금속층(98)과 전기적으로 접촉하며, P-타입 소스(76) 및 드레인(78) 영역과 접촉하는 금속 실리사이드(88) 또는 P-채널 MOS 트랜지스터의 게이트 전극과 접촉하는 금속 실리사이드(84)와 전기적으로 접촉한다. 전도성 플러그들(126, 128, 130) 각각은 전이 금속층(102)과 전기적으로 접촉하며, 상기 전이 금속층(102)은 N-타입 소스(72) 및 드레인(74) 영역들과 접촉하는 금속 실리사이드(88) 또는 N-채널 트랜지스터의 게이트 전극과 접촉하는 금속 실리사이드(90)와 전기적으로 전기적으로 접촉한다. 결과적인 구조체에서, 다양한 도체층들의 금속 장벽 높이는 전체 접촉 저항을 낮추도록 적절하게 매치된다.
도면에 도시되지는 않았지만, CMOS 집적회로(50)의 제조는 요구되는 회로 기능을 구현하기 위하여 필요한 전도성 플러그들 중 적절한 하나에 연결된 상호연결 라인을 형성하여 N-채널 및 P-채널 MOS 트랜지스터들을 함께 연결함으로써 계속될 수 있다. 상호연결 라인이 구리로 형성되면, 상기 제조 공정은 유전체층(층간 유전체 또는 ILD)을 적층하고 패터닝하는 단계, TaN의 층과 같은 전도성 장벽층을 적층하는 단계, 구리층을 적층하는 단계, 그리고 상감 공정(damascene process)에서 CMP에 의해 구리층을 연마하는 단계를 포함할 수 있다.
상술한 상세한 설명에서 적어도 하나의 실시예가 제시되었지만, 다양한 변형들이 존재할 수 있음을 이해해야 한다. 예를 들어, 위에 설명한 바와 같이, N-채널및 P-채널 MOS 트랜지스터 위에 실리사이드를 형성하는 순서가 뒤바뀔 수 있다. 마찬가지로, 전이 금속층(98, 102)을 형성하는 순서가 뒤바뀔 수 있다. 설명된 2개의 어닐링 단계 대신, 노출된 실리콘을 사용하여 실리사이드 형성 금속에 반응하기 위하여 단일 어닐링 단계가 사용될 수 있다. 도면에 도시되지 않은 대안적인 실시예에서, 전이 금속층(98) 및 전이 금속층(102)이 모두 적층된 후에 전도성 장벽층(104)을 적층하는 대신, 전이 금속층들 각각이 적층된 후 전도성 장벽층이 적층될 수 있다. 즉, 진공을 깨지 않고(without breaking vacuum), 전이 금속층(98)이 적층될 수 있으며, 전도성 장벽층이 전이 금속층 위에 적층될 수 있다. 진공을 깨지 않고 전이 금속층(102)의 적층 후에, 전도성 장벽층이 그 전이 금속층 위에 적층될 수 있다. 전이 금속층의 적층 후에 전도성 장벽층을 즉시 적층함으로써, 상기 전이 금속층은 산화로부터 보다 잘 보호된다. 당업자들은 많은 세척 단계들, 추가적인 적층 단계들 등이 또한 발명의 방법에 사용될 수 있음을 이해할 것이다. 예시적인 실시예들은 단지 예시이며, 어떠한 방식으로든 발명의 범주, 적응성, 또는 형태를 제한하려 의도하지 않는다. 상술한 상세한 설명은 당업자들에게 예시적인 실시예를 구현하기 위한 편리한 로드맵을 제공할 것이다. 첨부된 청구항들과 그 법적인 등가물들에서 설명된 바와 같이 발명의 범주에 벗어남 없이 소자들의 기능 및 배치에서 다양한 변형들이 이루어질 수 있다.

Claims (10)

  1. N-타입 소스(72) 및 드레인(74) 영역을 구비한 NMOS 트랜지스터(52)와 P-타입 소스(76) 및 드레인(78) 영역을 구비한 PMOS 트랜지스터(54)를 포함하는 CMOS 집적회로(50)로서, 상기 CMOS 집적회로는:
    상기 N-타입 소스 및 드레인 영역과 접촉하는 제 1 금속 실리사이드(88)와;
    상기 P-타입 소스 및 드레인 영역과 접촉하는, 상기 제 1 금속 실리사이드와는 다른 제 2 금속 실리사이드(82)와;
    상기 제 1 금속 실리사이드와 접촉하는 제 1 전이 금속(transition metal)(102)과;
    상기 제 2 금속 실리사이드와 접촉하는, 제 1 장벽 금속(barrier metal)과는 다른 제 2 전이 금속(98)과;
    상기 제 1 전이 금속과 전기적으로 접촉하는 제 1 금속 플러그(110)와; 그리고
    상기 제 2 전이 금속과 전기적으로 접촉하는 제 2 금속 플러그(110)를 포함하여 구성되는 것을 특징으로 하는 CMOS 집적회로.
  2. 제 1 항에 있어서,
    상기 제 1 금속 실리사이드(88)는 실리콘에 대해 0.4eV보다 낮은 장벽 높이를 갖는 금속으로 형성된 금속 실리사이드를 포함하는 것을 특징으로 하는 CMOS 집 적회로.
  3. 제 1 항에 있어서,
    상기 제 2 금속 실리사이드(82)는 실리콘에 대해 0.7eV보다 높은 장벽 높이를 갖는 금속으로 형성된 금속 실리사이드를 포함하는 것을 특징으로 하는 CMOS 집적회로.
  4. 제 1 항에 있어서,
    상기 제 1 전이 금속(102)은 실리콘에 대해 약 0.4eV와 같거나 그보다 낮은 장벽 높이를 갖는 금속을 포함하는 것을 특징으로 하는 CMOS 집적회로.
  5. 제 4 항에 있어서,
    상기 제 1 전이 금속(102)은 스칸듐 및 마그네슘 및 그것들의 합금으로 이루어진 그룹 중에서 선택된 금속을 포함하는 것을 특징으로 하는 CMOS 집적회로.
  6. 제 4 항에 있어서,
    상기 제 2 전이 금속(98)은 실리콘에 대해 0.7eV와 같거나 그보다 높은 장벽 높이를 갖는 금속을 포함하는 것을 특징으로 하는 CMOS 집적회로.
  7. 제 6 항에 있어서,
    상기 제 2 전이 금속(98)은 플래티넘(platinum), 팔라듐(palladium), 금, 은, 알루미늄 및 그것들의 합금으로 이루어진 그룹 중에서 선택된 금속을 포함하는 것을 특징으로 하는 CMOS 집적회로.
  8. 제 1 N-타입 영역(72, 74) 및 제 2 P-타입 영역(76, 78)을 구비한 CMOS 집적회로(50)로서,
    상기 제 1 N-타입 영역에 전기적으로 연결된 제 1 전이 금속(102)과;
    상기 제 2 P-타입 영역에 전기적로 연결된, 상기 제 1 전이 금속과는 다른 제 2 전이 금속(98)과;
    상기 제 1 전이 금속 및 상기 제 2 전이 금속 각각의 위에 놓인 전도성 장벽층(104)과; 그리고
    상기 전도성 장벽층 위에 놓인 플러그 금속(110)을 포함하여 구성된 것을 특징으로 하는 CMOS 집적회로.
  9. 제 8 항에 있어서,
    상기 제 1 전이 금속(102)은 스칸듐 및 마그네슘 및 그것들의 합금으로 이루어진 그룹 중에서 선택된 금속을 포함하며, 상기 제 2 전이 금속(98)은 플래티넘, 팔라듐, 금, 은, 알루미늄 및 그것들의 합금으로 이루어진 그룹 중에서 선택된 금속을 포함하는 것을 특징으로 하는 CMOS 집적회로.
  10. 제 8 항에 있어서,
    상기 제 1 전이 금속(102)은 실리콘에 대해 제 1 장벽 높이를 가지며, 상기 제 2 전이 금속(98)은 실리콘에 대해 상기 제 1 장벽 높이 보다 높은 제 2 장벽 높이를 가지는 것을 특징으로 하는 CMOS 집적회로.
KR1020097000618A 2006-06-15 2007-03-29 낮은 접촉저항을 갖는 cmos 회로 및 그 제조를 위한 방법 KR101319982B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/424,373 US7405112B2 (en) 2000-08-25 2006-06-15 Low contact resistance CMOS circuits and methods for their fabrication
US11/424,373 2006-06-15
PCT/US2007/007549 WO2007145695A1 (en) 2006-06-15 2007-03-29 Low contact resistance cmos circuits and methods for their fabrication

Publications (2)

Publication Number Publication Date
KR20090032075A true KR20090032075A (ko) 2009-03-31
KR101319982B1 KR101319982B1 (ko) 2013-10-17

Family

ID=38293957

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097000618A KR101319982B1 (ko) 2006-06-15 2007-03-29 낮은 접촉저항을 갖는 cmos 회로 및 그 제조를 위한 방법

Country Status (8)

Country Link
US (2) US7405112B2 (ko)
JP (1) JP5140073B2 (ko)
KR (1) KR101319982B1 (ko)
CN (1) CN101467244B (ko)
DE (1) DE112007001436B4 (ko)
GB (1) GB2452446B (ko)
TW (1) TWI462228B (ko)
WO (1) WO2007145695A1 (ko)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3803631B2 (ja) * 2002-11-07 2006-08-02 株式会社東芝 半導体装置及びその製造方法
US8236702B2 (en) 2005-10-06 2012-08-07 United Microelectronics Corp. Method of fabricating openings and contact holes
US8164141B2 (en) * 2005-10-06 2012-04-24 United Microelectronics Corp. Opening structure with sidewall of an opening covered with a dielectric thin film
US8258057B2 (en) * 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
JP4560820B2 (ja) * 2006-06-20 2010-10-13 エルピーダメモリ株式会社 半導体装置の製造方法
US20080042222A1 (en) * 2006-08-16 2008-02-21 Force Mos Technology Co., Ltd. Trench mosfet with copper metal connections
US20080042208A1 (en) * 2006-08-16 2008-02-21 Force Mos Technology Co., Ltd. Trench mosfet with esd trench capacitor
US7629646B2 (en) * 2006-08-16 2009-12-08 Force Mos Technology Co., Ltd. Trench MOSFET with terraced gate and manufacturing method thereof
US7851784B2 (en) * 2007-02-13 2010-12-14 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array electronic devices
US7728333B2 (en) * 2007-03-09 2010-06-01 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array ballistic light emitting devices
US7566651B2 (en) * 2007-03-28 2009-07-28 International Business Machines Corporation Low contact resistance metal contact
US20080272435A1 (en) * 2007-05-02 2008-11-06 Chien-Ting Lin Semiconductor device and method of forming the same
KR100857229B1 (ko) * 2007-05-28 2008-09-05 삼성전자주식회사 반도체 소자 및 그 형성방법
US8492249B2 (en) * 2008-01-24 2013-07-23 Nano-Electronic And Photonic Devices And Circuits, Llc Methods of forming catalytic nanopads
US8610104B2 (en) * 2008-01-24 2013-12-17 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array injection lasers
US8440994B2 (en) * 2008-01-24 2013-05-14 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array electronic and opto-electronic devices
US8624224B2 (en) * 2008-01-24 2014-01-07 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array bipolar transistors
US8610125B2 (en) * 2008-01-24 2013-12-17 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array light emitting diodes
US7754559B2 (en) * 2008-03-19 2010-07-13 Tower Semiconductor Ltd. Method for fabricating capacitor structures using the first contact metal
US9324611B2 (en) * 2008-04-03 2016-04-26 Micron Technology, Inc. Corrosion resistant via connections in semiconductor substrates and methods of making same
JP5769160B2 (ja) * 2008-10-30 2015-08-26 国立大学法人東北大学 コンタクト形成方法、半導体装置の製造方法、および半導体装置
US7768074B2 (en) * 2008-12-31 2010-08-03 Intel Corporation Dual salicide integration for salicide through trench contacts and structures formed thereby
DE102010004230A1 (de) 2009-01-23 2010-10-14 Qimonda Ag Integrierter Schaltkreis mit Kontaktstrukturen für P- und N-Dotierte Gebiete und Verfahren zu dessen Herstellung
US8507996B2 (en) * 2009-09-22 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Block contact plugs for MOS devices
US8551874B2 (en) 2010-05-08 2013-10-08 International Business Machines Corporation MOSFET gate and source/drain contact metallization
KR101294449B1 (ko) * 2011-04-13 2013-08-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 집적 회로 및 집적 회로의 제조 방법
CN103367148B (zh) * 2012-03-29 2016-07-06 中芯国际集成电路制造(上海)有限公司 晶体管及其制造方法
FR2990379B1 (fr) 2012-05-10 2014-04-25 Saint Gobain Vitrage eclairant avec deflecteur incorpore
KR102001057B1 (ko) * 2012-10-31 2019-07-18 엘지디스플레이 주식회사 어레이 기판의 제조방법
US8778789B2 (en) * 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
US9515163B2 (en) * 2013-09-09 2016-12-06 Globalfoundries Inc. Methods of forming FinFET semiconductor devices with self-aligned contact elements using a replacement gate process and the resulting devices
US20150243663A1 (en) * 2014-02-24 2015-08-27 United Microelectronics Corp. Method for manufacturing semiconductor device and device manufactured using the same
US9165838B2 (en) * 2014-02-26 2015-10-20 Taiwan Semiconductor Manufacturing Company Limited Methods of forming low resistance contacts
US9773919B2 (en) * 2015-08-26 2017-09-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US10304773B2 (en) * 2015-10-21 2019-05-28 International Business Machines Corporation Low resistance contact structures including a copper fill for trench structures
US9960240B2 (en) 2015-10-21 2018-05-01 International Business Machines Corporation Low resistance contact structures for trench structures
US9484255B1 (en) * 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US10304743B2 (en) * 2016-06-02 2019-05-28 Toshiba Memory Corporation Semiconductor device and manufacturing method thereof
US10388576B2 (en) 2016-06-30 2019-08-20 International Business Machines Corporation Semiconductor device including dual trench epitaxial dual-liner contacts
US11721801B2 (en) 2020-08-17 2023-08-08 International Business Machines Corporation, Armonk Low resistance composite silicon-based electrode

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04188868A (ja) * 1990-11-22 1992-07-07 Seiko Epson Corp 半導体装置の製造方法
JPH04349660A (ja) * 1991-05-28 1992-12-04 Toshiba Corp 半導体装置及び製造方法
US6081034A (en) * 1992-06-12 2000-06-27 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
JPH0697109A (ja) * 1992-09-16 1994-04-08 Fujitsu Ltd 半導体装置
JPH07235606A (ja) * 1994-02-22 1995-09-05 Mitsubishi Electric Corp 相補型半導体装置及びその製造方法
JP2980057B2 (ja) * 1997-04-30 1999-11-22 日本電気株式会社 半導体装置の製造方法
US6147405A (en) * 1998-02-19 2000-11-14 Micron Technology, Inc. Asymmetric, double-sided self-aligned silicide and method of forming the same
US5970370A (en) * 1998-12-08 1999-10-19 Advanced Micro Devices Manufacturing capping layer for the fabrication of cobalt salicide structures
JP2000286411A (ja) * 1999-03-29 2000-10-13 Toshiba Corp 半導体装置とその製造方法
JP2000349169A (ja) 1999-06-09 2000-12-15 Toshiba Corp 半導体装置及びその製造方法
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
TW497120B (en) * 2000-03-06 2002-08-01 Toshiba Corp Transistor, semiconductor device and manufacturing method of semiconductor device
JP2002009015A (ja) 2000-06-23 2002-01-11 Hitachi Ltd 半導体装置およびその製造方法
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
AU2002365054A1 (en) * 2002-02-28 2003-09-29 Advanced Micro Devices, Inc. Method for fabricating a semiconductor device having different metal silicide portions
JP3803631B2 (ja) * 2002-11-07 2006-08-02 株式会社東芝 半導体装置及びその製造方法
US6845034B2 (en) * 2003-03-11 2005-01-18 Micron Technology, Inc. Electronic systems, constructions for detecting properties of objects, and assemblies for identifying persons
US7453133B2 (en) * 2003-08-29 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide/semiconductor structure and method of fabrication
US20050156208A1 (en) * 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
BE1015721A3 (nl) * 2003-10-17 2005-07-05 Imec Inter Uni Micro Electr Werkwijze voor het reduceren van de contactweerstand van de aansluitgebieden van een halfgeleiderinrichting.
JP4473710B2 (ja) * 2003-12-05 2010-06-02 株式会社東芝 半導体装置
JP4011024B2 (ja) * 2004-01-30 2007-11-21 株式会社ルネサステクノロジ 半導体装置およびその製造方法
JP2005277345A (ja) * 2004-03-26 2005-10-06 Seiko Epson Corp 半導体装置および半導体装置の製造方法
US20050221612A1 (en) * 2004-04-05 2005-10-06 International Business Machines Corporation A low thermal budget (mol) liner, a semiconductor device comprising said liner and method of forming said semiconductor device
US7179700B2 (en) * 2004-07-21 2007-02-20 Freescale Semiconductor, Inc. Semiconductor device with low resistance contacts
JP2006060045A (ja) * 2004-08-20 2006-03-02 Toshiba Corp 半導体装置

Also Published As

Publication number Publication date
US7405112B2 (en) 2008-07-29
GB0822594D0 (en) 2009-01-21
WO2007145695A1 (en) 2007-12-21
DE112007001436T5 (de) 2009-04-23
GB2452446B (en) 2011-06-29
US7719035B2 (en) 2010-05-18
DE112007001436B4 (de) 2013-03-21
JP2009540603A (ja) 2009-11-19
TW200805574A (en) 2008-01-16
JP5140073B2 (ja) 2013-02-06
GB2452446A (en) 2009-03-04
US20060220141A1 (en) 2006-10-05
KR101319982B1 (ko) 2013-10-17
CN101467244B (zh) 2012-02-29
TWI462228B (zh) 2014-11-21
US20080251855A1 (en) 2008-10-16
CN101467244A (zh) 2009-06-24

Similar Documents

Publication Publication Date Title
KR101319982B1 (ko) 낮은 접촉저항을 갖는 cmos 회로 및 그 제조를 위한 방법
KR101785864B1 (ko) 하이 K 금속 게이트를 갖는 nFET에 대한 구조 및 방법
US9653347B1 (en) Vertical air gap subtractive etch back end metal
US11532714B2 (en) Semiconductor device and method of forming thereof
US8637993B2 (en) 3D integrated circuit system with connecting via structure and method for forming the same
US11482456B2 (en) Forming two portion spacer after metal gate and contact formation, and related IC structure
US12009394B2 (en) Source/drain contacts and methods of forming same
US20240186179A1 (en) Methods of Forming Spacers for Semiconductor Devices Including Backside Power Rails
US20220367241A1 (en) Spacers for Semiconductor Devices Including Backside Power Rails
US20190393098A1 (en) Simple contact over gate on active area
US7622348B2 (en) Methods for fabricating an integrated circuit
US10903331B2 (en) Positioning air-gap spacers in a transistor for improved control of parasitic capacitance
US10991689B2 (en) Additional spacer for self-aligned contact for only high voltage FinFETs
US10797046B1 (en) Resistor structure for integrated circuit, and related methods
US20220375856A1 (en) Integration scheme to build resistor, capacitor, efuse using silicon-rich dielectric layer as a base dielectric
US11605710B2 (en) Transistor with air gap under source/drain region in bulk semiconductor substrate
US10923469B2 (en) Vertical resistor adjacent inactive gate over trench isolation
CN114628492A (zh) 在体半导体衬底中的源极/漏极区下方具有气隙的晶体管
KR100907181B1 (ko) 반도체 소자 및 이의 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160921

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170919

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180918

Year of fee payment: 6