JP2006060045A - 半導体装置 - Google Patents

半導体装置 Download PDF

Info

Publication number
JP2006060045A
JP2006060045A JP2004240846A JP2004240846A JP2006060045A JP 2006060045 A JP2006060045 A JP 2006060045A JP 2004240846 A JP2004240846 A JP 2004240846A JP 2004240846 A JP2004240846 A JP 2004240846A JP 2006060045 A JP2006060045 A JP 2006060045A
Authority
JP
Japan
Prior art keywords
layer
silicide
interface
type
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004240846A
Other languages
English (en)
Inventor
Yoshinori Tsuchiya
義規 土屋
Junji Koga
淳二 古賀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2004240846A priority Critical patent/JP2006060045A/ja
Priority to US11/116,327 priority patent/US20060038229A1/en
Priority to CNA2005100926576A priority patent/CN1738060A/zh
Publication of JP2006060045A publication Critical patent/JP2006060045A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66643Lateral single gate silicon transistors with source or drain regions formed by a Schottky barrier or a conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7839Field effect transistors with field effect produced by an insulated gate with Schottky drain or source contact
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】 原子レベルで平坦な界面をもって基板上に堆積され、しかも低抵抗のシリサイド層を有する半導体装置を提供する。
【解決手段】 素子分離領域を有する半導体基板と、前記半導体基板に形成された拡散領域と、前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極と、前記拡散領域上に形成されたシリサイド層(3)とを具備するMISトランジスタを含む半導体装置である。前記シリサイド層は、前記半導体基板との界面にEr、Gd、Tb、Dy、Ho、Tm、Yb、Lu、およびPtからなる群から選択される少なくとも1種の金属のシリサイドからなる界面層(5)を有することを特徴とする。
【選択図】 図1

Description

本発明は半導体装置に係り、特に高度な情報処理を実現するシリコン超集積回路を構成するCMOSデバイスに関する。
シリコン超集積回路は、将来の高度情報化社会を支える基盤技術の一つであり、集積回路の高機能化には、その構成要素であるCMOSデバイスの高性能化が必要である。素子の性能は、基本的には比例縮小則により高められてきたが、近年、種々の物性的限界により素子の極微細化による高性能化、および素子そのものの動作が困難な状況にある。
ソース・ドレイン領域における課題として、拡散層の極浅化に伴ない、シリサイド/Si界面ラフネスに起因し電界集中が起こることにより増大する接合リーク電流を低減する必要がある。また、同時にソース・ドレイン領域のシート抵抗を低減しなければならない。これを達成するために、シリサイド形成前にSiをプレアモルファス化して、界面ラフネスを改善する方法が提案されている(例えば、特許文献1参照。)。また、遷移金属シリサイドの複合膜を形成することで、低抵抗化を図ることが提案されている(例えば、特許文献2参照)。いずれの場合も、依然としてシリサイド/Si界面に数〜数十nmオーダーのラフネスが存在する。
国際半導体ロードマップにおける32nm技術世代のデバイスでは、シリサイドの比抵抗は依然とし15Ω・cm2以下の低抵抗であることが要求されており、原子レベルで平坦な界面を有し、かつ低抵抗率を備えた電極シリサイド材料、およびその構造は未だ見出されていない。
特開2002−368008号公報 特開平7−235607号公報
本発明は、原子レベルで平坦な界面をもって基板上に堆積され、しかも低抵抗のシリサイド層を有する半導体装置を提供することを目的とする。
本発明の一態様にかかる半導体装置は、素子分離領域を有する半導体基板と、前記半導体基板に形成された拡散領域と、前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極と、前記拡散領域上に形成されたシリサイド層とを具備し、前記シリサイド層は、前記半導体基板との界面にEr、Gd、Tb、Dy、Ho、Tm、Yb、Lu、およびPtからなる群から選択される少なくとも1種の金属のシリサイドからなる界面層を有するMISトランジスタを含むことを特徴とする。
本発明の他の態様にかかる半導体装置は、素子分離領域を有する半導体基板と、前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極と、前記半導体基板のソース・ドレイン領域上に形成されたコンタクト層とを具備し、前記コンタクト層は、前記半導体基板との界面にEr、Gd、Tb、Dy、Ho、Tm、Yb、Lu、およびPtからなる群から選択される少なくとも1種の金属のシリサイドからなる界面層を有するMISトランジスタを含むことを特徴とする。
本発明のさらに他の態様にかかる半導体装置は、素子分離領域を有する半導体基板と、
前記半導体基板に形成された拡散領域、前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極、および前記拡散領域上に第1の界面層を介して形成されたシリサイド層を有するn型MISトランジスタと、
前記半導体基板に形成された拡散領域、前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極、および前記拡散領域上に第2の界面層を介して形成されたシリサイド層を有するp型MISトランジスタとを具備し、
前記n型MISトランジスタにおける前記第1の界面層は、Er、Gd、Tb、Dy、Ho、Tm、Yb、Lu、およびPtからなる群から選択される少なくとも1種の金属のシリサイドを含み、前記p型MISトランジスタにおける前記第2の界面層は、前記n型MISトランジスタにおける前記第1の界面層と同一のシリサイドを含むことを特徴とする。
本発明によれば、原子レベルで平坦な界面をもって基板上に堆積され、しかも低抵抗のシリサイド層を有する半導体装置が提供される。
以下、図面を参照して本発明の実施形態を説明する。
(実施形態1)
図1は、本実施形態に係る半導体装置の断面図である。
p型シリコン基板上には、シリコン熱酸化膜からなるゲート絶縁膜1を介して、ゲート電極が形成されている。ゲート絶縁膜1の膜厚は、2nm以下が望ましい。ゲート電極は、リンが高濃度にドーピングされた多結晶シリコン層2、ErSi1.7層5、およびNiSi層3が順次積層された構造である。図示するように、ゲート絶縁膜およびゲート電極の側面には、シリコン酸化膜からなるゲート側壁4が30nm程度の膜厚で設けられる。p型シリコン基板には、ゲート絶縁膜1を挟んで、n型高濃度不純物領域であるソース領域およびドレイン領域が形成されている。
こうした不純物領域の上には、シリサイド層が形成されており、このシリサイド層は基板との界面にErSi1.7層5からなる界面層を有する。ErSi1.7層5とp型シリコン基板との界面は、原子レベルで平坦である。なお、界面層の上層にはNiSi層3が設けられている。ここでは、ErSi1.7層5の膜厚は2nm程度とし、NiSi層3の膜厚は8nm程度とした。こうして、p型シリコン基板上にn型MOSトランジスタが構成される。
ゲート電極を構成する多結晶シリコン層2には、不純物として砒素をドーピングしてもよい。また、ゲート電極は、その全てを金属材料、金属窒化物、金属シリサイドまたは金属ジャーマノシリサイドで置き換えることもできる。各デバイスの技術世代に必要とされる閾値電圧に適合するよう、ゲート電極材料を選べばよい。
また、ゲート絶縁膜1としては、シリコン酸化膜よりも誘電率が高い絶縁膜材料(高誘電体絶縁膜)を用いることもできる。例えば、Si34,Al23,Ta25,TiO2,La25,CeO2,ZrO2,HfO2,SrTiO3,およびPr23等が挙げられる。また、ZrシリケートやHfシリケートのように、シリコン酸化物に金属イオンを混ぜた材料も有効に用いられ、それらの材料を組み合わせたものでもよい。各世代のトランジスタで必要な材料を適宜選択して用いればよい。
ここで、Si(100)基板上に堆積されたシリサイド層の界面の電子顕微鏡写真を、図2に示す。図2(a)は、従来のNiSiの場合であり、図2(b)は、ErSi1.7のTEM写真である。NiSiは、NiをSi(100)基板上に堆積し、400℃熱処理を行なうことにより形成した。一方、ErSi1.7は、ErをSi(100)基板上に蒸着し、700℃熱処理を行なうことにより形成した。特性X線分析により、ErSi1.7層の組成はErSi1.7であることが確認された。
ErSi1.7は多結晶構造を成しているものの、Si基板に対し強く配向し、Siとの界面は原子レベルで平坦である。ErSi1.7は六方晶のAlB2型の構造であり、Si(111)面との格子不整合が非常に小さいがゆえ、Si(111)基板上にエピタキシャル成長して、原子レベルで平坦な界面を形成することができる。Si(100)基板の場合には、格子不整合がある程度大きいことからErSi1.7はエピタキシャル成長しない。しかしながら、ErSi1.7が多結晶になることによって、その格子不整合が緩和されて、原子レベルで平坦な界面を有する多結晶を形成する。
したがって、ErSi1.7は、Si(100)基板およびSi(111)基板のいずれの上においても、原子レベルで平坦なErSi1.7/Si界面を形成することができる。図2(b)に示されるように、ErSi1.7/Si界面の表面平坦性は5nm以下である。これに対して、NiSiが形成された場合には、図2(a)に示されるように界面ラフネスは10nmにも及んでいる。
図3には、NiSiおよびErSi1.7/Siショットキーダイオードの逆方向リーク電流を示す。ErSi1.7のリーク電流は、NiSiに比べて著しく小さいことから、図2で示したErSi1.7界面の平坦性が電気的にも確認された。MOSFETにおいては、拡散層/Si基板接合界面はシリサイド/Si(拡散層)の直下に形成されるため、上部のシリサイド/Si(拡散層)界面が平坦であれば、当然ながら、シリサイド起因の拡散層/Si基板接合リーク電流は小さい。
本実施形態においては、NiSiと拡散層との間にErSi1.7層を挿入して、NiSi/ErSi1.7積層シリサイド構造が形成される。これによって、原子レベルで平坦なシリサイド/Si界面が形成でき接合リーク電流を抑えることができた。また、ErSi1.7は、電子に対するショットキー障壁高さが0.24eV程度であり、C54−TiSi2やCoSi2、NiSi、あるいはPd2Siに比べて障壁高さが低い。このため、チャネル抵抗に対して直列抵抗成分であるコンタクト抵抗が低減される。その結果、高速で動作可能な消費電力の少ないトランジスタが得られる。
本実施形態では、挿入するシリサイドとしてErSi1.7を用いたが、これに限定されるものではない。電子に対するショットキー障壁高さが低く、ErSi1.7と同様の結晶構造(六方晶、AlB2型)を有し、かつSi(111)基板にエピタキシャル成長する任意の金属のシリサイドを界面層として用いることができる。具体的には、Gd,Tb,Dy,Ho,Er,Tm,Yb,およびLuのシリサイドが挙げられ、これらを用いた場合も同様の効果が得られる。
図4乃至図6には、図1で示した半導体装置の製造方法を示す。
まず、p型シリコン基板表面を熱酸化して、シリコン熱酸化膜からなるゲート絶縁膜1を形成する。その上に、多結晶シリコン層をCVD法により形成して、リソグラフィーにより加工する。リンのイオン注入によりn型MOSトランジスタのソース/ドレイン領域を形成し、ゲート電極とソース・ドレイン領域との絶縁のための側壁4を形成して、図4に示す構造を得る。次いで、図5に示すように、Er膜7(膜厚1nm)およびNi膜6(膜厚4nm)を全面に順次蒸着する。
さらに、450℃で熱処理を行なって、多結晶シリコン層2およびソース・ドレインの上部のEr膜7およびNi膜6をシリサイド化する。ゲート側壁4上の未反応のErおよびNiを、硫酸と過酸化水素水との混合液により選択的に除去することによって、図6に示す構造が得られる。
ここでは、Er膜7およびNi膜6の膜厚は、それぞれ1nmおよび4nmとしたが、これに限定されるものではない。最終的に形成されるシリサイド層の膜厚を考慮して、各金属膜の膜厚を適宜決定することができる。具体的には、界面層としてのErシリサイド(ErSi1.7)層5の膜厚が1〜5nm程度となるように、Er膜7の膜厚を選択することが望まれる。ErSi1.7層5の膜厚が薄すぎる場合には、基板との界面の平坦性を確保することが困難となる。一方、厚すぎる場合には、高抵抗なErSi1.7によりトランジスタの高速動作が阻害されるおそれがある。ErSi1.7層5の膜厚は、その上に形成されるNiSi膜3との合計膜厚の20nm程度に留めておくことが望ましい。
Niシリサイドは、主としてNiが拡散種となって、Si基板中に拡散していくことによって形成される。上述した例では、ErがNiの拡散バリアとなってNiの拡散は抑制される。一方、Er/Siの反応では、主にSiがEr中に拡散する。よってNi/Er積層に蒸着した場合のシリサイド化においては、Siが主な拡散種となりErSi1.7とNiSiの積層構造となる。
(実施形態2)
図7は、本実施形態に係る半導体装置の断面図である。
図示する半導体装置は、ゲート側壁4の厚さが5nm程度と薄く、ソース領域およびドレイン領域の高濃度不純物領域を設けずにシリサイド積層構造に置き換えられている以外は、図1の構造と同様である。かかる構造は、いわゆるショットキー・ソース・ドレインn型MOSトランジスタである。
このシリサイド層は、基板との界面にErSi1.7層5からなる界面層を有しており、ErSi1.7層5とp型シリコン基板との界面は、原子レベルで平坦である。なお、界面層の上層にはNiSi層3が設けられる。ショットキーMOSトランジスタの場合には、高濃度不純物領域を介さずにチャネル領域とシリサイドが直接接する。このため、通常のMOSトランジスタと比較して、シリサイド/Si界面形状に対してトランジスタ特性が極めて敏感に反応してしまう。本実施形態においては、ErSi1.7とSiとの界面を原子レベルで平坦に制御できることから、このようなシリサイド/Si界面形状のばらつきの影響を抑えることが可能である。
ショットキートランジスタでは、低抵抗なNiSiなどのシリサイドをソース・ドレイン電極材料として用いた場合、素子の動作時にもソース端に大きなショットキー障壁が残る。このため、拡散層を有する通常のMOSトランジスタほど駆動電流を確保することができない。本実施形態では、電子に対するショットキー障壁が0.24eVと低いErSi1.7をSiとの界面層として有しているため、通常の拡散層を有したMOSトランジスタと同程度の駆動電流を得ることができる。しかも、界面層の上部には低抵抗シリサイドが設けられた積層構造である。その結果、Erシリサイドなどの希土類金属を用いることによる比抵抗上昇は抑制されて、寄生抵抗を小さくすることができ、トランジスタの低消費電力高速動作が可能となった。
(実施形態3)
図8は、本実施形態に係る半導体装置の断面図である。
n型シリコン基板上には、シリコン熱酸化膜からなるゲート絶縁膜1を介して、ゲート電極が形成されている。ゲート絶縁膜1の膜厚は、2nm以下が望ましい。ゲート電極は、ボロンを高濃度にドーピングした多結晶シリコン9、PtSi膜5、およびNiSi膜3が順次積層された構造である。図示するように、ゲート絶縁膜およびゲート電極の側面には、シリコン酸化膜からなるゲート側壁4が30nm程度の膜厚で設けられる。n型シリコン基板中には、ゲート絶縁層を挟むように、p型高濃度不純物領域であるソース領域およびドレイン領域が形成されている。
こうした不純物領域の上にはシリサイド層が形成されており、このシリサイド層は基板との界面にPtSi層8からなる界面層を有する。PtSi層8とn型シリコン基板との界面は、原子レベルで平坦である。なお、界面層の上層にはNiSi層3が設けられている。PtSi層5の膜厚は2〜3nm程度が好ましく、NiSi層3n膜厚は10nm程度が望ましい。こうして、n型シリコン基板上にp型MOSトランジスタが構成される。
PtSiは、Si(100)面に対してエピタキシャル成長し、NiSiよりも熱的に安定であり高温熱処理による凝集などの現象も起こりにくい。これは、NiSiの融点が990℃程度であるのに対して、PtSiの融点が1230℃程度と高いことによる。その結果、PtSi/Si界面は、2〜5nmの界面ラフネスは有するが、NiSiに比較して平坦な界面を形成することができ、シリサイド/Si界面の凹凸に起因した接合リーク電流が抑えられる。
PtSiの比抵抗は約35nmと比較的大きいが、上層に設けた低抵抗なNiSi層により、前述の実施形態1のErSi1.7の場合と同様に、抵抗を上昇を抑制することができる。また、PtSiは、正孔に対するショットキー障壁高さが約0.2eV程度であり、C54−TiSi2やCoSi2、あるいはNiSiに比べて障壁高さが低い。このため、コンタクト抵抗が低減されて、消費電力が低減され高速動作が可能なP型MOSトランジスタが得られる。
図9乃至図11は、図8で示した半導体装置の製造方法を示す。
まず、n型シリコン基板表面を熱酸化して、シリコン熱酸化膜からなるゲート絶縁膜1を形成する。その上に、多結晶シリコン層をCVD法により形成して、リソグラフィーにより加工する。ボロンのイオン注入によりp型MOSトランジスタのソース/ドレイン領域を形成し、ゲート電極とソース・ドレイン領域との絶縁のための側壁4を形成して、図9に示す構造を得る。次いで、図10に示すように、Pt膜10(膜厚1nm)およびNi膜6(膜厚4nm)を全面に順次蒸着する。
さらに、450℃で熱処理を行なって、多結晶シリコン層9およびソース・ドレインの上部のPt膜10およびNi膜6をシリサイド化する。ゲート側壁4上の未反応のPtおよびNiを、硫酸と王水により選択的に除去することによって、図11に示す構造が得られる。
ここでは、Pt膜10およびNi膜6の膜厚は、それぞれ1nmおよび4nmとしたが、これに限定されるものではない。最終的に形成されるシリサイド層の膜厚を考慮して、各金属膜の膜厚を適宜決定することができる。具体的には、界面層としてのPtSi層8の膜厚が1〜5nm程度となるように、Pt膜10の膜厚を選択することが望まれる。PtSi層8の膜厚が薄すぎる場合には、基板との界面の平坦性を確保することが困難となる。一方、厚すぎる場合には、高抵抗なPtSiによりトランジスタの高速動作が阻害されるおそれがある。PtSi層8の膜厚は、その上に形成されるNiSi膜3との合計膜厚の20nm程度に留めておくことが望ましい。
(実施形態4)
図12は、本実施形態に係る半導体装置の断面図である。
図示する半導体装置は、ゲート側壁4の厚さが5nm程度と薄く、ソース領域およびドレイン領域の高濃度不純物領域を設けずにシリサイド積層構造に置き換えられている以外は、図8の構造と同様である。かかる構造は、いわゆるショットキー・ソース・ドレインP型MOSトランジスタである。
このシリサイド層は、基板との界面にPtSi層8からなる界面層を有しており、PtSi層8とn型シリコン基板との界面は、原子レベルで平坦である。なお、界面層の上層にはNiSi層3が設けられる。本実施形態においては、実施形態2の場合と同様に、NiSiに比較して界面ラフネスが小さいPtSiを設けることによって、シリサイド/Si界面形状のばらつきを抑制することが可能である。しかも、PtSiは正孔に対する障壁高さが0.2eV程度と低く、その上部には低抵抗シリサイドが設けられた積層構造である。その結果、実施形態2のN型MOSトランジスタの場合と同様に、駆動電流が確保されて寄生抵抗は低減される。こうして、消費電力が少なく、高速動作が可能なトランジスタが得られる。
(実施形態5)
図13は、本実施形態にかかる半導体装置の断面図である。
p型シリコン基板には、トランジスタが形成されており、そのゲート電極の構造は実施形態3の場合と同様である。ゲート側壁4は5nm程度の厚さが望ましく、ゲート電極の上部は、シリコン窒化膜4で覆われている。さらに、ソース領域およびドレイン領域の高濃度不純物領域は存在せず、シリサイド積層構造に置き換えられたショットキー・ソース・ドレインn型MOSトランジスタの構造である。
このシリサイド層は、基板との界面にErSi1.7層5からなる界面層を有しており、ErSi1.7層5とp型シリコン基板との界面は、原子レベルで平坦である。なお、界面層の上層にはCu層12が設けられる。
本実施形態は、実施形態1と同様に、界面ラフネスが原子レベルで制御可能であるErSi1.7を用いることによって、シリサイド/Si界面形状のばらつきを抑制することが可能である。すでに説明したように、界面層としてのErSi1.7は正孔に対する障壁高さが、約0.2eV程度と低い。こうした界面層の上部には、シリサイドよりも低抵抗なCuが設けられた積層構造であることから駆動電流を確保することができる。その結果、寄生抵抗を低減することができるため、低消費電力で高速にトランジスタを動作させることが可能となる。
なお、n型MOSに限らずp型MOSの場合も、同様の効果が得られる。この場合にはErSi1.7をPtSiに置き換えることで、コンタクト抵抗率も同時に低減されるといった効果が得られる。また、界面層の上層には、Alなどの比抵抗が20Ω.cm以下の低抵抗な金属、あるいはその窒化物などを用いることもでき、いずれの場合も同様の効果が得られる。
図14乃至図16には、図13の半導体装置の製造方法を示す。
まず、p型シリコン基板に素子分離をシャロー・トレンチ法で形成し、表面を熱酸化してシリコン熱酸化膜からなるゲート絶縁膜1を形成する。その後、多結晶シリコン層をCVDにより形成して、リソグラフィーにより加工する。次に、ゲート電極とソース・ドレイン領域との絶縁のための側壁4を形成して、図14に示す構造を得る。
全面にSiO2からなる層間絶縁膜を堆積し、ソース・ドレイン部のみリソグラフィーにより層間絶縁膜を除去する。次いで、図15に示すように、Er膜7(1nm)およびCu膜12(1μm)を全面に順次堆積する。
さらに、450℃で熱処理を行なって、Si基板と接しているEr膜7のみシリサイドを形成する。その後、CMPにより、上部の余分なCuおよびErを除去することによって、図16に示す構造が得られる。本プロセスでは、シリサイドのみならず、金属もソース/ドレインに自己整合的に形成することができる。
(実施形態6)
図17は、本実施形態に係る半導体装置の断面図である。
p型シリコン基板には、p型不純物領域(p型ウェル)およびn型不純物領域(n型ウェル)が、分離して形成されている。p型不純物領域にはn型MOSトランジスタが設けられ、このn型MOSトランジスタの構成は基本的には図1に示したものと同様である。n型不純物領域にはp型MOSトランジスタが設けられ、このp型MOSトランジスタの構成は、基本的には図8に示したものと同様である。
n型MOSトランジスタとp型MOSトランジスタとは相補的に働き、これらによってCMOSデバイスが構成される。ErSi1.7上にNiSiが形成された積層構造であるので、実施形態1の場合と同様に、下層のErSi1.7によりSi拡散層との界面が原子レベルで平坦に形成することができる。さらに、上層のNiSi層によりシリサイド層の比抵抗も低減される。
(実施形態7)
図18は、本実施形態に係る半導体装置の断面図である。
p型シリコン基板には、p型不純物領域(p型ウェル)およびn型不純物領域(n型ウェル)が、分離して形成されている。p型不純物領域にはn型MOSトランジスタが設けられ、このn型MOSトランジスタの構成は基本的には図1に示したものと同様である。n型不純物領域にはp型MOSトランジスタが設けられる。このn型MOSトランジスタにおいては、ゲート電極とソース・ドレイン拡散層領域の上部にはNiSi層3が形成されている。
n型MOSトランジスタとp型MOSトランジスタとは相補的に働き、これらによってCMOSデバイスが構成される。本実施形態においては、CMOS構造のn型MOS領域にのみErSi1.7/NiSi積層シリサイド構造を適用している。n型MOSは、p型MOSに比較して、ソース・ドレイン直下の拡散層深さが浅く、シリサイド/Si界面のラフネスによるリークが顕著に現れる。本実施形態では、こうしたn型MOSのシリサイド/Si界面ラフネスを効果的に抑制することができ、かつコンタクト抵抗率も低減できる。
(実施形態8)
図19は、本実施形態に係る半導体装置の断面図である。
p型シリコン基板中に、p型不純物領域(p型ウェル)およびn型不純物領域(n型ウェル)が、分離して形成されている。p型不純物領域にはn型MOSトランジスタが設けられ、このn型MOSトランジスタの構成は基本的には図1に示したものと同様である。n型不純物領域にはp型MOSトランジスタが設けられ、このp型MOSトランジスタの構成は、基本的には図8に示したものと同様である。
本実施形態においては、n型MOS領域にはErSi1.7/NiSi積層シリサイド構造を適用し、原子レベルで平坦なシリサイド/Si界面を成している。また、P型MOS領域のソース・ドレイン領域に用いているPtSiは、Si(100)面に対してエピタキシャル成長し、NiSiよりも平坦な界面が形成される。また、上層に設けられたNiSi層によって低抵抗化されている。
TiSi2、CoSi2、あるいはNiSiなどの低抵抗シリサイドの単層をソース・ドレイン電極のコンタクト材として用いた場合には、仕事関数はSi禁制帯中央付近となる。このため、そのショットキー障壁高さは、電子および正孔のどちらに対しても、約0.5乃至0.6eVとなる。この場合には、両方の伝導型で同程度のコンタクト抵抗率を得ることができる。しかしながら、シリコン基板側の不純物濃度が約3×1020cm-3の場合のコンタクト抵抗率は、約1×10-7Ω・cmとなって、国際半導体ロードマップにおける45nm技術世代のコンタクト抵抗率の要求値(1×10-7Ω・cm)を満たすことができない。
本実施形態においては、n型MOSでは、電子に対して低いショットキー障壁(0.2乃至0.3eV)を有する材料であるErSi1.7を用いられる。一方、p型MOSでは、正孔に対して低いショットキー障壁(0.2乃至0.3eV)を有する材料であるPtSiを用いている。このため、同じ不純物濃度が約3×1020cm-3の場合のコンタクト抵抗率は、1×10-8Ω・cm以下となる。22nm技術世代のコンタクト抵抗率の要求は満たされ、低いコンタクト抵抗率も平坦な界面形成と同時に実現することが可能である。
図20乃至23には、図19で示した半導体装置の製造方法を示す。
まず、p型シリコン基板上に、イオン注入によりp型不純物領域(p型ウェル)およびn型不純物領域(n型ウェル)を形成する。シャロー・トレンチ法により素子分離を形成し、基板表面を熱酸化してシリコン熱酸化膜からなるゲート絶縁膜1を形成する。その後、CVDにより多結晶シリコン層を堆積し、リソグラフィーによるパターニングを行なってゲート部を加工する。砒素とボロンのイオン注入により、n型およびp型MOSトランジスタのソース/ドレイン領域およびゲート電極に高不純物濃度領域を形成する。次に、ゲート電極とソース・ドレイン領域の絶縁のための側壁4を形成して、図20に示す構造を得る。
CVD法およびリソグラフィー法によりp型MOS領域を酸化膜11でマスクして、図21に示すように、n型MOS領域に選択的にEr膜7(膜厚1nm)およびNi膜6(膜厚4nm)をスパッタ蒸着する。
次いで、450℃で熱処理を行なってEr膜7およびNi膜6をシリサイド化した後、未反応のErおよびNiを硫酸と過酸化水素水の混合液により選択的に除去する。これによって、n型MOS領域のゲート電極およびソース・ドレイン領域に、ErSi1.7/NiSi積層構造が形成される。その後、p型MOS領域の酸化膜11をエッチング除去し、n型MOS領域を酸化膜11でマスクする。さらに、図22に示すように、p型MOS領域に選択的に、Pt膜10(膜厚1nm)およびNi膜6(4nm)をスパッタ蒸着する。
その後、450℃にて熱処理を行なって、Pt膜10およびNi膜6をシリサイド化した後、未反応のPtおよびNiを王水と硫酸−過酸化水素水混合液により選択的に除去する。これによって、p型MOS領域のゲート電極およびソース・ドレイン領域に、PtSi/NiSi積層構造が形成する。最後に、n型MOS領域のキャップ酸化膜11を剥離すると、図23に示す構造が得られる。
Erは大気中で酸化されやすく、酸化防止膜のキャップ層により保護しなければ、シリサイド化した場合に酸素の影響で界面が荒れてしまう。本実施形態の形成プロセスでは、Er蒸着後、即座にNiをEr上部に蒸着するので、Erの酸素などによる汚染を回避することができる。
以下の実施形態では、n型MOS領域およびp型MOS領域のいずれにも、ErSi1.7/NiSiの積層構造を用いるが、実施形態6および7のように、n型MOS領域にのみErSi1.7/NiSi積層構造を適応し、p型MOSに対しては、NiSiまたはPtSi/NiSi構造を適応することもできる。
(実施形態9)
図24は、本実施形態に係る半導体装置の断面図である。
p型シリコン基板上にシリコン酸化膜が形成され、その上にMOSトランジスタの活性領域となる単結晶p型シリコン層が形成され、SOI構造を成している。活性領域となる単結晶シリコン層は5〜10nm程度が望ましい。このSOI基板にn型とp型MOSトランジスタが形成されて、CMOSデバイスを構成している。形成されるトランジスタの構造は実施形態6で説明した図17の構造と、基本的に同一である。
ソース・ドレイン領域上部には、積層構造を成してシリサイド層が形成されており、n型MOSおよびp型MOS領域とも、基板との界面層としてErSi1.7層5を有し、その上層はNiSi層3である。本実施形態では、チャネル部は全て空乏化しており、いわゆる完全空乏型SOI−MOSトランジスタである。完全空乏型SOIデバイスの場合には、活性領域となる単結晶シリコン層の厚みが極薄である。こうした場合には、ソース・ドレイン部のシリサイド/Si界面が大きな凹凸を有していると、部分的にシリサイド層が埋め込み酸化膜まで到達して、素子の特性ばらつきの原因となる。また、完全に埋め込み酸化膜層までシリサイドの深さが到達してしまうと、シリサイド/Si接触面積は、SOI膜厚×ゲート幅となり極端に小さくなってしまうため、コンタクト抵抗が増大しトランジスタの性能が劣化してしまう。
したがって、シリサイド/Si界面は原子レベルでの制御が必須である。また、シリサイド形成時に必要なSi膜厚が活性領域となる単結晶シリコン層の厚みより厚い場合には、S/Dのエレベイト構造などを適宜用いればよい。さらに、Fin型トランジスタに代表される三次元構造を有するダブルゲート完全空乏型デバイスにおいても、ショートチャネル効果抑制のため、そのチャネル厚さはゲート長の1/2乃至1/3以下である必要がある。こうした場合にも本実施形態の構造を適用することができ、原子レベルでの界面制御が可能なことから絶大な効果が得られる。
(実施形態10)
図25は、本実施形態に係る半導体装置の断面図である。
p型シリコン基板には、p型不純物領域(p型ウェル)およびn型不純物領域(n型ウェル)が、分離して形成されている。その上に形成されるトランジスタのゲート電極の構造は、実施形態9で説明した図24の場合と基本的に同様である。
p型MOSおよびn型MOSのいずれも、ソース領域とドレイン領域の高濃度不純物領域は存在せず、シリサイド積層構造に置き換えられているショットキー・ソース・ドレインMOSトランジスタの構造である。ソース・ドレイン部は積層構造を成してシリサイド層が形成されている。n型MOSおよびp型MOS領域のいずれも、基板との界面層はErSi1.7層5であり、その上層にはNiSi層3が設けられている。
本実施形態では、実施形態2と同様に、ErSi1.7によりシリサイド/Si界面形状のばらつきを抑えることが可能である。しかも、上部のNiSiによって、比抵抗上昇を抑制し、寄生抵抗を小さくすることができる。その結果、消費電力が小さなトランジスタが得られる。
また、実施形態7の場合と同様に、p型MOSにはErSi1.7層5の代わりにPtSi層8を界面層として用いることもできる。この場合には、ソース端のショットキー障壁が減少して駆動電流が大幅に増大する。また、実施形態9のSOI構造との組み合わせることもできる。
(実施形態11)
図26は、本実施形態に係る半導体装置の断面図である。
本実施形態では、p型シリコン基板中に、p型不純物領域(p型ウェル)とn型不純物領域(n型ウェル)が、分離して形成されている。その上に形成されるトランジスタのゲート電極の構造はn型MOSおよびp型MOSに対して、それぞれリンおよびボロンを高濃度にドーピングした多結晶シリコンとその上部にPtSi/NiSiの積層シリサイドを有している。
ソース・ドレイン領域は、p型MOS領域に関しては実施形態4のp型PMOSと同じショットキー接合のPtSi/NiSiの積層構造である。n型MOS領域に関しては、PtSi/Si界面に数nmの急峻なN型不純物高濃度領域を有し、p型MOS領域と同じPtSi/NiSiの積層構造のシリサイドが形成されている。n型不純物高濃度領域は完全に空乏化する厚さである。こうしたn型不純物高濃度領域を有することによって、PtSi/Si界面に形成されるショットキー障壁が実効的に低く抑えられる。そのため、電子に対して高いショットキー障壁を有するPtSiを用いても、トランジスタの駆動電流を十分に確保することできる。
急峻な高不純物領域を形成する場合には、PtSi形成時の不純物の界面への偏析効果を用いることが好ましい。PtとSiとが反応してPtシリサイドが形成する場合、Si中の砒素やリンといった不純物は、PtSi中には溶け込まれずに界面に偏析される。うした「雪かき現象」を用いることによって、数nmの急峻なn型不純物高濃度領域が形成される。積層PtSi/NiSi構造を採用することにより、実施形態2の場合と同様の効果が得られる。あるいは、PtSiの代わりにErSi1.7を用い、p型MOSに対してはErSi1.7/Si界面にInなどのアクセプタ型の不純物を用いて数nmの急峻なp型不純物高濃度領域を形成し、n型MOSに対しては、実施形態1の場合と同様の構造をとってもよい。さらに、上述したようなSOI構造との組み合わせでもよい。
以上の例では、チャネル領域にはSiを用いて説明したが、Siよりも移動度の大きいSiGe、Geあるいは歪Si等を用いても構わない。その他、本発明は、主旨を逸脱しない範囲で種々変形して用いることができる。
本発明の一実施形態に係る半導体装置の断面図。 Si(100)基板上に堆積されたシリサイド層の界面の電子顕微鏡写真。 ショットキーダイオードにおける逆方向リーク電流特性を表わすグラフ図。 本発明の一実施形態に係る半導体装置の製造方法の工程を表わす断面図。 図4に続く工程を表わす断面図。 図5に続く工程を表わす断面図。 本発明の他の実施形態に係る半導体装置の断面図。 本発明の他の実施形態に係る半導体装置の断面図。 本発明の他の実施形態に係る半導体装置の製造方法の工程を表わす断面図。 図9に続く工程を表わす断面図。 図10に続く工程を表わす断面図。 本発明の他の実施形態に係る半導体装置の断面図。 本発明の他の実施形態に係る半導体装置の断面図。 本発明の他の実施形態に係る半導体装置の製造方法の工程を表わす断面図。 図14に続く工程を表わす断面図。 図15に続く工程を表わす断面図。 本発明の他の実施形態に係る半導体装置の断面図。 本発明の他の実施形態に係る半導体装置の断面図。 本発明の他の実施形態に係る半導体装置の断面図。 本発明の他の実施形態に係る半導体装置の製造方法の工程を表わす断面図。 図20に続く工程を表わす断面図。 図21に続く工程を表わす断面図。 図22に続く工程を表わす断面図。 本発明の他の実施形態にかかる半導体装置の断面図。 本発明の他の実施形態にかかる半導体装置の断面図。 本発明の他の実施形態にかかる半導体装置の断面図。
符号の説明
1…ゲート絶縁膜; 2…高濃度不純物を含む堆積シリコン層
3…ニッケルシリサイド(NiSi); 4…シリコン窒化膜
5…エルビウムシリサイド(ErSi1.7)層; 6…堆積ニッケル層
7…堆積エルビウム層; 8…プラチナシリサイド(PtSi)層
9…高濃度ボロンを含む堆積シリコン層; 10…堆積プラチナ層
11…シリコン酸化膜(SiO2)層; 12…堆積銅(Cu)膜。

Claims (10)

  1. 素子分離領域を有する半導体基板と、
    前記半導体基板に形成された拡散領域と、
    前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極と、
    前記拡散領域上に形成されたシリサイド層とを具備し、
    前記シリサイド層は、前記半導体基板との界面にEr、Gd、Tb、Dy、Ho、Tm、Yb、Lu、およびPtからなる群から選択される少なくとも1種の金属のシリサイドからなる界面層を有するMISトランジスタを含むことを特徴とする半導体装置。
  2. 素子分離領域を有する半導体基板と、
    前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極と、
    前記半導体基板のソース・ドレイン領域上に形成されたコンタクト層とを具備し、
    前記コンタクト層は、前記半導体基板との界面にEr、Gd、Tb、Dy、Ho、Tm、Yb、Lu、およびPtからなる群から選択される少なくとも1種の金属のシリサイドからなる界面層を有するMISトランジスタを含むことを特徴とする半導体装置。
  3. 前記MISトランジスタはn型であり、前記界面層はErのシリサイドであることを特徴とする請求項1または2に記載の半導体装置。
  4. 前記半導体基板上に形成されたp型MISトランジスタをさらに具備する相補型MISトランジスタであることを特徴とする請求項3に記載の半導体装置。
  5. 前記MISトランジスタはp型であり、前記界面層はPtのシリサイドであることを特徴とする請求項1または2に記載の半導体装置。
  6. 前記半導体基板上に形成されたn型MISトランジスタをさらに具備する相補型MISトランジスタであることを特徴とする請求項5に記載の半導体装置。
  7. 前記コンタクト層は、上層が金属からなることを特徴とする請求項1、2、4ないし6のいずれか1項に記載の半導体装置。
  8. 素子分離領域を有する半導体基板と、
    前記半導体基板に形成された拡散領域、前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極、および前記拡散領域上に第1の界面層を介して形成されたシリサイド層を有するn型MISトランジスタと、
    前記半導体基板に形成された拡散領域、前記半導体基板上にゲート絶縁膜を介して形成されたゲート電極、および前記拡散領域上に第2の界面層を介して形成されたシリサイド層を有するp型MISトランジスタとを具備し、
    前記n型MISトランジスタにおける前記第1の界面層は、Er、Gd、Tb、Dy、Ho、Tm、Yb、Lu、およびPtからなる群から選択される少なくとも1種の金属のシリサイドを含み、前記p型MISトランジスタにおける前記第2の界面層は、前記n型MISトランジスタにおける前記第1の界面層と同一のシリサイドを含むことを特徴とする半導体装置。
  9. 前記半導体基板は、SOI基板であることを特徴とする請求項8に記載の半導体装置。
  10. 前記n型MISトランジスタおよび前記p型MISトランジスタのいずれか一方は、前記界面層に接する前記半導体基板中に不純物を有することを特徴とする請求項8に記載の半導体装置。
JP2004240846A 2004-08-20 2004-08-20 半導体装置 Pending JP2006060045A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2004240846A JP2006060045A (ja) 2004-08-20 2004-08-20 半導体装置
US11/116,327 US20060038229A1 (en) 2004-08-20 2005-04-28 Semiconductor device
CNA2005100926576A CN1738060A (zh) 2004-08-20 2005-08-19 半导体器件

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004240846A JP2006060045A (ja) 2004-08-20 2004-08-20 半導体装置

Publications (1)

Publication Number Publication Date
JP2006060045A true JP2006060045A (ja) 2006-03-02

Family

ID=35908847

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004240846A Pending JP2006060045A (ja) 2004-08-20 2004-08-20 半導体装置

Country Status (3)

Country Link
US (1) US20060038229A1 (ja)
JP (1) JP2006060045A (ja)
CN (1) CN1738060A (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007250781A (ja) * 2006-03-15 2007-09-27 Toshiba Corp 半導体装置およびその製造方法
JP2008060101A (ja) * 2006-08-29 2008-03-13 Toshiba Corp 半導体装置およびその製造方法
JP2008118088A (ja) * 2006-10-11 2008-05-22 Fujitsu Ltd 半導体装置の製造方法
JP2008235618A (ja) * 2007-03-22 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
US7495293B2 (en) 2005-08-31 2009-02-24 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2009135227A (ja) * 2007-11-29 2009-06-18 Toshiba Corp 半導体装置の製造方法および半導体装置
JP2009535846A (ja) * 2006-05-01 2009-10-01 インターナショナル・ビジネス・マシーンズ・コーポレーション 自己整合型金属シリサイド・コンタクトを形成するための方法
JP2009540603A (ja) * 2006-06-15 2009-11-19 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 低接触抵抗cmos回路およびその製造方法
JP2009283780A (ja) * 2008-05-23 2009-12-03 Nec Electronics Corp 半導体装置およびその製造方法
JP2012156323A (ja) * 2011-01-26 2012-08-16 Tohoku Univ 半導体装置
JP2012248873A (ja) * 2006-10-11 2012-12-13 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2013062514A (ja) * 2006-07-13 2013-04-04 Tohoku Univ 半導体装置の製造方法
KR20200033738A (ko) * 2018-09-19 2020-03-30 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 마스크 없는 제조 공정 흐름을 사용한 선택적 듀얼 실리사이드 형성
KR20220056164A (ko) * 2018-12-26 2022-05-04 충남대학교산학협력단 반도체 소자의 콘택 및 반도체 소자의 콘택 형성 방법

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7250666B2 (en) * 2005-11-15 2007-07-31 International Business Machines Corporation Schottky barrier diode and method of forming a Schottky barrier diode
DE102005057073B4 (de) * 2005-11-30 2011-02-03 Advanced Micro Devices, Inc., Sunnyvale Herstellungsverfahren zur Verbesserung der mechanischen Spannungsübertragung in Kanalgebieten von NMOS- und PMOS-Transistoren und entsprechendes Halbleiterbauelement
US7923840B2 (en) * 2007-01-10 2011-04-12 International Business Machines Corporation Electrically conductive path forming below barrier oxide layer and integrated circuit
US20080191285A1 (en) * 2007-02-09 2008-08-14 Chih-Hsin Ko CMOS devices with schottky source and drain regions
FR2931294B1 (fr) * 2008-05-13 2010-09-03 Commissariat Energie Atomique Procede de realisation d'un transistor a source et drain metalliques
DE112011105973T5 (de) * 2011-12-19 2014-09-25 Intel Corporation Halbleitervorrichtung mit metallischen Quellen- und Senkenregionen
US20130241007A1 (en) * 2012-03-15 2013-09-19 International Business Machines Corporation Use of band edge gate metals as source drain contacts
CN104124171B (zh) * 2013-04-28 2017-12-29 中芯国际集成电路制造(上海)有限公司 P型鳍式场效应晶体管及其形成方法
US9306054B2 (en) 2013-05-24 2016-04-05 Samsung Electronics Co., Ltd. Semiconductor device and a method of fabricating the same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5204279A (en) * 1991-06-03 1993-04-20 Sgs-Thomson Microelectronics, Inc. Method of making SRAM cell and structure with polycrystalline p-channel load devices
US20010045650A1 (en) * 1999-08-02 2001-11-29 Jigish D. Trivedi Local interconnect structure for integrated circuit devices, source structure for the same, and method for fabricating the same
US6100118A (en) * 1998-06-11 2000-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication of metal fuse design for redundancy technology having a guard ring
US6674135B1 (en) * 1998-11-25 2004-01-06 Advanced Micro Devices, Inc. Semiconductor structure having elevated salicided source/drain regions and metal gate electrode on nitride/oxide dielectric
JP2001308027A (ja) * 2000-04-25 2001-11-02 Sharp Corp 半導体装置の製造方法
US6521515B1 (en) * 2000-09-15 2003-02-18 Advanced Micro Devices, Inc. Deeply doped source/drains for reduction of silicide/silicon interface roughness
US6387804B1 (en) * 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. Passivation of sidewall spacers using ozonated water
US6521529B1 (en) * 2000-10-05 2003-02-18 Advanced Micro Devices, Inc. HDP treatment for reduced nickel silicide bridging
US6777275B1 (en) * 2000-11-15 2004-08-17 Advanced Micro Devices, Inc. Single anneal for dopant activation and silicide formation
US6797602B1 (en) * 2001-02-09 2004-09-28 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with supersaturated source/drain extensions and metal silicide contacts
JP3769208B2 (ja) * 2001-06-04 2006-04-19 株式会社東芝 半導体装置の製造方法と半導体装置
JP3605062B2 (ja) * 2001-09-28 2004-12-22 株式会社東芝 半導体装置の製造方法
US6803265B1 (en) * 2002-03-27 2004-10-12 Fasl Llc Liner for semiconductor memories and manufacturing method therefor
US6873051B1 (en) * 2002-05-31 2005-03-29 Advanced Micro Devices, Inc. Nickel silicide with reduced interface roughness
US6746944B1 (en) * 2003-01-14 2004-06-08 Advanced Micro Devices, Inc. Low nisi/si interface contact resistance with preamorphizing and laser thermal annealing
JP4509026B2 (ja) * 2003-02-07 2010-07-21 日本電気株式会社 ニッケルシリサイド膜の形成方法、半導体装置の製造方法およびニッケルシリサイド膜のエッチング方法
US6878624B1 (en) * 2003-09-30 2005-04-12 International Business Machines Corporation Pre-anneal of CoSi, to prevent formation of amorphous layer between Ti-O-N and CoSi
US7183593B2 (en) * 2003-12-05 2007-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Heterostructure resistor and method of forming the same
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US7049666B1 (en) * 2004-06-01 2006-05-23 Advanced Micro Devices, Inc. Low power pre-silicide process in integrated circuit technology
US7109116B1 (en) * 2005-07-21 2006-09-19 International Business Machines Corporation Method for reducing dendrite formation in nickel silicon salicide processes

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7495293B2 (en) 2005-08-31 2009-02-24 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP2007250781A (ja) * 2006-03-15 2007-09-27 Toshiba Corp 半導体装置およびその製造方法
JP2009535846A (ja) * 2006-05-01 2009-10-01 インターナショナル・ビジネス・マシーンズ・コーポレーション 自己整合型金属シリサイド・コンタクトを形成するための方法
JP2009540603A (ja) * 2006-06-15 2009-11-19 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 低接触抵抗cmos回路およびその製造方法
JP2013232666A (ja) * 2006-07-13 2013-11-14 Tohoku Univ 半導体装置
JP2013062514A (ja) * 2006-07-13 2013-04-04 Tohoku Univ 半導体装置の製造方法
KR101377348B1 (ko) 2006-07-13 2014-03-25 고에키자이단호진 고쿠사이카가쿠 신고우자이단 반도체 장치
US7642165B2 (en) 2006-08-29 2010-01-05 Kabushiki Kaisha Toshiba Semiconductor device and fabrication method thereof
JP2008060101A (ja) * 2006-08-29 2008-03-13 Toshiba Corp 半導体装置およびその製造方法
JP2008118088A (ja) * 2006-10-11 2008-05-22 Fujitsu Ltd 半導体装置の製造方法
JP2012248873A (ja) * 2006-10-11 2012-12-13 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2012253374A (ja) * 2006-10-11 2012-12-20 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2008235618A (ja) * 2007-03-22 2008-10-02 Toshiba Corp 半導体装置およびその製造方法
JP2009135227A (ja) * 2007-11-29 2009-06-18 Toshiba Corp 半導体装置の製造方法および半導体装置
JP2009283780A (ja) * 2008-05-23 2009-12-03 Nec Electronics Corp 半導体装置およびその製造方法
JP2012156323A (ja) * 2011-01-26 2012-08-16 Tohoku Univ 半導体装置
US9385042B2 (en) 2011-01-26 2016-07-05 National University Corporation Tohoku University Semiconductor device
KR20200033738A (ko) * 2018-09-19 2020-03-30 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 마스크 없는 제조 공정 흐름을 사용한 선택적 듀얼 실리사이드 형성
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
KR102248395B1 (ko) 2018-09-19 2021-05-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 마스크 없는 제조 공정 흐름을 사용한 선택적 듀얼 실리사이드 형성
US11749682B2 (en) 2018-09-19 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
KR20220056164A (ko) * 2018-12-26 2022-05-04 충남대학교산학협력단 반도체 소자의 콘택 및 반도체 소자의 콘택 형성 방법
KR102421173B1 (ko) 2018-12-26 2022-07-18 충남대학교 산학협력단 반도체 소자의 콘택 및 반도체 소자의 콘택 형성 방법

Also Published As

Publication number Publication date
US20060038229A1 (en) 2006-02-23
CN1738060A (zh) 2006-02-22

Similar Documents

Publication Publication Date Title
JP2006060045A (ja) 半導体装置
JP4247257B2 (ja) 半導体装置の製造方法
JP4473741B2 (ja) 半導体装置および半導体装置の製造方法
TWI312544B (en) Semiconductor device, cmos device and p-type semiconductor device
TWI390630B (zh) 半導體元件的製造方法
JP2009032955A (ja) 半導体装置、およびその製造方法
TW201133793A (en) Integrated circuit structure
JP5221112B2 (ja) 半導体装置の製造方法および半導体装置
CN107068562B (zh) 三维鳍式隧穿场效应晶体管
JP4939960B2 (ja) 半導体装置およびその製造方法
TW201242022A (en) Transistors with high concentration of boron doped germanium
TW200414547A (en) Semiconductor device
JP2007242894A (ja) 半導体装置およびその製造方法
JP2008004776A (ja) 半導体装置およびその製造方法
TW200917478A (en) Semiconductor device
JP2006237372A (ja) 半導体装置
JP2006054423A (ja) 半導体装置及びその製造方法
JP2009117557A (ja) 相補型半導体装置及びその製造方法
US20060038239A1 (en) Semiconductor device and method of manufacturing the same
JP2007005721A (ja) 半導体装置およびその製造方法
JP2000150669A (ja) 半導体集積回路及びその製造方法
US20110195556A1 (en) Power mosfet with a gate structure of different material
JP4398939B2 (ja) 半導体装置
US7183593B2 (en) Heterostructure resistor and method of forming the same
US9905473B1 (en) Self-aligned contact etch for fabricating a FinFET

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070911

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070918

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071019

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071113

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080708