TWI390630B - 半導體元件的製造方法 - Google Patents
半導體元件的製造方法 Download PDFInfo
- Publication number
- TWI390630B TWI390630B TW098115788A TW98115788A TWI390630B TW I390630 B TWI390630 B TW I390630B TW 098115788 A TW098115788 A TW 098115788A TW 98115788 A TW98115788 A TW 98115788A TW I390630 B TWI390630 B TW I390630B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- metal
- semiconductor device
- forming
- gettering
- Prior art date
Links
- 238000005247 gettering Methods 0.000 title claims description 46
- 239000004065 semiconductor Substances 0.000 title claims description 42
- 238000000034 method Methods 0.000 claims description 83
- 229910052751 metal Inorganic materials 0.000 claims description 71
- 239000002184 metal Substances 0.000 claims description 71
- 239000000758 substrate Substances 0.000 claims description 51
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 41
- 239000001301 oxygen Substances 0.000 claims description 41
- 229910052760 oxygen Inorganic materials 0.000 claims description 41
- 230000008569 process Effects 0.000 claims description 40
- 238000004519 manufacturing process Methods 0.000 claims description 24
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 16
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical group [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 12
- 229910052735 hafnium Inorganic materials 0.000 claims description 12
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 claims description 12
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 claims description 12
- 229910003468 tantalcarbide Inorganic materials 0.000 claims description 12
- 239000010936 titanium Substances 0.000 claims description 12
- 229910052719 titanium Inorganic materials 0.000 claims description 11
- 229910052721 tungsten Inorganic materials 0.000 claims description 11
- 239000010937 tungsten Substances 0.000 claims description 11
- 229910052715 tantalum Inorganic materials 0.000 claims description 9
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 9
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 9
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims description 7
- 229910052750 molybdenum Inorganic materials 0.000 claims description 7
- 239000011733 molybdenum Substances 0.000 claims description 7
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 6
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 claims description 5
- 229910052732 germanium Inorganic materials 0.000 claims description 5
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 5
- 229910052726 zirconium Inorganic materials 0.000 claims description 5
- 229910004200 TaSiN Inorganic materials 0.000 claims description 4
- GPBUGPUPKAGMDK-UHFFFAOYSA-N azanylidynemolybdenum Chemical compound [Mo]#N GPBUGPUPKAGMDK-UHFFFAOYSA-N 0.000 claims description 4
- 229910000420 cerium oxide Inorganic materials 0.000 claims description 4
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims description 4
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 claims description 4
- 238000000151 deposition Methods 0.000 claims description 3
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 2
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 claims 2
- 229910052684 Cerium Inorganic materials 0.000 claims 1
- BCZWPKDRLPGFFZ-UHFFFAOYSA-N azanylidynecerium Chemical group [Ce]#N BCZWPKDRLPGFFZ-UHFFFAOYSA-N 0.000 claims 1
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 claims 1
- WXANAQMHYPHTGY-UHFFFAOYSA-N cerium;ethyne Chemical compound [Ce].[C-]#[C] WXANAQMHYPHTGY-UHFFFAOYSA-N 0.000 claims 1
- GPMBECJIPQBCKI-UHFFFAOYSA-N germanium telluride Chemical compound [Te]=[Ge]=[Te] GPMBECJIPQBCKI-UHFFFAOYSA-N 0.000 claims 1
- UNASZPQZIFZUSI-UHFFFAOYSA-N methylidyneniobium Chemical compound [Nb]#C UNASZPQZIFZUSI-UHFFFAOYSA-N 0.000 claims 1
- 239000010410 layer Substances 0.000 description 221
- 239000000463 material Substances 0.000 description 20
- 238000000231 atomic layer deposition Methods 0.000 description 16
- 238000005229 chemical vapour deposition Methods 0.000 description 12
- 238000005240 physical vapour deposition Methods 0.000 description 10
- 239000007769 metal material Substances 0.000 description 9
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 8
- 238000010521 absorption reaction Methods 0.000 description 8
- 238000002955 isolation Methods 0.000 description 8
- 229910000449 hafnium oxide Inorganic materials 0.000 description 7
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 7
- 125000006850 spacer group Chemical group 0.000 description 7
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- 229910017052 cobalt Inorganic materials 0.000 description 5
- 239000010941 cobalt Substances 0.000 description 5
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 229910021332 silicide Inorganic materials 0.000 description 5
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 229910052797 bismuth Inorganic materials 0.000 description 4
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 4
- 239000011229 interlayer Substances 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 229910052759 nickel Inorganic materials 0.000 description 4
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 4
- 230000009471 action Effects 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 239000000945 filler Substances 0.000 description 3
- 150000002739 metals Chemical class 0.000 description 3
- 229910052763 palladium Inorganic materials 0.000 description 3
- 238000007747 plating Methods 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 229910000951 Aluminide Inorganic materials 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- 229910026551 ZrC Inorganic materials 0.000 description 2
- OTCHGXYCWNXDOA-UHFFFAOYSA-N [C].[Zr] Chemical compound [C].[Zr] OTCHGXYCWNXDOA-UHFFFAOYSA-N 0.000 description 2
- CAVCGVPGBKGDTG-UHFFFAOYSA-N alumanylidynemethyl(alumanylidynemethylalumanylidenemethylidene)alumane Chemical compound [Al]#C[Al]=C=[Al]C#[Al] CAVCGVPGBKGDTG-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 150000001247 metal acetylides Chemical class 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 229960005196 titanium dioxide Drugs 0.000 description 2
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 2
- -1 tungsten nitride Chemical class 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- 229910052727 yttrium Inorganic materials 0.000 description 2
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 2
- ZXEYZECDXFPJRJ-UHFFFAOYSA-N $l^{3}-silane;platinum Chemical compound [SiH3].[Pt] ZXEYZECDXFPJRJ-UHFFFAOYSA-N 0.000 description 1
- 229910052691 Erbium Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 239000011358 absorbing material Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 150000001721 carbon Chemical class 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- UYAHIZSMUZPPFV-UHFFFAOYSA-N erbium Chemical compound [Er] UYAHIZSMUZPPFV-UHFFFAOYSA-N 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 230000003434 inspiratory effect Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 150000002736 metal compounds Chemical class 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 1
- 229910021334 nickel silicide Inorganic materials 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 229910021339 platinum silicide Inorganic materials 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- HQZPMWBCDLCGCL-UHFFFAOYSA-N tantalum telluride Chemical compound [Te]=[Ta]=[Te] HQZPMWBCDLCGCL-UHFFFAOYSA-N 0.000 description 1
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 1
- 239000004408 titanium dioxide Substances 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 229910021341 titanium silicide Inorganic materials 0.000 description 1
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 1
- 229910021342 tungsten silicide Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28518—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28185—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/322—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
- H01L21/3221—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections of silicon bodies, e.g. for gettering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/511—Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
- H01L29/513—Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Crystallography & Structural Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Description
本發明係有關於一種積體電路元件,且特別是有關於在IC元件中形成一閘極結構的方法。
隨著半導體關鍵尺寸的減小,半導體製程導入了高介電常數之閘極介電材料。此高介電常數介電質的介電常數較傳統使用的二氧化矽高,使介電層在相似的等效氧化層厚度(equivalent oxide thickness;EOTs)下相對較厚。此製程也利於導入電阻低於傳統多晶矽的金屬閘極結構。因此,含高介電常數介電質加上金屬閘極堆積的電晶體具有優勢。
然而,製造高介電常數介電質加上金屬閘極結構的製程面臨了挑戰。例如,需要在此高介電常數介電層(例如氧化鉿;HfO2
)和基材(例如矽)之間形成一界面層。此界面層的厚度也會影響此閘極結構的等效氧化層厚度(EOT)。因此,當閘極長度減少時,想要控制此界面層的厚度變得越來越為嚴苛。
因此,業界需要的是一種形成閘極結構的改良方法。
在一實施例中,本發明提供一種半導體元件的製造方法,包括:提供一半導體基材;在該半導體基材上形成一界面層;在該界面層上形成一閘極介電層;及在該閘極介電層上形成一吸氣層(gettering layer),其中該吸氣層包含一可吸收氧氣之介電成分。
在另一實施例中,本發明提供一種半導體元件的製造方法,包含:提供一基材;在該基材上形成一界面層,其中該界面層包含一二氧化矽其具有第一厚度;在該界面層上形成一吸氣層;及從該界面層吸收氧氣至該吸氣層以減少該界面層的厚度至一第二厚度。
在另一實施例中,本發明更提供一種半導體的製造方法,包含:提供一半導體基材;在該半導體基材上形成一界面層;在該界面層上形成一閘極介電層;在該閘極介電層上形成一金屬吸氣層(gettering metal layer);其中該金屬吸氣層包含一可吸收氧氣之成分;及在該基材上方的該閘極介電層上形成一金屬閘極電極。
為讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下:
以下將先說明在一基材上形成半導體元件的製程,且特別是有關於形成閘極結構的說明。在本說明書的各種例子中可能會出現重複的元件符號以便簡化描述,但這不代表在各個實施例及/或圖示之間有何特定的關連。再者,當提到某一層在另一層“之上”或“上方”,可代表兩層之間直接接觸或中間更插有其他元件或膜層。
第1圖顯示本發明一實施例形成閘極電極的方法100。第2圖至第9圖為依照第1圖之製造步驟相對應的製程剖面示意圖。方法100可包含部分或完整之積體電路的製程,包含靜態隨機存取記憶體(Static Random Access Memory;SRAM)及/或其他邏輯電路、主動元件例如P通道場效電晶體(P-channel field effect transistor;PFET)、N通道場效電晶體(N-channel field effect transistor;NFET),金氧半場效電晶體(MOSFET)、互補型金氧半場效(CMOS)電晶體、雙極電晶體(bipolar transistors)、高功率電晶體(high voltage transistor)、高頻電晶體(high frequency transistors)、記憶胞(memory cells)或前述之組合。
本方法100之起始步驟102為提供一基材例如晶圓。在第2圖的例子中為提供一基材202。在一實施例中,此基材202為包含一晶體結構的矽基材例如晶圓。此基材202可包含各種已習知且依照需求設計配置的摻質(dopant),例如p型基材或n型基材。其他例子中的基材202也包含其他元素半導體例如鍺及鑽石。或者,此基材202可包含一化合物半導體例如碳化矽(SiC)、砷化鎵(GaAs)、砷化銦(InAs)或磷化銦(InP)。更進一步的,此基材202可選擇性的包含一磊晶層(epitaxial layer;epi layer)係可扭曲以增進效能,及/或包含一絕緣層上覆矽(Silicon on Insulator;SOI)結構。更佳的實施例中,此基材202可包含形成上述之多種元件,包含主動區、隔離區例如淺溝槽隔離(Shallow Trench Isolation;STI),及/或其他已習知的元件。
步驟104(方法100)為在此基材上形成一界面層。在第3圖的例子中,一界面層302a形成於此基材202上。此界面層可包含矽、氧及/或氮。在一實施例中,此界面層302a包含二氧化矽。在一實施例中,此界面層302a的厚度t1約為6到8埃()。在另一實施例中,可用原子層沈積(ALD)或其他合適的製程形成此界面層302a(此閘極結構的界面層在第3圖至第9圖的實施例中註解為302x,x可為“a”、“b”或“c”用以代表此界面層的厚度)。
步驟106(方法100)為在此基材上形成一閘極介電層。此閘極介電層可形成在此界面層上。在一實施例中,閘極介電層包含一高介電常數材料。在第4圖的例子中,閘極介電層402包含一高介電常數材料。在一實施例中,此高介電常數包含氧化鉿(HfO2
)。在其他例子中,此高介電常數包含HfSiO、HfSiON、HfTaO、HfTiO、HfZrO或前述之組合及/或其他合適的材料。在一實施例中,額外的膜層例如蓋層(capping layers)及/或緩衝層,可形成在此界面層上方,在閘極介電層之上及/或之下。例如,一蓋層可形成在此閘極介電層與一隨後沉積的金屬閘極電極之間。此蓋層可包含一介電質或可為包含金屬氧化物成分(例如鈦及二氧化鈦)的金屬。可用原子層沈積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、氧化或其他合適的製程形成此閘極介電層。
如第4圖所示,此界面層可增加厚度成為界面層302b。厚度增加是由於製造此閘極介電層的製程(例如高溫製程)造成的影響,例如製造閘極介電層402及/或其他像是蓋層或緩衝層的夾層。在一實施例中,原子層沈積(ALD)製程(例如形成一閘極介電層)可增加此界面層的厚度。在一實施例中,此界面層302b的厚度t2約為10到12埃()。
此界面層厚度的增加可能會造成閘極介電質的厚度增加,對等效氧化層厚度(EOT)帶來負面效果,特別會使閘極長度的減小受限。因此,最好能減少或防止界面層的厚度有所增長。
步驟108(方法100)為形成一吸氣層(gettefing layer)。此吸氣層提供氧氣由界面層被吸收(或遷移)至吸氣層。可用原子層沈積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、氧化或其他合適的製程形成此吸氣層。第5圖顯示為此吸氣層502的形成。
在一實施例中,此吸氣層502為一可吸收氧氣之介電層。此介電層可包含一富含矽的介電材料。在一實施例中,此介電層包含含氮的介電質用以吸收氧氣。在一些實施例中,可吸收氧氣之介電層材料包含氮化矽(SiN)、氮氧化矽(SiON)、碳化矽(SiC)、鍺化矽(SiGe)及/或其他合適之成分。在一實施例中,此吸氣層502為一可吸收氧氣之金屬層。此可吸收氧氣之金屬層包含金屬化合物及/或金屬合金,包含鈦、鉭、鋯、鉿、鎢、鉬或前述之組合。
此吸氣層502可包含複數個吸氣層。在一實施例中,此吸氣層502包含一介電層及一金屬層。例如,包含一可收集氧氣之金屬層及一富含矽的介電層及/或含氮的介電質。
步驟110(方法100)為進行氧氣吸收。由吸氣層向界面層吸收(或遷移)氧氣。步驟110於在沉積吸氣層時同時及/或隨後進行,可參考步驟108。如第6圖所示,氧氣由界面層302b被吸收至吸氣層502。此吸氣作用包含從界面層302b釋放氧氣、擴散(或遷移)氧氣、在吸氣位置(吸氣層502)抓住氧氣。進行氧氣的吸收,特別是從界面層302b釋放氧氣,包含一熱製程(例如暴露在一高溫下)。吸收氧氣可使界面層厚度減小,也會使吸氣層的厚度增加。如第7圖所示,一界面層302c係為由一界面層302b的氧氣被吸收所形成。在一實施例中,此界面層302c的厚度t3約為0-5埃()。
在一實施例中,方法100接著進行額外的高溫製程。例如,進行一退火製程可穩定此高介電常數介電質及/或其他包含在閘極結構中的夾層。因為吸氣層的存在,此製程可在完成時使界面層僅有極小的或沒有再成長產生。此高溫製程可包含一高溫爐(furnace)、快速熱退火(rapid thermal anneal)、雷射尖峰退火(laser spike anneal)、閃光退火(flash anneal)及/或其他合適製程。
步驟112(方法100)為進行吸氣層的移除。可用乾蝕刻、電漿、濕蝕刻、剝離(stripping)、化學機械研磨(CMP)及/或其他合適製程來移除此吸氣層。以第8圖所示為例,一閘極堆疊包含基材202、界面層302c及閘極介電質402。在一實施例中,可省略步驟112使一或多個吸氣層仍保留在基材上。在另一實施例中,一或多個吸氣層仍保留在基材上並影響一金屬閘極結構的功函數。
步驟114(方法100)為在此閘極介電質上方形成一金屬閘極。以第9圖所示為例,此金屬閘極902形成在閘極介電層402上。此金屬閘極902可包含一或多層,包含鈦、氮化鈦(TiN)、氮化鉭(TaN)、鉭、碳化鉭(TaC)、氮化鉭矽化物(TaSiN)、鎢、氮化鎢(WN)、氮化鉬(MoN)、氮氧化鉬(MoON)、氧化釕(RuO2)和/或其他合適材料。此閘極可包含一或多層並由物理氣相沈積(PVD)、化學氣相沈積(CVD)、原子層沈積(ALD)、電鍍(plating)及/或其他合適製程形成。在一些實施例中,金屬可沈積包含P型金屬材料及N型金屬材料。P型金屬材料包含之成分為例如釕、鈀、鉑、鈷、鎳及導電金屬氧化物,及/或其他合適材料。N型金屬材料包含之成分為例如鉿、鋯、鈦、鉭、鋁、金屬碳化物(例如碳化鉿、碳化鋯、碳化鉿、碳化鈦、碳化鋁)、鋁化物(aluminides)及/或其他合適材料。除了功函數金屬(例如填充金屬)之外,亦可沈積其他材料,包含氮化鈦(titanium nitride)、鎢、鈦、鋁、鉭、氮化鉭、鈷、銅、鎳及/或其他合適材料。此金屬閘極可包含蓋層。
在一些實施例中,方法100可進行包括更進一步的步驟,例如形成內連線、接觸點(contacts)、蓋層及/或其他合適之元件。方法100可包含一“後閘極”(gate last)製程,其中金屬閘極為形成在一溝槽中。此溝槽是由移除一虛置閘極結構(例如犧牲多晶矽閘極)形成。或者,方法100可包含一“前閘極”(gate first)製程。
本發明提供一種形成一閘極結構的方法1000,其流程圖如第10圖所示。本方法1000可用於形成一使界面層厚度減少及/或會在隨後限制界面層厚度增加的金屬閘極。步驟1002(方法1000)為提供一基材。此基材可與第2圖中的基材202相類似。
步驟1004(方法1000)為在此基材上形成一界面層。此界面層可與第3圖中的界面層302相類似。例如在一實施例中,此界面層包含二氧化矽。
步驟1006(方法1000)為在此基材上形成一閘極介電層。此閘極介電層可與第4圖中的閘極介電層402相類似。例如在一實施例中,此閘極介電層包含一高介電常數介電質。在一些實施例中,除了此閘極介電層,還可形成一或多個額外的膜層,包含蓋層、緩衝層及類似物形成在此閘極介電層之上或是之下。
步驟1008(方法1000)為在此基材上方的閘極介電層上形成一閘極電極。在一實施例中,形成一金屬層。此閘極可與第9圖中的閘極電極902相類似。此閘極可直接形成在高介電常數介電層上方或在蓋層或緩衝層上,並可包含複數個層。此閘極至少包含一個功函數金屬層。以第11圖所示為例,此金屬閘極902形成在基材202上方,且特別是在此金屬閘極402(及/或包含其他蓋層、緩衝層)上。
步驟1010(方法1000)為在此金屬閘極上形成一吸氣層。此吸氣層包含一可吸收氧氣的材料。在一實施例中,此吸氣層包含一可吸收氧氣的金屬成分。在一些實施例中,此金屬成分包含元素金屬、化合物或合金,包含鈦、鉭、鋯、鉿、鎢、鉬其中的組合及/或其他合適材料。以第12圖所示為例,此吸氣層1202形成在金屬閘極902上。此吸氣層1202可包含多個層。此吸氣層1202可與第5圖中的吸氣層502相類似。
步驟1012(方法1000)為自界面層吸收氧氣,使用吸氣層向界面層作吸收。以第13圖所示為例,氧氣是由界面層302b被吸收至吸氣層1202。此吸收動作包含從界面層302b釋放氧氣、擴散(或遷移)氧氣、在吸氣位置(吸氣層1202)抓住氧氣。進行氧氣的吸收,特別是從界面層302b釋放氧氣包含一熱製程(例如暴露在高溫下來開始釋放)。吸收氧氣可使界面層厚度減小。如第14圖所示,一界面層302c係為由縮減第13圖的界面層302b所形成。在一實施例中,此界面層302c的厚度t4約為0-5埃()。如此,此閘極結構1300的形成包含此厚度縮小的界面層302c。
在一實施例中,本方法1000接著進行一額外的高溫製程。例如,進行一退火製程可穩定此高介電常數介電質及/或其他包含在閘極結構中的夾層。因為收氣層的存在,此製程可在完成時使界面層僅有極小的或沒有再成長產生。此高溫製程可包含一高溫爐(furnace)、快速熱退火(rapid thermal anneal)、雷射尖峰退火(laser spike anneal)、閃光退火(flash anneal)及/或其他合適製程。
在一實施例中,方法1000為進行吸氣層的移除。可用乾蝕刻、電漿、濕蝕刻、剝離(stripping)、化學機械研磨(CMP)及/或其他合適製程來移除此吸氣層。在一其他的實施例中,此吸氣層仍保留在此閘極結構上。在一實施例中,此吸氣層可用以調整或提供此金屬閘極的功函數。
在一些實施例中,方法1000可進行包括更進一步的步驟,例如形成內連線、接觸點(contacts)、蓋層及/或其他合適之元件。方法1000可包含“後閘極”製程,其中金屬閘極是形成在一溝槽中。此溝槽是由移除一虛置閘極結構(例如犧牲多晶矽閘極)形成。或者,方法1000可包含一“前閘極”製程。
第15圖顯示本發明一裝置1500,其包含閘極結構1502。此裝置1500包含基材1504、淺溝槽隔離1506、源/汲極區1508、接觸點(contacts)1510、接觸蝕刻終止層(contact etch stop layer;CESL)1512、間隔物1514、介電層(例如層間介電層;ILD)1516。此閘極結構1502包含界面層1518、閘極介電層1520、蓋層1522及金屬閘極層1524。此裝置1500可使用方法100、方法1000及/或僅用它們的部分步驟來完成。此裝置1500可用一後閘極或前閘極的製程來製造。
基材1504可與第2圖中的基材202相類似。此淺溝槽隔離1506形成在基材1504上並可用於隔離一或多個元件(例如電晶體)。此淺溝槽隔離1506可包含氧化矽、氮化矽、氮氧化矽、氟摻雜矽玻璃(FSG)及/或一低介電常數材料。其他的隔離方法及/或元件也可適用。此淺溝槽隔離1506可使用像是反應式離子蝕刻(reactive ion etch;RIE)的製程形成溝槽,接著將此溝槽填滿介電材料然後進行化學機械研磨(CMP)製程。
間隔物1514可在閘極結構1502之兩側形成。此間隔物1514的形成可擇自氮化矽、氧化矽、氮氧化矽、矽化碳、氟摻雜矽玻璃、一低介電常數介電材料及前述之組合,及/或其他合適材料。此間隔物1514可擁有一多層結構,例如包含一或多個襯層(liner layers)。此襯層可包含一介電材料例如氧化矽、氮化矽及/或其他合適材料。此間隔物1514的形成方法包含沉積適當的介電材料及非等向性的蝕刻此材料以形成間隔物1514的輪廓。
源/汲極區1508包含輕摻雜源/汲極區及重摻雜源/汲極區,配置在鄰近於此閘極結構1502的基材1504上。此源/汲極區1508可依據所想要的電晶體結構佈植p型或n型摻質或雜質進入此基材1504。此源/汲極區1508的形成方法可包含微影技術(photolithography)、離子佈植、擴散及/或其他合適製程。此接觸點1510與源/汲極區1508相連接,可包含矽化物(silicide)。
接觸點1510可藉由自對準矽化(salicide)製程形成在源/汲極區1508上。此接觸點可包含矽化鎳(nickel silicide)、矽化鈷(cobalt silicide)、矽化鎢(tungsten silicide)、矽化鉭(tantalum silicide)、矽化鈦(titanium silicide)、矽化鉑(platinum silicide)、矽化鉺(erbium silicide)、矽化鈀(palladium silicide)或前述之組合。此接觸蝕刻終止層(CESL)1512可由氮化矽、氮氧化矽及/或其他合適材料形成。此接觸蝕刻終止層(CESL)1512可選擇使用基本上能對此半導體基材1500的一或多個元件有蝕刻選擇性的成分。
介電層1516(例如一層間介電層)可配置在此基材上方的接觸蝕刻終止層(CESL)1512上,並可由化學氣相沉積(CVD)、高密度電漿CVD、旋塗(spin-on)、濺鍍(aputtering)或其他合適方法形成。此介電層1516可包含氮化矽、氮氧化矽或一低介電常數材料。在一實施例中,此介電層1516為一高密度電漿(high density plasma;HDP)介電質。
界面層1518可包含矽、氧及/或氮。在一實施例中,此界面層1518包含二氧化矽。此界面層1518的厚度約小於5埃()。此界面層1518可用原子層沈積(ALD)或其他合適的方法形成。此閘極介電層1520可與第4圖中的閘極介電層402相類似。在一實施例中,此閘極介電層1520包含一高介電常數介電質。此蓋層1522可包含金屬氧化物、金屬合金氧化物、介電質及/或其他合適材料。在一實施例中,可省略此蓋層1522。此金屬閘極1524形成此閘極結構1502的閘極電極。此金屬閘極可包含多層,例如多個金屬層。此金屬閘極1524可包含功函數層、填充層(fill layer)、蓋層及/或其他可在一金屬電極結構出現之合適的膜層。此金屬閘極1524可包含一或多層,包含鈦、氮化鈦(TiN)、氮化鉭(TaN)、鉭、碳化鉭(TaC)、氮化鉭矽化物(TaSiN)、鎢、氮化鎢(WN)、氮化鉬(MoN)、氮氧化鉬(MoON)、氧化釕(RuO2)或前述之組合。此金屬閘極1524可包含一或多層,可由物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沈積(ALD)、電鍍(plating)及/或其他合適製程形成。在一些實施例中,此金屬材料可包含P型金屬材料及N型金屬材料。P型金屬材料包含之成分為例如釕、鈀、鉑、鈷、鎳及導電金屬氧化物,及/或其他合適材料。N型金屬材料包含之成分為例如鉿、鋯、鈦、鉭、鋁、金屬碳化物(例如碳化鉿、碳化鋯、碳化鉿、碳化鈦、碳化鋁)、鋁化物(aluminides)及/或其他合適材料。除了P型及/或N型金屬之外,一填充金屬可部分沉積或完全填充剩餘的溝槽。此填充金屬可包含氮化鈦(TiN)、鎢、鈦、鋁、鉭、氮化鉭(TaN)、鈷、銅、鎳及/或其他合適材料。此填充金屬可用物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沈積(ALD)、電鍍(plating)及/或其他合適製程沉積。其他層可存在於此裝置1500上,包含吸氣層、蓋層、緩衝層、金屬層、內連線及/或其他已習知之元件。
綜上所述,本發明提供了形成薄的高電常數介電質-金屬閘極結構的方法。該方法提供一吸氣層自一界面層移除(吸收)氧氣。進行此吸收之動作可縮減界面層的厚度及/或在隨後的製程中(包含一高溫的製程)限制此界面層厚度增加。如此有益於控制此閘極結構的等效氧化層厚度。本方法提供使用一吸氧層形成在界面層上。此吸氣層可包含一介電及/或一金屬層。如上述所說,此吸氣層可從此閘極堆疊移除或保留在此結構中。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
202...基材
302a...最初之界面層
302b...經再成長之界面層
302c...移除氧氣之界面層
402...閘極介電層
502...吸氣層
902...金屬閘極
1202...吸氣層
1502...閘極結構
1504...基材
1506...淺溝槽隔離
1508...源/汲極區
1510...接觸點
1512...接觸蝕刻終止層
1514...間隔物
1516...介電層
1518...界面層
1520...閘極介電層
1522...蓋層
1524...金屬閘極層
第1圖為一實施例之流程圖,用以說明形成一閘極結構的製造方法。
第2~9圖為一系列與第1圖之流程步驟相對應之半導體元件剖面圖。
第10圖為另一實施例之流程圖,用以說明形成一閘極結構的方法。
第11-14圖為一系列與第10圖之流程步驟相對應之半導體元件剖面圖。
第15圖為另一實施例之半導體元件剖面圖,用以說明本發明在此半導體元件上的優點。
202...基材
302b...經再成長之界面層
402...閘極介電層
902...金屬閘極
1202...吸氣層
Claims (20)
- 一種半導體元件的製造方法,包括:提供一半導體基材;在該半導體基材上形成一界面層;在該界面層上形成一閘極介電層;及在該閘極介電層上形成一吸氣層(gettering layer),其中該吸氣層包含一可吸收氧氣之介電成分。
- 如申請專利範圍第1項所述之半導體元件的製造方法,其中該閘極介電層包含一高介電常數介電質。
- 如申請專利範圍第1項所述之半導體元件的製造方法,其中該界面層包含二氧化矽。
- 如申請專利範圍第1項所述之半導體元件的製造方法,其中該吸氣層(gettering layer)係擇自下列組成之族群:氮化矽(SiN)、氮氧化矽(SiON)、碳化矽(SiC)、鍺化矽(SiGe)及前述之組合。
- 如申請專利範圍第1項所述之半導體元件的製造方法,其中該吸氣層(gettering layer)包含矽。
- 如申請專利範圍第1項所述之半導體元件的製造方法,更包含:使氧氣自該界面層遷移至該吸氣層以減少該界面層的厚度。
- 如申請專利範圍第1項所述之半導體元件的製造方法,更包含:移除該吸氣層;及在該閘極介電層上形成一閘極電極,其中該閘極電 極包含金屬。
- 一種半導體元件的製造方法,包含:提供一基材;在該基材上形成一界面層,其中該界面層包含二氧化矽,且具有第一厚度;在該界面層上形成一閘極介電層;在該閘極介電層上形成一吸氣層;及從該界面層吸收氧氣至該吸氣層以減少該界面層的厚度至一第二厚度。
- 如申請專利範圍第8項所述之半導體元件的製造方法,其中使該厚度減少的步驟包含一高溫製程。
- 如申請專利範圍第8項所述之半導體元件的製造方法,更包含:移除該吸氣層;及沉積一金屬層以形成一閘極結構。
- 如申請專利範圍第10項所述之半導體元件的製造方法,其中該金屬層係擇自下列組成之族群:鈦、氮化鈦(TiN)、氮化鉭(TaN)、鉭、碳化鉭(TaC)、氮化鉭矽化物(TaSiN)、鎢、氮化鎢(WN)、氮化鉬(MoN)、氮氧化鉬(MoON)、氧化釕(RuO2 )及前述之組合。
- 如申請專利範圍第8項所述之半導體元件的製造方法,其中該第二厚度約小於5埃(Å)。
- 如申請專利範圍第8項所述之半導體元件的製造方法,更包含:在該吸氣層之下形成一金屬電極。
- 如申請專利範圍第8項所述之半導體元件的造方法,其中該吸氣層包含一可吸收氧氣之介電質。
- 如申請專利範圍第8項所述之半導體元件的製造方法,其中該吸氣層包含一可吸收氧氣之金屬。
- 一種半導體元件的製造方法,包含:提供一半導體基材;在該半導體基材上形成一界面層;在該界面層上形成一閘極介電層;在該閘極介電層上形成一金屬吸氣層(gettering metal layer);其中該金屬吸氣層包含一可吸收氧氣之成分;及在該基材上方的該閘極介電層上形成一金屬閘極電極。
- 如申請專利範圍第16項所述之半導體元件的製造方法,其中該金屬吸氣層包含一金屬係擇自下列組成之族群:鈦、鉭、鋯、鉿、鎢、鉬及前述之組合。
- 如申請專利範圍第16項所述之半導體元件的製造方法,其中該金屬閘極電極的形成包含移除該金屬吸氣層。
- 如申請專利範圍第16項所述之半導體元件的製造方法,其中該金屬閘極電極的形成包含在該金屬吸氣層之下形成該金屬閘極電極。
- 如申請專利範圍第16項所述之半導體元件的製造方法,其中該金屬閘極電極係擇自下列組成之族群:鈦、氮化鈦(TiN)、氮化鉭(TaN)、鉭、碳化鉭(TaC)、氮 化鉭矽化物(TaSiN)、鎢、氮化鎢(WN)、氮化鉬(MoN)、氮氧化鉬(MoON)、氧化釕(RuO2 )及前述之組合。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US9166408P | 2008-08-25 | 2008-08-25 | |
US12/257,165 US7989321B2 (en) | 2008-08-21 | 2008-10-23 | Semiconductor device gate structure including a gettering layer |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201009936A TW201009936A (en) | 2010-03-01 |
TWI390630B true TWI390630B (zh) | 2013-03-21 |
Family
ID=41696773
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW098115788A TWI390630B (zh) | 2008-08-25 | 2009-05-13 | 半導體元件的製造方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US7989321B2 (zh) |
CN (1) | CN101661883B (zh) |
TW (1) | TWI390630B (zh) |
Families Citing this family (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102008062040B4 (de) * | 2007-12-13 | 2015-06-03 | Sumco Corporation | Epitaxiewafer und Verfahren zu dessen Herstellung |
US7964487B2 (en) * | 2008-06-04 | 2011-06-21 | International Business Machines Corporation | Carrier mobility enhanced channel devices and method of manufacture |
US20100102393A1 (en) * | 2008-10-29 | 2010-04-29 | Chartered Semiconductor Manufacturing, Ltd. | Metal gate transistors |
CN102194692A (zh) * | 2010-03-04 | 2011-09-21 | 中国科学院微电子研究所 | 一种半导体器件的制造方法 |
CN102222616B (zh) * | 2010-04-14 | 2013-04-17 | 中国科学院微电子研究所 | 一种半导体器件的制造方法 |
CN102237398B (zh) * | 2010-04-20 | 2013-09-04 | 中国科学院微电子研究所 | 半导体结构及其形成方法 |
CN102299061B (zh) * | 2010-06-22 | 2014-05-14 | 中国科学院微电子研究所 | 一种半导体器件的制造方法 |
US20120032172A1 (en) * | 2010-08-06 | 2012-02-09 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device |
FR2965661A1 (fr) | 2010-10-04 | 2012-04-06 | St Microelectronics Crolles 2 | Procédé de fabrication de transistors mos a différents types d'empilements de grilles |
US7993987B1 (en) | 2010-10-14 | 2011-08-09 | International Business Machines Corporation | Surface cleaning using sacrificial getter layer |
JP2012209331A (ja) * | 2011-03-29 | 2012-10-25 | Renesas Electronics Corp | 半導体集積回路装置の製造方法 |
US8532796B2 (en) | 2011-03-31 | 2013-09-10 | Tokyo Electron Limited | Contact processing using multi-input/multi-output (MIMO) models |
US8860143B2 (en) | 2011-05-16 | 2014-10-14 | Tsinghua University | High-K gate dielectric with work function adjustment metal layer |
WO2012155392A1 (en) * | 2011-05-16 | 2012-11-22 | Tsinghua University | Semiconductor structure and method for forming the same |
US20130078780A1 (en) * | 2011-09-22 | 2013-03-28 | Chin-Fu Lin | Semiconductor process |
US9076889B2 (en) * | 2011-09-26 | 2015-07-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Replacement gate semiconductor device |
US8633118B2 (en) * | 2012-02-01 | 2014-01-21 | Tokyo Electron Limited | Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging |
US8865538B2 (en) | 2012-03-30 | 2014-10-21 | Tokyo Electron Limited | Method of integrating buried threshold voltage adjustment layers for CMOS processing |
CN103545189A (zh) * | 2012-07-16 | 2014-01-29 | 中国科学院微电子研究所 | 栅极结构、半导体器件和两者的形成方法 |
US8865581B2 (en) | 2012-10-19 | 2014-10-21 | Tokyo Electron Limited | Hybrid gate last integration scheme for multi-layer high-k gate stacks |
CN103928326B (zh) * | 2013-01-10 | 2017-06-13 | 中芯国际集成电路制造(上海)有限公司 | 晶体管的形成方法 |
US9647094B2 (en) | 2013-08-02 | 2017-05-09 | University Of Kentucky Research Foundation | Method of manufacturing a semiconductor heteroepitaxy structure |
KR102099881B1 (ko) | 2013-09-03 | 2020-05-15 | 삼성전자 주식회사 | 반도체 소자 및 그 제조 방법 |
US9236453B2 (en) * | 2013-09-27 | 2016-01-12 | Ememory Technology Inc. | Nonvolatile memory structure and fabrication method thereof |
JP6785848B2 (ja) * | 2015-12-30 | 2020-11-18 | マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. | ミリ秒アニールシステムのためのガスフロー制御 |
DE102016101670B4 (de) | 2016-01-29 | 2022-11-03 | Infineon Technologies Ag | Ein Halbleiterbauelement und ein Verfahren zum Bilden eines Halbleiterbauelements |
DE102016104520B4 (de) | 2016-03-11 | 2022-07-14 | Infineon Technologies Austria Ag | Halbleiterbauelemente und ein Verfahren zum Bilden eines Halbleiterbauelements |
TWI713117B (zh) * | 2017-01-05 | 2020-12-11 | 聯華電子股份有限公司 | 製作金屬閘極結構的方法 |
US11296203B2 (en) | 2017-12-26 | 2022-04-05 | Intel Corporation | Switching device having gate stack with low oxide growth |
US20190206691A1 (en) * | 2018-01-04 | 2019-07-04 | Applied Materials, Inc. | High-k gate insulator for a thin-film transistor |
CN113042160A (zh) * | 2021-03-10 | 2021-06-29 | 南京华东电子真空材料有限公司 | 一种应用于极紫外设备的吸气剂及制备装置 |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5348894A (en) * | 1993-01-27 | 1994-09-20 | Texas Instruments Incorporated | Method of forming electrical connections to high dielectric constant materials |
US6548382B1 (en) * | 1997-07-18 | 2003-04-15 | Silicon Genesis Corporation | Gettering technique for wafers made using a controlled cleaving process |
US5989984A (en) * | 1997-10-07 | 1999-11-23 | Lucent Technologies, Inc. | Method of using getter layer to improve metal to metal contact resistance at low radio frequency power |
KR100635685B1 (ko) * | 1998-05-25 | 2006-10-17 | 가부시키가이샤 히타치세이사쿠쇼 | 반도체장치 및 그 제조방법 |
US6373111B1 (en) * | 1999-11-30 | 2002-04-16 | Intel Corporation | Work function tuning for MOSFET gate electrodes |
US20020197935A1 (en) * | 2000-02-14 | 2002-12-26 | Mueller Brian L. | Method of polishing a substrate |
JP5088993B2 (ja) * | 2001-02-16 | 2012-12-05 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
US7052943B2 (en) * | 2001-03-16 | 2006-05-30 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device |
US20030155655A1 (en) * | 2002-02-20 | 2003-08-21 | International Business Machines Corporation | Integrated, active, moisture and oxygen getter layers |
US20030183915A1 (en) * | 2002-04-02 | 2003-10-02 | Motorola, Inc. | Encapsulated organic semiconductor device and method |
US7063893B2 (en) * | 2002-04-29 | 2006-06-20 | Cardinal Cg Company | Low-emissivity coating having low solar reflectance |
US7091110B2 (en) * | 2002-06-12 | 2006-08-15 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device by gettering using a anti-diffusion layer |
US6645857B1 (en) * | 2002-07-22 | 2003-11-11 | Lsi Logic Corporation | Key hole filling |
US7374976B2 (en) * | 2002-11-22 | 2008-05-20 | Semiconductor Energy Laboratory Co., Ltd. | Method for fabricating thin film transistor |
US20040152240A1 (en) * | 2003-01-24 | 2004-08-05 | Carlos Dangelo | Method and apparatus for the use of self-assembled nanowires for the removal of heat from integrated circuits |
US6797572B1 (en) * | 2003-07-11 | 2004-09-28 | Advanced Micro Devices, Inc. | Method for forming a field effect transistor having a high-k gate dielectric and related structure |
KR100538444B1 (ko) * | 2003-12-31 | 2005-12-22 | 동부아남반도체 주식회사 | 비아 홀 및 트렌치 형성 방법 |
KR101132266B1 (ko) * | 2004-03-26 | 2012-04-02 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치의 제조 방법 |
US7279413B2 (en) * | 2004-06-16 | 2007-10-09 | International Business Machines Corporation | High-temperature stable gate structure with metallic electrode |
US20060154093A1 (en) * | 2005-01-13 | 2006-07-13 | General Electric Company | Multilayered environmental barrier coating and related articles and methods |
US7160779B2 (en) * | 2005-02-23 | 2007-01-09 | Intel Corporation | Method for making a semiconductor device having a high-k gate dielectric |
TWI271778B (en) * | 2005-09-09 | 2007-01-21 | Ind Tech Res Inst | A semiconductor structure and a method thereof |
CN1949532A (zh) | 2005-10-12 | 2007-04-18 | 财团法人工业技术研究院 | 半导体结构及其制造方法 |
US8329563B2 (en) * | 2006-02-24 | 2012-12-11 | Mitsubishi Denki Kabushiki Kaisha | Semiconductor device including a gettering layer and manufacturing method therefor |
WO2007124291A2 (en) * | 2006-04-19 | 2007-11-01 | Cardinal Cg Company | Opposed functional coatings having comparable single surface reflectances |
JP2008060220A (ja) * | 2006-08-30 | 2008-03-13 | Disco Abrasive Syst Ltd | ゲッタリング層形成装置 |
US7611972B2 (en) * | 2006-11-29 | 2009-11-03 | Qimonda North America Corp. | Semiconductor devices and methods of manufacture thereof |
US20080183235A1 (en) * | 2007-01-31 | 2008-07-31 | Stancer Christopher C | Insulative shroud for plate-type electrodes adapted for chronic implantation |
US20090152651A1 (en) * | 2007-12-18 | 2009-06-18 | International Business Machines Corporation | Gate stack structure with oxygen gettering layer |
US8679962B2 (en) * | 2008-08-21 | 2014-03-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit metal gate structure and method of fabrication |
-
2008
- 2008-10-23 US US12/257,165 patent/US7989321B2/en active Active
-
2009
- 2009-05-13 TW TW098115788A patent/TWI390630B/zh active
- 2009-05-26 CN CN200910141835.8A patent/CN101661883B/zh active Active
Also Published As
Publication number | Publication date |
---|---|
US20100048010A1 (en) | 2010-02-25 |
CN101661883B (zh) | 2015-09-02 |
TW201009936A (en) | 2010-03-01 |
CN101661883A (zh) | 2010-03-03 |
US7989321B2 (en) | 2011-08-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI390630B (zh) | 半導體元件的製造方法 | |
US20210265479A1 (en) | Integrated circuit metal gate structure and method of fabricating thereof | |
TWI437708B (zh) | 用於場效應電晶體之閘極電極以及場效應電晶體 | |
US8735235B2 (en) | Integrated circuit metal gate structure and method of fabrication | |
TWI408735B (zh) | 半導體元件的製造方法 | |
US9166020B2 (en) | Metal gate structure and manufacturing method thereof | |
CN102129978B (zh) | 半导体元件的形成方法 | |
US20130075833A1 (en) | Multi-layer scavenging metal gate stack for ultra-thin interfacial dielctric layer | |
US9496367B2 (en) | Mechanism for forming metal gate structure | |
TW201013792A (en) | Semiconductor device and fabrication method thereof | |
TW201314790A (zh) | 具有金屬閘極堆疊之半導體裝置之製造方法 | |
US9922827B2 (en) | Method of forming a semiconductor structure | |
JP2011187478A (ja) | 半導体装置およびその製造方法 | |
JP2006313784A (ja) | 半導体装置およびその製造方法 | |
US20080146012A1 (en) | Novel method to adjust work function by plasma assisted metal incorporated dielectric | |
TW201729238A (zh) | 半導體元件結構及其形成方法 | |
US9941152B2 (en) | Mechanism for forming metal gate structure | |
US9029225B2 (en) | Method for manufacturing N-type MOSFET | |
US8889554B2 (en) | Semiconductor structure and method for manufacturing the same | |
CN108321121B (zh) | 后栅型半导体器件的制造方法 |