JP5769160B2 - コンタクト形成方法、半導体装置の製造方法、および半導体装置 - Google Patents

コンタクト形成方法、半導体装置の製造方法、および半導体装置 Download PDF

Info

Publication number
JP5769160B2
JP5769160B2 JP2008279536A JP2008279536A JP5769160B2 JP 5769160 B2 JP5769160 B2 JP 5769160B2 JP 2008279536 A JP2008279536 A JP 2008279536A JP 2008279536 A JP2008279536 A JP 2008279536A JP 5769160 B2 JP5769160 B2 JP 5769160B2
Authority
JP
Japan
Prior art keywords
layer
metal
semiconductor device
type
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008279536A
Other languages
English (en)
Other versions
JP2010109143A (ja
Inventor
大見 忠弘
忠弘 大見
寺本 章伸
章伸 寺本
達典 磯貝
達典 磯貝
田中 宏明
宏明 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tohoku University NUC
Original Assignee
Tohoku University NUC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2008279536A priority Critical patent/JP5769160B2/ja
Application filed by Tohoku University NUC filed Critical Tohoku University NUC
Priority to DE112009002611T priority patent/DE112009002611T5/de
Priority to US13/126,249 priority patent/US8575023B2/en
Priority to PCT/JP2009/068233 priority patent/WO2010050405A1/ja
Priority to CN2009801429011A priority patent/CN102197461A/zh
Priority to KR1020117009210A priority patent/KR101278119B1/ko
Priority to KR1020127024359A priority patent/KR101288645B1/ko
Priority to TW098136484A priority patent/TWI489553B/zh
Publication of JP2010109143A publication Critical patent/JP2010109143A/ja
Application granted granted Critical
Publication of JP5769160B2 publication Critical patent/JP5769160B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は、IC、LSI等に広く使われるMIS型半導体装置に関し、特に、ソース・ドレイン電極の構成および/またはゲート電極の構成に関する。
半導体装置において、動作周波数の向上等、性能向上が強く望まれている。しかし、半導体装置において、主に電流の流れる2つの主電極間における直列抵抗が、性能向上の妨げとなっている。この直列抵抗を減らす必要のあること、特に半導体領域と電極との間のコンタクト抵抗を大幅に低減する必要のあることは、特許文献1に示されている。
特許文献1では、n+シリコン領域とコンタクトする電極の材料としてn+シリコン領域の仕事関数−4.05eVに近い仕事関数を有する、Er、Mg、Mn、Cd、Hf、Y、Zr、を使用すること、p+シリコン領域とコンタクトする電極の材料としてp+シリコン領域の仕事関数−5.15eVに近い仕事関数を有する、Pd、Pt、Co、Ni、Ir、を使用すること、を提案している。
しかしながら、特許文献1では、これらの材料の中で何が実用的に好ましいかは充分には解明されていない。また、発明者等の知見によれば、コンタクト部でのシリサイド形成等の熱処理において、シリサイドやゲート金属に酸素が混入することが避けられず、そのためコンタクトシリサイドやゲート金属の抵抗増加が避けられないという問題もあった。
国際公開番号WO2008/007748
従って、本発明は、実用的なコンタクト形成方法を提供することにある。
また、本発明は、コンタクトシリサイドやゲート電極金属への酸素の混入を防止した半導体装置を提供することにある。
本発明の第1の態様によれば、半導体装置の所定領域へ金属半導体化合物によるコンタクトを形成する方法であって、前記所定領域がn型半導体領域の場合は当該半導体の伝導帯の底のエネルギーの絶対値に0.3eVを加えた値よりも絶対値の小さな仕事関数をもち、前記所定領域がp型半導体領域の場合は当該半導体の価電子帯の頂上のエネルギーの絶対値から0.3eVを引いた値よりも絶対値の大きな仕事関数をもつような第1の金属の層を前記所定領域に設ける工程と、前記第1の金属の酸化を防止するための第2の金属の層を前記第1の金属の層上に設ける工程と、熱処理によって前記第1の金属のみを前記半導体との化合物化する工程とを含むことを特徴とするコンタクト形成方法が得られる。ここで、半導体としてはSi、Ge、SiGe、SiC等が挙げられる。
本発明の第2の態様によれば、半導体装置のp型またはn型コンタクト領域となるべきシリコン部分へ、前記コンタクト領域がn型の場合はシリコンの伝導帯の底のエネルギーの絶対値に0.3eVを加えた値よりも絶対値の小さな仕事関数をもち、前記コンタクト領域がp型の場合はシリコンの価電子帯の頂上のエネルギーの絶対値から0.3eVを引いた値よりも絶対値の大きな仕事関数をもつような第1の金属の層を設ける工程と、前記第1の金属の酸化を防止するための第2の金属の層を前記第1の金属の層上に設ける工程と、前記第1の金属のみを前記シリコン部分と反応させ前記第1の金属のシリサイドを形成する工程とを含むことを特徴とする半導体装置の製造方法が得られる。
本発明の第3の態様によれば、半導体装置のp型またはn型コンタクト領域であるシリコン部分の表面に、前記コンタクト領域がn型の場合はシリコンの伝導帯の底のエネルギーの絶対値に0.3eVを加えた値よりも絶対値の小さな仕事関数をもち、前記コンタクト領域がp型の場合はシリコンの価電子帯の頂上のエネルギーの絶対値から0.3eVを引いた値よりも絶対値の大きな仕事関数をもつような第1の金属のシリサイドの層が設けられており、前記シリサイドの層の酸素混入量が1質量%以下であることを特徴とする半導体装置が得られる。
本発明によれば、コンタクトでの抵抗率の小さい実用的なコンタクトを形成することができる。また、本発明によれば、シリサイド化に際して、酸素の混入を防ぐことができる。
本発明の実施例を説明する前に、まず図1および図2を参照して、本発明に係るコンタクト金属の特性等について説明する。
まず、図1(A)に示すように、シリコン基板100の一表面上に、絶縁膜101を形成する。尚、シリコン基板100の裏面には、アルミニウム等によって形成された半導体側電極120が形成されている。シリコン基板100としては、p型のものとn型のものとを別々に用意して用いる。シリコン基板100の不純物濃度は、いずれも1×1015cm-3の低濃度にしてある。実際のデバイスでコンタクト領域の不純物はもっと高濃度であるが、ここでは、コンタクト金属シリサイドの仕事関数測定のために、このような低濃度を用いている。
次に、シリコン基板100の表面に形成された絶縁膜101に開口部102を設ける。この結果、シリコン基板100は開口部102において選択的に露出している。この露出部分(コンタクト領域)の面積は、1×10-3cm2である。シリコン基板100を露出する工程及び洗浄する工程は、高清浄な窒素雰囲気中で行なわれ、大気に曝されない状態で金属形成装置(図示せず)に搬送される。このように、高清浄な窒素雰囲気で大気に曝されない状態で搬送が行われるため、低仕事関数金属成膜前に、シリコン基板100の表面に、自然酸化膜が成長するのを抑制できる。
続いて、前記シリコン基板100上に、低仕事関数金属層10を金属形成装置で形成する。不純物の混入を極力抑えるため、金属形成の方法はスパッタリング法が望ましい。低仕事関数金属層10を形成する金属材料は、シリコン基板100と金属シリサイドを形成すると共に、当該シリコン基板100に対して特定の仕事関数を有する金属材料から選択される。
ここで、図16及び17を参照して、n型シリコンの場合と、p型シリコンの場合とに分けて、選択されるべき金属材料について説明する。図16には、n型シリコンのバンド構造が示されており、図16に示されているように、n型シリコンは、5.17eVの価電子帯の頂上のエネルギー準位(Ev)、4.05eVの伝導帯底のエネルギー準位(Ec)、4.61eVの真性準位(Ei)とを有し、価電子帯の頂上と伝導体底との間のエネルギーギャップ(EG)は1.12eVである。また、n型シリコンの仕事関数は、フェルミ準位と真空準位(Es)との間のエネルギー差によってあらわされ、且つ、n型シリコンのフェルミ準位(EF)は伝導帯底のエネルギー準位(Ec)と等しく、4.05eV程度である。本発明で使用される金属材料は、伝導帯の底のエネルギー(Ec)の絶対値(4.05eV)に0.3eVを加えた値(即ち、4.35eV)よりも絶対値の小さい仕事関数を持つ金属材料が選択される。即ち、図16の斜線で示された領域に仕事関数を持つ金属材料、例えば、エルビウム(Er:仕事関数は3.2eV)、ホルミウム(Ho:仕事関数3.1eV)、サマリウム(Sm: 仕事関数2.7eV)、イッテルビウム(Yb:仕事関数2.6eV)等、希土類金属が選択される。
一方、図17には、p型シリコンのバンド構造が示されており、p型シリコンは、n型シリコンと同様に、5.17eVの価電子帯の頂上のエネルギー準位(Ev)、4.05eVの伝導帯底のエネルギー準位(Ec)、4.61eVの真性準位(Ei)とを有し、価電子帯の頂上と伝導体底との間のエネルギーギャップ(EG)は1.12eVである。しかし、p型シリコンの仕事関数は、フェルミ準位と真空準位(Es)との間のエネルギー差によってあらわされ、且つ、p型シリコンのフェルミ準位(EF)は価電子帯頂上のエネルギー準位(Ev)と等しく、5.17eV程度である。本発明でp型シリコンとコンタクトを形成するために使用される金属材料は、シリコンの価電子帯の頂上のエネルギーの絶対値(5.17eV)から0.3eVを引いた値(即ち、4.87eV)より絶対値の大きな仕事関数を持つ金属材料から選択される。即ち、図17の斜線で示された領域に仕事関数を持つ材料、例えば、パラジウム(Pd:4.9eV)、イリジウム(Ir:5.35eV)、白金(Pt:5.65eV)が選択される。
また、シリコン基板100のシリコン消費量を低減する目的で、スパッタリングターゲットとして、シリコン基板100がn型の場合はシリコンの伝導帯の底のエネルギーの絶対値に0.3eVを加えた値よりも絶対値の小さな仕事関数をもち、前記シリコン基板がp型の場合はシリコンの価電子帯の頂上のエネルギーの絶対値から0.3eVを引いた値よりも絶対値の大きな仕事関数をもつ希土類金属のシリサイドを用いることも出来る。
次に、前記低仕事関数金属を形成したシリコン基板100を大気に曝さないように、減圧化の窒素、アルゴン等の不活性ガス雰囲気において第2の金属成膜チャンバーに搬送し、酸化防止金属層12を成膜する。酸化防止金属層12は、タングステン(W)を用いるのが望ましいが、他の単体金属でも良いし、金属窒化物等の化合物を用いることも可能である。いずれにせよ、シリサイド化の高温に耐えられる材料でなければならない。
続いて、600℃で熱処理を行い、図1(B)に示すように、低仕事関数金属層10を前記シリコン基板100と固相反応させ、シリサイド層11を形成する。シリサイド化されない絶縁膜101上の低仕事関数金属層10は、未反応のまま絶縁膜101上に残されている。
シリサイド層11形成のための熱処理は、前記低仕事関数金属層11の成膜装置、及び、酸化金属層12の成膜装置を含むクラスタ化された熱処理装置で行っても良い。しかしながら、実際には、前記酸化防止金属層12の効果により、大気中を搬送しても下部の低仕事関数金属層10の酸化は防止出来るため、前記成膜装置から搬出して別の熱処理装置で処理することも可能である。熱処理時の雰囲気は高清浄な窒素、アルゴン等の不活性ガス雰囲気が望ましい。
シリサイド層11が形成された後、所定パターン以外の酸化防止金属層12および絶縁膜101上の未反応の低仕事関数金属層を除去する。この後、希釈水素雰囲気でシンタリングすることも可能である。
図1に示された構造は、シリコン基板のコンタクト領域が高濃度n型領域であれば、コンタクト構造として用いることができるが、その際には低仕事関数金属層10上に酸化防止金属層12が積層されているため、酸化防止金属層12は半導体装置の上部電極としてそのまま用いることができる。即ち、図示された酸化防止金属層12は上部電極としても兼用して使用されることができる。
図2を参照すると、図1に示された構造(ショットキーダイオードともいえる)の温度特性が示されている。図2では、ホルミウム(Ho)またはエルビウム(Er)とシリコンとのシリサイド層11(即ち、HoSi、ErSi)を形成した場合の温度特性が、それぞれ図2(A)および図2(B)に示されている。尚、この例では、酸化防止金属層12としてタングステン層が使用され、p型シリコン基板及びn型シリコン基板として、1×1015cm-3の不純物濃度を有するものを使用した。
図2(A)及び図2(B)にそれぞれ示されたp型シリコン基板およびn型シリコン基板に対するそれぞれの特性の傾斜から、ホルミウム(Ho)シリサイドについては電子に対して0.301eVという低い障壁高(バリア・ハイト)を持ち、エルビウム(Er)シリサイドついては電子に対して0.311eVという同様に低い障壁高(バリア・ハイト)を持つことが判明した。なお、エルビウム(Er)よりもホルミウム(Ho)の方がより低い障壁高さになる。
このように、ホルミウム(Ho)シリサイドやエルビウム(Er)シリサイドはn型シリコンに対して低い障壁高さを持つので、n型高濃度領域へのコンタクト抵抗は1×10-9Ωcm2以下の極めて小さなものとすることができた。
ここで、酸化防止金属層12として形成されるタングステン(W)層の効果について説明しておく。
図3(A)及び(B)を参照すると、シリコン基板上に、エルビウム(Er)層及びタングステン(W)層を堆積した状態における断面のSEM画像、及び、W層形成後アニールした場合における断面のSEM画像がそれぞれ示されている。尚、図3(A)の状態では、エルビウム層及びタングステン層はそれぞれ100nmの膜厚を有していた。
図3(A)及び(B)を比較しても明らかな通り、シリコン基板とエルビウム(Er)層との間でシリサイド化反応が生じ、その結果、エルビウムの膜厚が厚くなって、エルビウムシリサイド(ErSi)が形成されていることが判る。他方、タングステン(W)層の膜厚は全く変化していない。このことは、タングステン層が下地のエルビウム層の酸化防止機能を備えていることを示している。
同様に、図4(A)及び(B)を参照すると、シリコン基板上に、ホルミウム(Ho)層及びタングステン(W)層を堆積した状態における断面、及び、W層形成後アニールした場合における断面がそれぞれ示されている。尚、図4(A)の状態では、ホルミウム層及びタングステン層はそれぞれ100nmの膜厚を有していた。
図4(A)及び(B)を比較しても明らかなように、シリコンとホルミウムとの間のシリサイド化反応が生じ、ホルミウム・シリサイド層が形成される一方、タングステン層の膜厚は全く変化していない。このことから、タングステン層はホルミウム層の酸化を防止する酸化防止機能を備えている。
即ち、タングステン層は、希土類金属によって形成された低仕事関数金属層の酸化を防止する酸化防止層として役立つことがわかる。
次に、図5を参照すると、タングステン層の酸化防止層としての機能をより明確にするために、XPSによる分析結果が示されている。図示された例では、図5の右端に示されているように、シリコン基板(Sisub)に、50nmのホルミウム・シリサイド層(HoSi)及び50nmのタングステン層(W)を形成した場合における分析結果が示されている。この例は、ホルミウム層及びタングステン層を積層した後、500℃で10分間アニールした場合における深さ方向分析結果である。
図5には、タングステン(W)、ホルミウム(H)、シリコン(Si)、及び酸素(O)の分析結果が示され、タングステンはタングステン層のみで検出されると共に、酸素は最表面だけで検出されている。このことは、タングステンが最表面だけで酸化され、下層のホルミウム層に拡散していないことを示している。
他方、シリコン基板とホルミウム層との間では、シリコンがホルミウム層にも拡散して、ホルミウム・シリサイドが形成されていることが判る。したがって、タングステン層は、下地層のホルミウム層の酸化を防止した状態で、ホルミウム・シリサイド化を実現していることが判る。分析の結果、ホルミウム・シリサイドによって形成されたシリサイド層の酸素混入量は、1質量%以下であった。
図6(A)、(B)を参照すると、ショットキーバイリアハイト(SBH)とアニール温度との関係が示されており、図6(A)はp型シリコン基板におけるエルビウム(Er)及びホルミウム(Ho)のホールに対するSBHを示し、他方、図6(B)はn型シリコン基板におけるエルビウム(Er)及びホルミウム(Ho)の電子に対するSBHを示している。
図6(A)、(B)において、点状ドットはホルミウムの特性、正方ドットはエルビウムの特性であり、両者とも略同一の特性を示すことが判る。図6(A)に示すように、ホールに対するSBHは、100℃において0.675eV程度であり、アニール温度の上昇と共に、600℃まで上昇して行き、0.75eVに達している。更に、650℃を超えて700℃になると、エルビウムは0.60eVまでSBHが低下していることが判る。これはエルビウムがタングステンと反応したためと推測される。尚、アニール温度が高くなると、ホルミウムのSBHが若干高くなっている。
一方、図6(B)に示すように、エルビウム及びホルミウムのいずれにおいても、電子に対するSBHは、アニール温度の上昇と共に低下しており、エルビウム及びホルミウムは共に略同一の特性を示すことが判る。エルビウムは、100℃程度のアニール温度で、0.425eVのSBHを示し、600℃のアニール温度で0.30eVのSBHを示している。尚、500℃〜650℃のアニール温度では、ホルミウムの電子に対するSBHが、エルビウムの電子に対するSBHよりも低いことが判る。また、エルビウムの場合、700℃でアニールすると、タングステンと反応して、SBHは0.425eVと上昇している。
図7を参照して、本発明の第1の実施例に係る半導体装置を説明する。ここでは、本発明に係る半導体装置として、インバージョン・モードnチャネル電界効果トランジスタ(MOSFET)を例にとって説明する。第1の実施例は、多層配線構造をもつ複雑な集積回路を製造する場合に、本発明を適用してn型シリコン領域に低抵抗コンタクトを形成することを特徴としている。
図7(A)に示されているように、本発明の第1の実施例に係るnチャネル電界効果トランジスタは、シリコン基板20の素子分離領域22によって分離された素子領域内に形成される。シリコン基板20としては、例えば、p型シリコン基板が使用される。図示された例では、素子領域内に、p+ウェル領域24が形成され、p+ウェル領域24内に、シリコン酸化膜等によって形成されたゲート絶縁膜26及びポリシリコン等によって形成されたゲート電極28が設けられている。図には示されてはいないが、ソース・ドレインとなるべき領域には、浅い注入領域が形成される。
その後、ゲート電極26との絶縁性を確保するために、シリコン酸化膜あるいはシリコン窒化膜からなるサイドウォール30がゲート絶縁膜26及びゲート電極28上に形成される。続いて、全表面にBPSG(Boro−Phospho Silicate Glass)によって形成された層間絶縁膜31が形成された後、選択的にエッチングされソース・ドレイン領域32が開口、露出される。
その後、n型不純物(ここでは、砒素As)のイオン注入が行われ、ソース・ドレイン領域32が形成される。続いて、熱処理により注入された不純物の活性化を行なうが、この工程は以下に説明する金属形成の後に行なうことも可能である。活性化の結果、ソース・ドレイン領域32の不純物濃度は2×1020cm-3となった。
本発明に係る第1の実施例では、上記イオン注入後のソース・ドレイン領域32のシリコン表面を、図1で説明した場合と同様に、高清浄な窒素雰囲気中で露出させる。
露出したソース・ドレイン領域32表面には、低仕事関数金属層34が金属形成装置内で形成される。この場合、不純物の混入を極力抑えるため、金属形成の方法はスパッタリング法が望ましい。ここでは、Ar雰囲気でのスパッタリングによってホルミウム(Ho)を10nm成膜した。なお、スパッタリングの雰囲気はXeでもよく、Hoの代わりにErでもよい。低仕事関数金属層34の材料としては、前述したとおり、ホルミウム(Ho)又はエルビウム(Er)が望ましいが、サマリウム(Sm)、イッテルビウム(Yb)等、他の希土類金属も使用可能である。
また、シリコン基板20のシリコン消費量を低減する目的で、スパッタリングターゲットとして、希土類金属のシリサイドを用いることも出来る。
即ち、低仕事関数金属層34は、ソース・ドレイン領域32と金属シリサイドを形成すると共に、ソース・ドレイン領域32がn型の場合はシリコンの伝導帯の底のエネルギーの絶対値に0.3eVを加えた値よりも絶対値の小さな仕事関数をもつ金属材料によって形成されれば良い。
低仕事関数金属層34の形成後、シリコン基板20を大気に曝さないように、減圧化の窒素、アルゴン等の不活性ガス雰囲気において第2の金属成膜チャンバーに搬送し、酸化防止金属層36を露出している表面全体に成膜する。ここで、酸化防止金属層36は、タングステン(W)を用い、スパッタチャンバーでAr雰囲気中、Wを300nmスパッタリング形成した。
この後、図1で説明した場合と同様の方法で低仕事関数金属層34と酸化防止金属層36を600℃で熱処理(アニール)することによって、図7(B)に示すように、ホルミウム・シリサイド等のシリサイド層34aを形成する。アニールは、ArまたはN2雰囲気中、300〜700℃で、2分〜60分行えばよい。最適値は、HoでもErでも、600℃、10分程度である。これでHoまたはErの厚さ全体がシリサイドになり、バリアハイトも最小になる。700℃以上では、Wとの反応が生じて、バリアハイトが急増してしまう。
このとき、酸化防止金属層36の厚さを上記のように低仕事関数金属層34よりも厚くすることにより、シリサイド層34aの表面粗さを低減することが可能となり、浅いpn接合の破壊を防止出来る。即ち、Wがないと、アニール時にHo(またはEr)が動いてしまってシリコンとの界面に欠陥が生じてしまう(シリサイドの表面に激しい凹凸ができる)が、Wが存在すると、その動きが抑えられて、欠陥が生じないことが分かった。Wの厚さが100nm以上あればこのような効果がある。Wの必要厚さはHo(又はEr)の厚さによっても変わるが、要は、シリサイド層34aの表面が所定の平坦さとなるように、酸化防止金属層36の厚さを選択すればよい。
図7(B)では、シリサイド層34a形成後、薬液処理、あるいは、プラズマエッチングにより酸化防止金属層36を選択的に除去する。図7(B)に示された例では、シリサイド層34a上のみ酸化防止金属層36が残され、他の領域の酸化防止金属層36は除去されている。
続いて、酸化防止金属層36の表面酸化膜を除去し、全表面に、層間絶縁膜40がCVD法により形成される。この場合の層間絶縁膜40は種々の材料によって形成することができる。以後、コンタクトホール開口、およびCu、またはAl等による配線形成は通常行なわれている製造方法と同一の方法で行なうことが出来る。この場合、酸化防止層36をシリサイド層34a上も含め全て除去して、シリサイド層に直接またはバリア導電層を介して、配線を形成しても良い。勿論、更にその上には多層配線層が形成されても良い。
いずれの場合においても、ソース・ドレイン領域32を形成するn型シリコンに対して10-9Ωcm2以下の低抵抗コンタクトが得られる。
第1の実施例はインバージョン・モードnチャネル電界効果トランジスタについて説明したが、本発明はインバージョン・モードpチャネル電界効果トランジスタにも同様に適用できる。
前述したように、高濃度のn型シリコン領域に、コンタクトを形成する場合、p型シリコン領域にコンタクトを形成する場合に比較して、低抵抗のコンタクトを形成することができる。即ち、図7に示された実施例では、シリコン層の直列抵抗を大幅に低減する電界効果トランジスタが得られる。この場合、サイドウォール30直下のシリコン高濃度層の直列抵抗をも低減できる。
図8を参照して、本発明の第2の実施例に係る半導体装置を説明する。図8に示された半導体装置は、所謂バルク電流制御型アキュムレーション(Accumulation)・モードnチャネル・トランジスタである。
図示されたバルク電流制御型アキュムレーション・モードnチャネル・トランジスタは、p型シリコンによって形成された支持基板50上に、100nm程度の厚さの埋込酸化膜52によって分離されたn型半導体層54が形成されている。ここで、半導体層54はチャネル領域を形成しており、図示されたチャネル領域の表面は、(100)面方位を有し、半導体層54は50nmの膜厚を有している。n型半導体層54によって形成されたチャネル領域の両側には、当該チャネル領域と同一導電型で、不純物原子濃度がチャネル領域よりも高いn+半導体によって形成されたソース・ドレイン領域56を備えている。
半導体層54によって形成されたチャネル領域上には、電気的等価膜厚(EOT)で7.5nmの酸化膜によって形成されたゲート絶縁膜58が設けられており、当該ゲート絶縁膜58上には、p+ポリシリコンのゲート電極60が設けられている。図示されたnチャネル・トランジスタのゲート長は0.6μmで、ゲート幅は20.0μmである。
ここで、チャネル領域の平均的な不純物原子濃度は、2×1017cm-3であり、当該チャネル領域と接触しているソース・ドレイン領域56は2×1020cm-3の不純物濃度を有するn型半導体によって形成されている。
ソース・ドレイン電極S、Dは、図7に示した第1の実施例と同様に、低仕事関数金属層62と、酸化防止金属層64とを積層した状態で熱処理を施すことによって、低仕事関数金属層64をシリサイド化することによって形成されたシリサイド層を備えている。
ここで、低仕事関数金属層64の材料はホルミウム或いはエルビウムであることが望ましいが、ソース・ドレイン領域56を形成するn+シリコンの伝導帯の底のエネルギーの絶対値に0.3eVを加えた値よりも小さな仕事関数を持つ金属材料、例えば、サマリウム、イッテルビウム等の他の希土類金属であっても良い。
本発明の第2の実施例によれば、半導体との接触抵抗を1×10-9Ωcm2以下に抑え、ソース・ドレイン領域の半導体部分の直列抵抗と合わせてもトランジスタの直列抵抗としては1.0Ωμmとなるようにすることができる。
以上、アキュムレーション・モードnチャネル・トランジスタに、本発明を適用した場合ついてのみ説明したが、本発明は、アキュムレーション・モードpチャネル・トランジスタにも同様に適用できる。
前述したように、本発明の第2の実施例は、SOI(Silicon-On-Insulator)基板上に形成されたトランジスタを反転モード、或いは、蓄積モードのいずれのモードで動作させる場合にも適用できる。しかし、高速動作のためには蓄積モードで動作させることが望ましい。
図9を参照して、本発明の第3の実施例に係る半導体装置を説明する。図示された半導体装置は、シャロートレンチ(STI : Shallow Trench Isolation)による素子分離、2層配線および化学的機械研磨(CMP : Chemical Mechanical Polishing)を用いたCMOSである。
図9に示された構造を得るための製造プロセスを図10および図11を用いて説明する。まず、図10を参照すると、STI構造による素子分離領域71を形成し、nウェル72、pウェル73が形成された後、活性化されている。その後、ゲート絶縁膜74としてシリコン酸化膜が2nm形成されている。ゲート絶縁膜74上に、ポリシリコンによりゲート電極75が形成されている。
次に、nウェル72に対してp+領域76を、pウェル73に対してn+領域77を形成するために、nウェル72に対してはボロンを、pウェル73に対してはリンをそれぞれ6×1015cm2イオン注入することにより、20nmの高濃度領域76(p+領域)、77(n+領域)が形成されている。
図10は、nウェル72、pウェルにそれぞれp+領域76、n+領域77がそれぞれ高濃度領域として形成された状態を示している。
この状態で、高濃度領域76、77の活性化のために、熱処理を行っても良い。しかし、この例の場合、この状態では、熱処理を行わず、CVD(Chemical Vapor Deposition)により酸化膜を堆積し、エッチングを行うことにより、図11に示すようにサイドウォール78が形成されている。サイドウォール78の形成後、高濃度領域76、77およびゲート電極75へのコンタクト用金属として低仕事関数金属(nウェル上のpチャンネル・トランジスタに対してはパラジュウム、pウェル上のnチャンネル・トランジスタに対してはホルミウム)が20nm成膜され、低仕事関数金属層が形成される。
本発明の第3の実施例では、低仕事関数金属層を形成した状態で、更に、酸化防止金属層としてタングステン層を形成した。次に、低仕事関数金属層と酸化防止金属層が積層された状態で、窒素雰囲気中550℃にて1時間の熱処理を行い、シリサイド化(コンタクト用シリサイド層79の形成)だけでなく、先に行わなかった高濃度層76、77の活性化を同時に実現する。低温での熱処理のため、高濃度領域の拡散は抑えることができる。このとき、パラジュウムおよびホルミウムは、下地13.6nmのみ高濃度層シリコン76、77を消費しシリサイド化する。次いで酸化防止金属層をすべて除去する。この状態での模式図を図11に示す。その後、未反応金属部分80を除去し、層間絶縁膜81、82の形成、コンタクトホールの形成と電極83、および配線84をアルミニウムにて形成して、図9に示された構造のCMOSを完成させた。
以上のように、高濃度層形成用のイオン注入を行った後、不純物活性化の熱処理を行わずに金属を形成し、その後、熱処理を行うことにより不純物の活性化による高濃度Si層の形成と、金属シリサイドの形成を同時に行うことによって、0.3eV以下の仕事関数差を持ち、8.0×10-10Ωcm2というコンタクト抵抗率を備えたトランジスタを実現することができた。
図12を参照すると、本発明の第4の実施例に係る半導体装置が示されている。図示された半導体装置はnチャネル・インバージョン・モード電界効果トランジスタであり、図7と同様に、pウェル領域24内に、n+型のソース・ドレイン領域32が形成されると共に、ソース・ドレイン領域32上には、ホルニウムによって形成された低仕事関数金属層及びタングステンによって形成された酸化防止金属層36が形成されている。ここでは、低仕事関数金属層は、酸化防止金属層36が形成された状態で600℃程度の温度でアニールされ、ソース・ドレイン領域32との間に、シリサイド層34a(ホルニウム・シリサイド(HoSi)層)からなるコンタクト領域が形成されている。このようにして形成されたシリサイド層34aにおける酸素混入量は1質量%以下である。
図示された半導体装置は、ゲート絶縁膜26上に形成されたゲート電極28が、ジルコニウム(Zr)層28aとタングステン(W)層28bとによって形成されていることを特徴としている。このように、ゲート電極を金属によって構成することによって、当該トランジスタのゲート電極をn+ポリシリコンによって形成する場合に比較して抵抗を小さくすることができる。また、ジルコニウム層28aを構成するZrは、n+ポリシリコンと同じ仕事関数を有している。更に、図示されたゲート電極28はジルコニウム層28aを、酸化防止機能を備えたタングステン層28b(ソース・ドレイン領域上のタングステン層36と同時に形成するのが好ましい)で被覆しているため、アニール時にジルコニウム層28aの酸化を防止できる。
更に、n+ポリシリコンによりゲート電極を形成した場合、チャネル領域表面に形成される空乏層が厚くなり、結果としてゲート絶縁膜が厚くなったのと同じ不都合が生じるが、ジルコニウム層28aのように金属層によってゲート電極28を形成した場合、チャネル領域表面の空乏層を薄くできると言う効果がある。
図13を参照すると、本発明の第5の実施例に係る半導体装置が示されており、ここでは、pチャネル・インバージョン・モード電界効果トランジスタが示されている。図示された例では、nウェル領域24a内に、p+ソース・ドレイン領域32aが形成され、各ソース・ドレイン領域32aに設けられたコンタクト領域は、パラジウム・シリサイド(PdSi)層34bと、タングステン層36によって構成されている。パラジウム・シリサイド(PdSi)層34bを形成するパラジウムはp+ソース・シリコン領域32aを形成するp型半導体の荷電子帯の頂上のエネルギーの絶対値から0.3eVを引いた値よりも絶対値の大きな仕事関数を持つ金属であるから、低抵抗のコンタクト領域を形成できる。また、パラジウム・シリサイド層34bは酸化防止金属層としての機能を有するタングステン層36によって覆われているため、酸素混入量は1質量%以下である。
更に、図示されたpチャネル・トランジスタのゲート電極28は、パラジウム層28c及びタングステン層28dをゲート絶縁膜26上に設けた構成を備え、ゲート絶縁膜26及びゲート電極28の側面は、サイドウォール30によって覆われている。上記したように、ゲート電極28として、p+ポリシリコンと同じ仕事関数でより低抵抗の金属であるパラジウム層28cを設けることにより、p+ポリシリコンによってゲート電極を形成した場合に比較して、抵抗を下げることができ、空乏層の厚さを薄くすることができる。
図14を参照すると、本発明の第6の実施例に係る半導体装置が示されており、ここでは、nチャネル・アキュムレーション・モード電界効果トランジスタが示されている。図示されたトランジスタは、p型シリコン等の支持基板50上に形成された埋込酸化膜(SiO)52によって分離されたn型半導体層(具体的には、n型シリコン層)54を有している。当該半導体層54はチャネル領域を形成している。チャネル領域を形成する半導体層54の両側には、チャネル領域と同一導電型でチャネル領域よりも高い不純物原子濃度を有するn+半導体によって形成されたソース・ドレイン領域56が設けられている。
ソース・ドレイン領域56の表面には、コンタクト領域が設けられ、図示された例では、コンタクト領域は、ホルニウム・シリサイド層62とタングステン層64によって構成されている。この構成は、低仕事関数金属層であるホルニウム層を酸化防止金属層であるタングステン層で覆った状態でアニールすることによって得られることは、他の実施例と同様である。
図示された例では、チャネル領域を形成するn型半導体層54上に、ゲート絶縁膜58及びゲート電極60が設けられ、ゲート電極60はパラジウム層60aとタングステン層60bとによって形成されている。
図14に示されたゲート電極60は、p+シリコンと同じ仕事関数を有し、且つ、p+シリコンよりも抵抗の低い金属であるパラジウム層60aを含んでいるため、チャネル領域表面の空乏層を厚くして、ノーマリーオフを実現できる。また、パラジウム層60aは酸化防止金属層であるタングステン層60bで覆われているため、パラジウム層60aの酸化を防止できる。
図15を参照すると、本発明の第7の実施例に係る半導体装置が示されており、図示された例は、pチャネル・アキュムレーション・モード電界効果トランジスタである。図からも明らかなように、支持基板50であるシリコン基板上に、埋込酸化膜(SiO)52が設けられている。また、当該埋込酸化膜52上には、p型半導体層54aが設けられており、p型半導体層54aの両側には、p+ソース・ドレイン領域56aが設けられている。
この例におけるコンタクト領域は、パラジウム・シリサイド(PdSi)層62aとタングステン層64とによって形成されている。図示されたコンタクト領域も、前述した通り、低仕事関数金属層であるパラジウム層をタングステン層で覆った状態でアニールすることによって得られる。この構成によって、コンタクト領域の抵抗を著しく低下させることができることは他の実施例と同様である。
更に、チャネル領域上には、ゲート絶縁膜58が形成されると共に、当該ゲート絶縁膜58上には、ジルコニウム層60c及びタングステン層60dからなるゲート電極60が設けられている。
この構成によっても、ゲート電極60の抵抗をポリシリコンを使用した場合に比較して低下させることができ、図14と同様に、チャンネル領域の空乏層の厚さを厚くすることができる。
図12及び14に示されたnチャネル・トランジスタの場合、n+半導体とコンタクト領域を形成するために、低仕事関数金属層として、ホルニウム(Ho)が使用され、他方、図13及び15に示されたpチャネル・トランジスタの場合、p+半導体とのコンタクト領域を形成するために、パラジウム(Pd)が使用されている。このように、n+半導体及びp+半導体との仕事関数の差を考慮して、コンタクト領域のシリサイド層を形成する低仕事関数金属層の金属を選択することができる。
また、ゲート電極について言えば、図12及び15では、ジルコニウムが用いられ、他方、図13及び14では、パラジウムが用いられている。このように、ゲート電極についても、チャネル領域を形成する半導体との間の仕事関数の差に応じて、ゲート電極を構成する金属を選択できる。
本発明は、酸化防止金属層により低仕事関数金属層を覆った状態でアニールして極めて抵抗の低いシリサイド層を形成することができるため、性能の高い半導体装置を構成できる。また、本発明は、単にMOSFETだけでなく、コンタクト領域を有する他の各種半導体装置に適用できる。
(A)及び(B)は、本発明の説明のための構造及び製造工程を説明する概略構成図である。 図1に示されたコンタクト部分に、ホルニウム及びエルビウムを使用した場合の特性を示す図である。 (A)及び(B)は、シリコン基板上に形成されたエルビウム層及びタングステン層の積層体におけるアニール前後の状態をそれぞれ示す図である。 (A)及び(B)は、シリコン基板上に形成されたホルミウム層及びタングステン層の積層体におけるアニール前後の状態をそれぞれ示す図である。 図4に示されたホルニウム層をシリサイド化した場合における深さ方向分析結果を示すXPS画像である。 (A)及び(B)はp型及びn型シリコン基板を使用した場合におけるホルミウム・シリサイド及びエルビウム・シリサイドのショットキーバリアハイト(SBH)の温度依存特性を示す図である。 (A)及び(B)は本発明の第1の実施例に係る半導体装置の構造及び製造工程を説明する概略図である。 本発明の第2の実施例に係る半導体装置の構造を説明する概略構成図である。 本発明の第3の実施例に係る半導体装置の構造を説明する概略構成図である。 図9に示された半導体装置の製造工程を説明する図である。 図10に示された工程の後に行われる工程を説明する図である。 本発明の第4の実施例に係る半導体装置を説明する概略構成図である。 本発明の第5の実施例に係る半導体装置を説明する概略構成図である。 本発明の第6の実施例に係る半導体装置を説明する概略構成図である。 本発明の第7の実施例に係る半導体装置を説明する概略構成図である。 n型シリコン領域とコンタクト領域を形成するのに適した金属材料の仕事関数を説明する図である。 p型シリコン領域とコンタクト領域を形成するのに適した金属材料の仕事関数を説明する図である。
符号の説明
100 シリコン基板
120 半導体側電極
10 低仕事関数金属層
12 酸化防止金属層
101 絶縁膜
102 開口部
20 シリコン基板
22 素子分離領域
24 ウェル
26 ゲート絶縁膜
28 ゲート電極
30 サイドウォール
31 層間絶縁膜
32 ソース・ドレイン領域
34 低仕事関数金属層
34a シリサイド層
36 酸化防止金属層
40 層間絶縁膜
50 シリコン基板
52 埋込絶縁層
54 半導体層
56 ソース・ドレイン領域
58 ゲート絶縁膜
62 低仕事関数金属層
64 酸化防止金属層
71 素子分離領域
72 nウェル
73 pウェル
74 ゲート絶縁膜
75 ゲート電極
76 高濃度領域(p+領域)
77 高濃度領域(n+領域)
78 サイドウォール
80 未反応金属部分
79 シリサイド層
81、82 層間絶縁膜
83 電極
84 配線

Claims (47)

  1. 半導体装置のp型またはn型コンタクト領域となるべきシリコン部分へコンタクトを形成する方法であって、第1の金属の層を前記コンタクト領域に設ける工程と、前記第1の金属の酸化を防止するための第2の金属の層を前記第1の金属の層上に、前記第1の金属の層の厚さよりも厚く形成する工程と、熱処理によって前記第1の金属のみを前記シリコンとの化合物化する工程とを含み、前記第1の金属は、前記コンタクト領域がn型シリコンの場合、当該シリコンの伝導帯の底のエネルギーの絶対値に0.3eVを加えた値よりも絶対値の小さな仕事関数をもち、前記コンタクト領域がp型シリコンの場合、当該シリコンの価電子帯の頂上のエネルギーの絶対値から0.3eVを引いた値よりも絶対値の大きな仕事関数をもつ金属であり、前記第1の金属を前記第2の金属の層で覆った状態で、熱処理を行ない、前記コンタクト領域における接触抵抗を1×10−9Ωcm以下にする
    ことを特徴とするコンタクト形成方法。
  2. 半導体装置のp型またはn型コンタクト領域となるべきシリコン部分へ第1の金属の層を設ける工程と、前記第1の金属の酸化を防止するための第2の金属の層を前記第1の金属の層上に、前記第1の金属の層の厚さよりも厚く形成する工程と、前記第1の金属のみを前記シリコン部分と反応させ前記第1の金属のシリサイドを形成する工程とを含み、前記第1の金属としては、前記コンタクト領域がn型の場合はシリコンの伝導帯の底のエネルギーの絶対値に0.3eVを加えた値よりも絶対値の小さな仕事関数をもち、前記コンタクト領域がp型の場合はシリコンの価電子帯の頂上のエネルギーの絶対値から0.3eVを引いた値よりも絶対値の大きな仕事関数をもつような金属を選択して用い、前記第1の金属を前記第2の金属の層で覆った状態で、熱処理を行ない、前記コンタクト領域における接触抵抗を1×10−9Ωcm以下にする
    ことを特徴とする半導体装置の製造方法。
  3. 前記第2の金属の層に接して導電材料の層を設ける工程をさらに含むことを特徴とする請求項2に記載の半導体装置の製造方法。
  4. 前記第1の金属のシリサイドを形成する工程の後に、前記第2の金属の層の少なくとも一部を除去する工程をさらに含むことを特徴とする請求項2に記載の半導体装置の製造方法。
  5. 前記除去工程の後に前記第1の金属のシリサイドの層に接して導電材料の層を設ける工程をさらに含むことを特徴とする請求項4に記載の半導体装置の製造方法。
  6. 前記コンタクト領域が電界効果トランジスタのソースまたはドレイン領域であることを特徴とする請求項2乃至5の一つに記載の半導体装置の製造方法。
  7. 前記第1の金属が希土類金属であることを特徴とする請求項2乃至6の一つに記載の半導体装置の製造方法。
  8. 前記コンタクト領域がn型領域であり、前記第1の金属がホルミウム又はエルビウムであることを特徴とする請求項7に記載の半導体装置の製造方法。
  9. 前記コンタクト領域がp型領域であり、前記第1の金属がパラジウムであることを特徴とする請求項2乃至6の一つに記載の半導体装置の製造方法。
  10. 前記シリサイドと前記シリコン部分との界面が所定の粗さになるように、前記第2の金属の厚さが選択されることを特徴とする請求項2乃至9の一つに記載の半導体装置の製造方法。
  11. 前記第2の金属がタングステンであることを特徴とする請求項2乃至10の一つに記載の半導体装置の製造方法。
  12. 前記コンタクト領域となるシリコン部分の表面をクリーニングする工程を有し、前記第1の金属の層を第1の金属成膜装置で設け、前記第2の金属の層を第2の金属成膜装置で設け、前記クリーニング工程で前記コンタクト領域となるシリコン部分の表面を清浄化した後に前記第1の金属成膜装置に搬入する工程および前記第1の金属成膜装置で前記第1の金属の層を設けた後に前記第2の金属成膜装置に搬入する工程を大気に曝さないで行うことを特徴とする請求項2乃至11の一つに記載の半導体装置の製造方法。
  13. 前記クリーニング工程で前記コンタクト領域となるシリコン部分の表面を清浄化した後に、前記第1の金属成膜装置に搬入する工程および前記第1の金属成膜装置で前記第1の金属の層を設けた後に、前記第2の金属成膜装置に搬入する工程を窒素ガスまたは不活性ガス雰囲気中で行うことを特徴とする請求項12に記載の半導体装置の製造方法。
  14. 半導体装置のp型またはn型コンタクト領域であるシリコン部分の表面に、前記コンタクト領域がn型の場合はシリコンの伝導帯の底のエネルギーの絶対値に0.3eVを加えた値よりも絶対値の小さな仕事関数をもち、前記コンタクト領域がp型の場合はシリコンの価電子帯の頂上のエネルギーの絶対値から0.3eVを引いた値よりも絶対値の大きな仕事関数をもつような第1の金属のシリサイドの層が設けられ、前記第1の金属の酸化を防止するための第2の金属の層が前記シリサイドの層上に設けられ、前記コンタクト領域における接触抵抗が1×10−9Ωcm以下であることを特徴とする半導体装置。
  15. 前記第2の金属の層に接して導電材料の層が設けられていることを特徴とする請求項14に記載の半導体装置。
  16. 前記シリサイドの層の酸素混入量が1質量%以下であることを特徴とする請求項14または15に記載の半導体装置。
  17. 半導体装置のp型またはn型コンタクト領域であるシリコン部分の表面に、前記コンタクト領域がn型の場合はシリコンの伝導帯の底のエネルギーの絶対値に0.3eVを加えた値よりも絶対値の小さな仕事関数をもち、前記コンタクト領域がp型の場合はシリコンの価電子帯の頂上のエネルギーの絶対値から0.3eVを引いた値よりも絶対値の大きな仕事関数をもつような第1の金属のシリサイドの層が設けられており、前記シリサイドの層の酸素混入量が1質量%以下であり、且つ、前記コンタクト領域における接触抵抗が1×10−9Ωcm以下であることを特徴とする半導体装置。
  18. 前記シリサイドの層に接して導電材料の層が設けられていることを特徴とする請求項17に記載の半導体装置。
  19. 前記コンタクト領域が電界効果トランジスタのソースおよびドレイン領域の一方または両方であることを特徴とする請求項14乃至16の一つに記載の半導体装置。
  20. 前記電界効果トランジスタのゲート電極は、第三の金属の層と前記第三の金属の層上の前記第2の金属からなる層とを含んで構成されていることを特徴とする請求項19に記載の半導体装置。
  21. 前記第1の金属が希土類金属であることを特徴とする請求項14乃至20の一つに記載の半導体装置。
  22. 前記コンタクト領域がn型領域であり、前記第1の金属がホルミウムであることを特徴とする請求項14乃至21の一つに記載の半導体装置。
  23. 前記コンタクト領域がn型領域であり、前記第1の金属がエルビウムであり、前記シリサイドの層はエルビウムシリサイド(ErSi)であることを特徴とする請求項14乃至21の一つに記載の半導体装置。
  24. 前記コンタクト領域がp型領域であり、前記第1の金属がパラジウムであり、前記シリサイドの層はパラジウムシリサイド(PdSi)であることを特徴とする請求項14乃至16の一つに記載の半導体装置。
  25. 前記電界効果トランジスタがインバーション・モードnチャネル・トランジスタであることを特徴とする請求項20に記載の半導体装置。
  26. 前記電界効果トランジスタがアキュミュレーション・モードnチャネル・トランジスタであることを特徴とする請求項20に記載の半導体装置。
  27. 前記電界効果トランジスタがインバーション・モードpチャネル・トランジスタであることを特徴とする請求項20に記載の半導体装置。
  28. 前記電界効果トランジスタがアキュミュレーション・モードpチャネル・トランジスタであることを特徴とする請求項20に記載の半導体装置。
  29. 前記シリサイドと前記シリコン部分との界面が所定の粗さになるように、前記第2の金属の厚さが50nm以上に選択されていることを特徴とする請求項14乃至16の一つに記載の半導体装置。
  30. 前記第2の金属がタングステンであることを特徴とする請求項14乃至16の一つに記載の半導体装置。
  31. 前記第三の金属がジルコニウムであることを特徴とする請求項25又は28に記載の半導体装置。
  32. 前記第三の金属がパラジウムであることを特徴とする請求項26又は27に記載の半導体装置。
  33. ホルミウム・シリサイドの層とその上に設けられたタングステンの層との積層構造をそれぞれ含むソース電極およびドレイン電極がn型シリコン領域にそれぞれ設けられ、且つ、前記n型シリコン領域における接触抵抗が1×10−9Ωcm以下であることを特徴とするn型MOSトランジスタ。
  34. パラジウム・シリサイドの層とその上に設けられたタングステンの層との積層構造をそれぞれ含むソース電極およびドレイン電極がp型シリコン領域にそれぞれ設けられ、且つ、前記p型シリコン領域における接触抵抗が1×10−9Ωcm以下であることを特徴とするp型MOSトランジスタ。
  35. ホルミウム・シリサイドの層とその上に設けられたタングステンの層との積層構造をそれぞれ含むソース電極およびドレイン電極がn型シリコン領域にそれぞれ設けられているn型MOSトランジスタと、パラジウム・シリサイドの層をそれぞれ含むソース電極およびドレイン電極がp型シリコン領域にそれぞれ設けられているp型MOSトランジスタとを含み、前記ホルミウム・シリサイドの層及び前記パラジウム・シリサイドの層の接触抵抗が1×10−9Ωcm以下であることを特徴とするCMOS半導体装置。
  36. 請求項33に記載のn型MOSトランジスタと、請求項34に記載のp型MOSトランジスタとを含むことを特徴とするCMOS半導体装置。
  37. ジルコニウムの層とその上に設けられたタングステンの層との積層構造を含むゲート電極がゲート絶縁膜上に設けられ、且つ、ホルミウム・シリサイドの層とその上に設けられたタングステンの層との積層構造を含むソース電極およびドレイン電極が設けられ、前記ホルミウム・シリサイドの層の接触抵抗が1×10−9Ωcm以下であることを特徴とするインバーション・モードn型MOSトランジスタ。
  38. ジルコニウムの層とその上に設けられたタングステンの層との積層構造を含むゲート電極がゲート絶縁膜上に設けられ、且つ、パラジウム・シリサイドの層とその上に設けられたタングステンの層との積層構造を含むソース電極およびドレイン電極が設けられ、前記パラジウム・シリサイドの層の接触抵抗が1×10−9Ωcm以下であることを特徴とするアキュミュレーション・モードp型MOSトランジスタ。
  39. パラジウムの層とその上に設けられたタングステンの層との積層構造を含むゲート電極がゲート絶縁膜上に設けられ、且つ、パラジウム・シリサイドの層とその上に設けられたタングステンの層との積層構造を含むソース電極およびドレイン電極が設けられ、前記パラジウム・シリサイドの層の接触抵抗が1×10−9Ωcm以下であることを特徴とするインバーション・モードp型MOSトランジスタ。
  40. パラジウムの層とその上に設けられたタングステンの層との積層構造を含むゲート電極がゲート絶縁膜上に設けられ、且つ、ホルミウム・シリサイドの層とその上に設けられたタングステンの層との積層構造を含むソース電極およびドレイン電極が設けられ、前記ホルミウム・シリサイドの層の接触抵抗が1×10−9Ωcm以下であることを特徴とするアキュミュレーション・モードn型MOSトランジスタ。
  41. 請求項37または40に記載のn型MOSトランジスタと、請求項38または39に記載のp型MOSトランジスタとを含むことを特徴とするCMOS半導体装置。
  42. ジルコニウムの層とその上に設けられたタングステンの層との積層構造を含むゲート電極がゲート絶縁膜上に設けられ、ホルミウム・シリサイドの層とその上に設けられたタングステンの層との積層構造をそれぞれ含むソース電極およびドレイン電極がn型シリコン領域にそれぞれ設けられ、前記ホルミウム・シリサイドの層の接触抵抗が1×10−9Ωcm以下であることを特徴とするインバーション・モードn型MOSトランジスタ。
  43. ジルコニウムの層とその上に設けられたタングステンの層との積層構造を含むゲート電極がゲート絶縁膜上に設けられ、パラジウム・シリサイドの単層構造またはパラジウム・シリサイドの層とその上に設けられたタングステンの層との積層構造をそれぞれ含むソース電極およびドレイン電極がp型シリコン領域にそれぞれ設けられ、前記パラジウム・シリサイドの接触抵抗が1×10−9Ωcm以下であることを特徴とするアキュミュレーション・モードp型MOSトランジスタ。
  44. パラジウムの層とその上に設けられたタングステンの層との積層構造を含むゲート電極がゲート絶縁膜上に設けられており、パラジウム・シリサイドの単層構造またはパラジウム・シリサイドの層とその上に設けられたタングステンの層との積層構造をそれぞれ含むソース電極およびドレイン電極がp型シリコン領域にそれぞれ設けられ、前記パラジウム・シリサイドの接触抵抗が1×10−9Ωcm以下であることを特徴とするインバーション・モードp型MOSトランジスタ。
  45. パラジウムの層とその上に設けられたタングステンの層との積層構造を含むゲート電極がゲート絶縁膜上に設けられており、ホルミウム・シリサイドの層とその上に設けられたタングステンの層との積層構造をそれぞれ含むソース電極およびドレイン電極がn型シリコン領域にそれぞれ設けられ、前記ホルミウム・シリサイドの層の接触抵抗が1×10 Ωcm以下であることを特徴とするアキュミュレーション・モードn型MOSトランジスタ。
  46. 請求項42または45に記載のn型MOSトランジスタと、請求項43または44に記載のp型MOSトランジスタとを含むことを特徴とするCMOS半導体装置。
  47. 前記n型MOSトランジスタと、前記p型MOSトランジスタとが直列接続されていることを特徴とする請求項35、36、41または46に記載のCMOS半導体装置。
JP2008279536A 2008-10-30 2008-10-30 コンタクト形成方法、半導体装置の製造方法、および半導体装置 Expired - Fee Related JP5769160B2 (ja)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP2008279536A JP5769160B2 (ja) 2008-10-30 2008-10-30 コンタクト形成方法、半導体装置の製造方法、および半導体装置
US13/126,249 US8575023B2 (en) 2008-10-30 2009-10-23 Contact formation method, semiconductor device manufacturing method, and semiconductor device
PCT/JP2009/068233 WO2010050405A1 (ja) 2008-10-30 2009-10-23 コンタクト形成方法、半導体装置の製造方法、および半導体装置
CN2009801429011A CN102197461A (zh) 2008-10-30 2009-10-23 接触形成方法、半导体装置的制造方法和半导体装置
DE112009002611T DE112009002611T5 (de) 2008-10-30 2009-10-23 Kontaktbildungsverfahren, Verfahren zum Herstellen einer Halbleitervorrichtung, und Halbleitervorrichtung
KR1020117009210A KR101278119B1 (ko) 2008-10-30 2009-10-23 콘택트 형성 방법, 반도체 장치의 제조 방법, 및 반도체 장치
KR1020127024359A KR101288645B1 (ko) 2008-10-30 2009-10-23 콘택트 형성 방법, 반도체 장치의 제조 방법, 및 반도체 장치
TW098136484A TWI489553B (zh) 2008-10-30 2009-10-28 接觸部形成方法、半導體裝置之製造方法、及半導體裝置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008279536A JP5769160B2 (ja) 2008-10-30 2008-10-30 コンタクト形成方法、半導体装置の製造方法、および半導体装置

Publications (2)

Publication Number Publication Date
JP2010109143A JP2010109143A (ja) 2010-05-13
JP5769160B2 true JP5769160B2 (ja) 2015-08-26

Family

ID=42128768

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008279536A Expired - Fee Related JP5769160B2 (ja) 2008-10-30 2008-10-30 コンタクト形成方法、半導体装置の製造方法、および半導体装置

Country Status (7)

Country Link
US (1) US8575023B2 (ja)
JP (1) JP5769160B2 (ja)
KR (2) KR101288645B1 (ja)
CN (1) CN102197461A (ja)
DE (1) DE112009002611T5 (ja)
TW (1) TWI489553B (ja)
WO (1) WO2010050405A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103137475B (zh) * 2011-11-23 2015-09-16 中国科学院微电子研究所 一种半导体结构及其制造方法
WO2013150571A1 (ja) * 2012-04-06 2013-10-10 国立大学法人東北大学 半導体装置
JP5704586B2 (ja) * 2012-10-25 2015-04-22 国立大学法人東北大学 Accumulation型MOSFET
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US9917158B2 (en) 2013-07-30 2018-03-13 Samsung Electronics Co., Ltd. Device contact structures including heterojunctions for low contact resistance
US10671701B2 (en) * 2014-11-26 2020-06-02 General Electric Company Radiology desktop interaction and behavior framework
CN106206579B (zh) * 2015-05-08 2019-09-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
JP6086550B2 (ja) * 2015-06-26 2017-03-01 国立大学法人東京工業大学 半導体デバイス電極の製造方法
KR102337459B1 (ko) * 2015-12-10 2021-12-08 삼성전자주식회사 반도체 장치 제조 방법
KR102600998B1 (ko) 2016-09-28 2023-11-13 삼성전자주식회사 반도체 장치

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1126397A (ja) * 1997-07-01 1999-01-29 Sony Corp 半導体装置の製造方法
JP3264264B2 (ja) 1999-03-01 2002-03-11 日本電気株式会社 相補型集積回路とその製造方法
US7245018B1 (en) * 1999-06-22 2007-07-17 Semiconductor Energy Laboratory Co., Ltd. Wiring material, semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof
JP3394927B2 (ja) * 1999-06-29 2003-04-07 沖電気工業株式会社 金属シリサイド層の形成方法
US7405112B2 (en) * 2000-08-25 2008-07-29 Advanced Micro Devices, Inc. Low contact resistance CMOS circuits and methods for their fabrication
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP3866149B2 (ja) 2002-05-08 2007-01-10 富士通株式会社 半導体装置の製造方法
US7326631B2 (en) 2003-02-03 2008-02-05 Nxp B.V. Method of manufacturing MOS transistors with gate electrodes formed in a packet of metal layers deposited upon one another
JP4093072B2 (ja) * 2003-02-03 2008-05-28 日本電気株式会社 半導体装置およびその製造方法
KR20050009447A (ko) 2003-07-16 2005-01-25 한국항공우주산업 주식회사 암나사 가공용 탭
JP4085051B2 (ja) 2003-12-26 2008-04-30 株式会社東芝 半導体装置およびその製造方法
US7153769B2 (en) * 2004-04-08 2006-12-26 Micron Technology, Inc. Methods of forming a reaction product and methods of forming a conductive metal silicide by reaction of metal with silicon
US7489164B2 (en) * 2004-05-17 2009-02-10 Raminda Udaya Madurawe Multi-port memory devices
US7544610B2 (en) * 2004-09-07 2009-06-09 International Business Machines Corporation Method and process for forming a self-aligned silicide contact
JP2006100600A (ja) * 2004-09-29 2006-04-13 Toshiba Corp 半導体装置およびその製造方法
US20060163670A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Dual silicide process to improve device performance
US7344983B2 (en) * 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
US7176537B2 (en) * 2005-05-23 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS with metal-gate and Schottky source/drain
JP2007067225A (ja) * 2005-08-31 2007-03-15 Toshiba Corp 半導体装置およびその製造方法
US7595248B2 (en) * 2005-12-01 2009-09-29 Intel Corporation Angled implantation for removal of thin film layers
US8362567B2 (en) 2006-07-13 2013-01-29 National University Corporation Tohoku University Semiconductor device
US20080191285A1 (en) * 2007-02-09 2008-08-14 Chih-Hsin Ko CMOS devices with schottky source and drain regions
US7635988B2 (en) * 2007-11-19 2009-12-22 Tier Logic, Inc. Multi-port thin-film memory devices

Also Published As

Publication number Publication date
KR20110081998A (ko) 2011-07-15
TW201025452A (en) 2010-07-01
TWI489553B (zh) 2015-06-21
DE112009002611T5 (de) 2012-08-02
US8575023B2 (en) 2013-11-05
WO2010050405A1 (ja) 2010-05-06
KR20120107534A (ko) 2012-10-02
KR101288645B1 (ko) 2013-07-22
US20110198702A1 (en) 2011-08-18
JP2010109143A (ja) 2010-05-13
CN102197461A (zh) 2011-09-21
KR101278119B1 (ko) 2013-06-24

Similar Documents

Publication Publication Date Title
JP5769160B2 (ja) コンタクト形成方法、半導体装置の製造方法、および半導体装置
WO2003079444A1 (fr) Dispositif a semi-conducteurs et procede de fabrication
US8889505B2 (en) Method for manufacturing semiconductor device
JP2000252370A (ja) 相補型集積回路とその製造方法
JP2007243105A (ja) 半導体装置およびその製造方法
JP2007251030A (ja) 半導体装置の製造方法および半導体装置
WO2007060797A1 (ja) 半導体装置およびその製造方法
JP2000252462A (ja) Mis型半導体装置及びその製造方法
JP2007073660A (ja) 半導体装置およびその製造方法
JP2007158065A (ja) 半導体装置の製造方法および半導体装置
JP2009295621A (ja) 半導体装置及びその製造方法
JP2008034413A (ja) 半導体装置及びその製造方法
JP4287421B2 (ja) 半導体装置の製造方法
JP4058022B2 (ja) 半導体装置の製造方法
WO2007148600A1 (ja) 半導体装置およびその製造方法
US8168522B2 (en) Method for fabricating semiconductor device
JP4958408B2 (ja) 半導体装置
JP2006202860A (ja) 半導体装置及びその製造方法
TW201104837A (en) Semiconductor device and the manufacturing method thereof
US8008728B2 (en) Semiconductor device and manufacturing method of semiconductor device
JP2006013270A (ja) 半導体装置およびその製造方法
JP2008117842A (ja) 半導体装置、およびその製造方法
JP4744413B2 (ja) 半導体装置の製造方法
JP2005150375A (ja) 半導体装置およびその製造方法
JP3966102B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110830

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130501

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130626

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140411

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140421

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20140620

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150413

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150616

R150 Certificate of patent or registration of utility model

Ref document number: 5769160

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees