JP2009500869A5 - オンザフライ(on the fly)ワークピースセンタリングを用いる基板処理装置 - Google Patents

オンザフライ(on the fly)ワークピースセンタリングを用いる基板処理装置 Download PDF

Info

Publication number
JP2009500869A5
JP2009500869A5 JP2008521545A JP2008521545A JP2009500869A5 JP 2009500869 A5 JP2009500869 A5 JP 2009500869A5 JP 2008521545 A JP2008521545 A JP 2008521545A JP 2008521545 A JP2008521545 A JP 2008521545A JP 2009500869 A5 JP2009500869 A5 JP 2009500869A5
Authority
JP
Japan
Prior art keywords
substrate
sensor
controller
radius
difference
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008521545A
Other languages
English (en)
Other versions
JP2009500869A (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/US2006/026973 external-priority patent/WO2007008939A2/en
Publication of JP2009500869A publication Critical patent/JP2009500869A/ja
Publication of JP2009500869A5 publication Critical patent/JP2009500869A5/ja
Pending legal-status Critical Current

Links

Description

図1を参照すると、開示した実施例の特徴を含む基板処理装置100と基板215の斜視図が示されている。図面に示されるように、実施例に関して説明されているが、開示された実施例は多くの別な形態によって具現化されることを理解すべきである。さらに、任意の適切な大きさ、形状、要素の種類、材料が使用できる
ここに説明した典型的な実施例の用途からすると、基板215は、例えば、200mm、300mm若しくはその他所望の直径の基板の半導体ウェハや、基板処理装置100によるその他の処理に適したタイプの基板、空基板、一定の大きさや特定の質量を有するなど基板に類似する特性を有するものでもよい。上記実施例において、基板215は、基板上に取り付けられた、もしくはタグが付された読取可能な表示識別部を有する。基板上に取り付けられた表示識別部を備えた基板の好適な例は、2003年6月19日に出願された米国特許出願第10/465,667号に記載されており、この特許の内容全体を参照により本願明細書に引用したものとする。別の実施例では、所定基板の識別データ及び測定データは、上記対象基板と関連して、その他の適当ないかなる方法でも上記装置に提供(伝達、読取など)され得る。識別表示片215Iは、例えば基板25の識別情報(基板タイプ、ロット、シーケンス番号、その他所望の識別子情報など)でもよい。また、上記基板識別表示は、例えば基板測定データを含む他の所望基板特有の情報、すなわち基準タイプや位置、任意基板の欠陥、タイプ、位置、基板の半径並びに直径、及び真円度データ等、を含み得る。別の実施例では、任意の他の所望データ、情報が基の識別表示により提供され得る。上記基板表示は、上記基板識別情報及び測定データを任意の所望の方法(例えばバーコード、アルファ‐ナンバーコード等)で具現化し得る。承知のように、許容差は結果的に個々の基板間の実質的な寸法の不一致を引き起こし得る。例えば、SEMIスタンダードは200mmシリコンウェハで寸法差±0.5mm、300mmシリコンウェハで寸法差±0.2mmを指定する。
上記典型的実施例では、バキュームロボットアーム130は、セクション110の中央チャンバ175に搭載され得る(図1を参照)。コントローラ170が動作し、開口部180及び185をサイクリングさせ、且つバキュームロボットアーム130の動作を調整して処理モジュール125とロードロックA135とロードロックB140との間で基板を搬送する。バキュームロボットアーム130は、ドライビングセクション190と、1以上のエンドエフェクタ195とを含んでもよい。他の実施例では、ATMロボット120及びバキュームロボットアーム130は、適当な搬送装置であればいかなる種類でもよく、例えばSCARAタイプのロボットや、関節のあるアームロボット、カエル足タイプの装置、あるいは左右対称の搬送装置等でもよい。
ここで図2を参照すると、センタリングシステム101の平面図を示しており、センタリングシステム101は上記典型的実施例では搬送ロボット130とセンサ199とロボットコントローラ170とシステム130とを含み、基板の不一致を確定し得る。前述の通り、1のセンサ199は例示の目的で図2に示されており、もう1つの別な実施例では上記センタリングシステムは複数のセンサを有し得る。図2はまた、装置100の典型的な処理モジュール125を示している(図1を参照)。図2では、搬送ロボット130は全体的に概略的に表されており、前述のようにロボット130は様々な所望の構成を採り得る。ロボット130が示されており、ロボットは基板215を保持し、処理モジュール125へ搬送を行う。処理モジュール125は基板ステーションSを含み、基板ステーションSは所定のセンターポジションSPを確定する。基板215の中心は、ステーションS内に位置付けられる際、実質的にステーションセンターSCと一致することが望ましい。もう1つの別な実施例では、上記基板ステーション及びセンサは上記処理装置の所望のいかなる部分によっても定めることができ、そこでは基板は上記ロボットに対して所望の場所に設置される。図2に示すロボット130に対するステーションS並びにセンサ199の位置関係は、単に例示にすぎない。もう1つの別な実施例では、上記基板ステーション及びセンサは、上記搬送ロボットに対して所望どおりに配置され得る。図2では、ロボット130、センサ199、システム300及びコントローラ170が後述のように接続している状態で示され、ロボットオンザフライ基板センタリングシステム101を形成し、システム101は、ロボットがステーションSへの搬送経路Pに沿って基板を搬送する際にロボットエンドエフェクタ上で基板215の離心率を確定することを可能にし、また、センタリングファクターによりロボットが基板215を基板ステーション中心SCに設置すること確実にすること可能にする。図2に示すように、上記典型的実施例ではシステム300はアライナ162及び基板表示読取装置302を含み得る。また、基板処理モジュール125は基板ステーションSを定めるものであるが、コントローラ170(図2に図式的に示す)と接続され、後述のようにオンザフライ基板センタリングシステム101を提供する。コントローラ170は、アライナ162と読取装置302と処理モジュール125とから提供されたあるいは得られた情報又はデータを使用し得、オンザフライセンタリングを実施する。さらに、後述のように、上記進行経路に対するセンサ199の位置は、処理モジュール125の所定の特性に基づいて確定される。
承知の通り、ロボット130はコントローラ170と接続し通信可能な状態にすることで、上記コントローラがロボットの動作を制御し、ロボットエンドエフェクタ195、具体的にはエンドエフェクタ中心195Cを公知の制御方法で装置100内のいかなる所望の位置へ移動させることが可能となる。例えばロボット130は所望位置確定装置を含み得、当該装置がコントローラと接続して適切な信号を送信し、コントローラは以下の双方、つまりエンドエフェクタ中心195Cの位置座標及び運動量確定パラメータを確定することが可能となり、これはロボット130にかかわる所参照システムにおいてなされる。例えば、ロボット130は前述の通り回転自在に搭載され、Z軸のまわりをロボット全体で回転することが可能であり、また接続されてエンドエフェクタ中心195Cを回転軸Zに対して少なくとも半径方向に移動させる。ロボット130はモータエンコーダを含み、モータエンコーダはコントローラ170と接続し、移動しているロボットモータの相対的あるいは絶対的な移動を識別する。さらにコントローラ170にはプログラムが組み込まれ、上記エンコーダデータを変換し(コントローラ内にプログラミングされたロボットのジオメトリー情報と共に)、エンドエフェクタ中心195Cの位置座標及び慣性パラメータを生成する。こうしてコントローラ170は、いつでもエンドエフェクタ中心195C(図2にRcとして表す)の(所望の基準座標系における)位置座標と、エンドエフェクタのいかなる所望位置と(例えば基板ステーションのセンターSCなど)を把握する。
さらに図1及び図2を参照すると、アライナ162は適切な種類であればどのようなものでもよい。本実施例では、アライナ162は、上記装置の前方あるいは大気セクションに配置されるが(図1参照)、別の実施例では装置内のいかなる所望位置にも配置され得る。アライナの好適な例は、2005年7月11日に出願され、発明の名称を「高速アライナ装置」とする米国特許出願第11/179,745号に開示されており、これは参考のため本明細書全体に包含されている。上記の通り、アライナ162は適当なセンサを含み得、それは例えばスルービームセンサなどであるが、センサは基板215上で基準Fを検出することが可能である(図2を参照)。承知のように、また上記したように、基準Fは基板215の所望のアライメント(位置関係)を識別する働きをするが、基板215は1以上の処理モジュール125のプロセス特性に関わるものである。例えば、図2に示す処理モジュール125は、基板215上で特定の処理を実行可能となし得、その処理は基板215の特定の位置合わせを必要とする処理である。上記アライナは、例えば、その内部に基板215を配置することもでき、それにより、その後搬送されてロボット132により基板ステーションS内に配置されると、基板215は上記所望の配置をとることが可能となる。もう1つ別な実施例では、上記アライナは上記コントローラに対し位置情報を識別し得、それにより、コントローラは上記搬送ロボットを制御して、処理モジュール内で上記基板に特定の向きをとらせることが可能となる。アライナ162によりなされた基板の向きは、既知の位置に上記基板の基準Fを位置付ける。上記基板に関する基準の既知の位置は、コントローラに送信される。コントローラはプログラミングされ、エンドエフェクタに対し基準Fの予想される位置を定めるが、これはロボットエフェクタ195によってアライナにより提供される基準位置情報から基板215が搬送されるときになされる。エンドエフェクタ上の予想される基準位置はコントローラ170により使用され、後述のように、システム101におけるオンザフライセンタリングを実施し、それはオンザフライウェハ検出のために、例えば基板上の少なくとも2箇所を識別するセンサ199あるいは単一センサ199を用いる。
典型的な本実施例では、アライナ162は検知能力を有し得、ゆえに上記基板の直径に関する測定データを生成することが可能である。典型的な本実施例におけるアライナは、コントローラ170と接続して、基板の直径を測定可能で、且つ基板周囲の真円度及び同心度をマッピング可能で、さらに基板周縁部のいかなる欠損や不一致をも識別可能である。例えば、当該アライナ検知装置(例えば、直線のまたは配列された検知装置など)は、基板外周部の位置を基板周縁部として検知することを可能となし得る。アライナ162による外周位置データはコントローラ170に送信され、登録され得る。上記典型的実施例では、コントローラはプログラム173を有し、上記データから基板の直径を確定し得る。コントローラ170は、システム101におけるオンザフライセンタリングの対象となる各基板の基板直径及び基準位置情報を登録する。もう1つの別な実施例では、基板の直径情報は、いかなる他の手段であっても適当な手段であれば、それによってコントローラ内に提供され登録される。上記典型的実施例では、コントローラ170のプログラム173は、基板の他の幾何学的情報、つまりアライナ162により送信された外周位置データからの情報を確定可能である。例えばコントローラ170は基板の中心部の計算が可能であり、且つセンター部と基板外周付近の基板周辺部との相対的半径をマッピング可能である。例えば、基板は完全な円形でなくても良く、いくらか円からずれていても良い(図5など参照)。真円ではない周辺部PEの情報はアライナにより検知され、コントローラ170のプロセッサと通信可能であるが、これは計算技術を用いて、真円でない基板の中心部を確定するものである。逆に、半径(すなわち、基板中心と外周との距離)は基板の円周運動によって異なる。コントローラ170は典型的実施例では、基板の円周辺りで半径の変動を確定することが可能であり、それを基板の所望レファレンス(例えば基準Fなど)に対してマッピングすることも可能である。半径変動はコントローラ170によってアルゴリズムあるいは表を形成して、コントローラのメモリに保存され得る。例えば所望の偏心距離及び角度位置に関する円弧長AL(図5を参照)等の基板の他の形状的特徴がコントローラによって定義されてメモリ内に保存される。当該他の形状的特徴は、特定の基板外周位置における基板半径と相関していてもよく、センタリングシステムのセンサ(1または複数)199によって検出されてもよい。こうして後述のように、コントローラ170は基板外周上の1点で特定の基板半径を確定し得るが(例えばメモリ内の半径マッピングから識別するなど)、これはその点で得られた円弧長測定値や上記基板の既知の配向から確定される。もう1つの別な実施例では、基板のその他いかなる所望ジオメトリーも使用され、センタリング中に基板の半径を確定する。
上記典型的実施例および前述の通り、コントローラ170はプログラムによって制御され、上記装置及び基板の様々な位置推算表データをモニタリングし登録するが、それらは、後述のように、センタリングシステム101によって使用されるものである。承知の通り、基板の寸法の特性は環境条件、特に温度によって異なり得る。例えば、上記した基板215は、処理中に温度変化にさらされると、熱膨張および熱収縮を起こす。コントローラ170は、基板の事前位置や環境温度に関する情報、つまりその事前位置に基板がさらされることになり得る情報、を含み得るが、もちろん露光時間やその他関連情報も含み得る。例えば、上記基板は事前時間の一時点においてベーキングモジュールから外され、ある温度で搬送コンテナ内に設置され、そこに一定期間保持され得、その後一定の事前温度を有する装置100に搭載され得る。コントローラメモリは、こうして装置100の様々な領域における温度情報を保持し得、基板215は、その領域を経由して搬送され、あるいはその領域内においてFABの他の所望部分と共に保持される。例えば、温度情報は、搬送コンテナ(図示しない)のコントローラ170内に保存され得るが、コントローラ170では基板が上記装置に搬送される。基板がバッファリングされ得るフロントセクション105(図1を参照)やロードロック及び任意のバッファセクション(図示しない)に関する温度情報が保存され得る。同様に、バキュームセクション110では、熱情報、例えば放射表面の温度あるいは熱で吸収する表面の温度は(例えば、ヒーティングプレートやクーリングプレートなど)、承知の通りコントローラ170に保存され得、上記典型的実施例では、コントローラ170は位置や時間などの基板の一時的情報をモニタリングし保存し得る。こうしてコントローラ170は、上記典型的実施例では、所望パラメータの記憶情報を含み得、所望期間、例えばセンサ197から199を通過するとき、の基板の熱条件を十分に確定する。例えば、上記コントローラは適切な熱バランスアルゴリズムを含み得、それにより所定時間、例えばアライナ162による半径測定時やセンサ199の通過時などに、適切に基板の熱条件(つまり温度)を設けることが可能となる。もう1つの別な実施例では、上記した基板の熱条件を識別するデータは、コントローラによって所望の外部メモリ位置からアクセスされる。さらに別な実施例では、基板の熱条件は、光学温度計などの適当な装置によって直接測定され得る。ウェハの温度データはコントローラと通信可能な状態で使用され、それによって後述する熱条件に起因する寸法の差異が確定される。
ここで図4を参照すると、上記典型的実施例では、コントローラ170内にプログラミングされた上記センタリング処理は、ロボット参照構造に対するセンサ199の位置を較正し得る。これは図4に図示される。センサ199の位置を較正すべく、公知な寸法の較正基板215C(上記したように、コントローラはオンザフライセンタリング確定の所望精度に合わせて十分に正確な各基板寸法を把握する)は、上記した基板の中心に位置付けられ、実質的にエンドエフェクタ中心Rc上の所望の参照位置と一致する。もう1つの別な実施例では、上記した搬送ロボット上の位置のような任意の所望参照位置が使用されてもよい。承知の通り、上記した所望参照位置の正確な位置は、搬送ロボット上でロボット参照フレームの範囲内で定められ、例えば適切なロボットティーチングシステムを使用することにより上記センサ位置の較正を容易にする。
図4では、上記した較正基板が215CTE及び215CLEの2方向に示され、その2方向において各センサ199は較正基板の外周の後縁部及び前縁部を検知する。較正アルゴリズム(1−2)は、コントローラ170がセンサ座標Xc及びYcを確定することを可能にする。(本例では、デカルト座標が使用される。但し、コントローラは適切な数式によってプログラミングされ、いかなる所望の空間座標システムにおいてもセンサ位置を確定する。)上記したように、r, rc2及び c1 の値はコントローラ170に登録され、確定後、センサの座標(X, Y)はコントローラ170に保存される。数式1−2における値rは、較正ウェハの公知半径である。承知の通り、上記したセンサ位置の較正はいかなるときでも実行され、例えば上記した処理装置のセットアップ時などにも実行され得る。ウェハ半径rの較正は、較正手順の実行に先立ち、こうして十分に短時間の間に正確に行われ、その結果、熱的効果に起因する寸法の不一致はごくわずかなものとなり、そのような効果に対するいかなる補正も不要となる。それでもなお、上記した較正基板215C半径の測定時とは実質的に異なる熱的効果を含む装置で較正が生じる場合、熱的効果に起因する半径の値rの変化は、後述する操作基板に類似の方法で定められる。さらに承知の通り、上記した数式1−2に記載の較正アルゴリズムは、後述する他のウェハセンタリングアルゴリズムの数式と同様、図4に示す典型的実施例において半径搬送経路Pとして表されている。もう1つの別な実施例では、前記したように経路が半径方向でなくても、較正アルゴリズム(1−2)及び他のセンタリングアルゴリズムは適切に修正され得、経路の形状に対応する。例えば、半径方向経路Pに対して通常並行な搬送経路の補正については、アルゴリズムは通常、その形状が較正アルゴリズム及びセンタリングアルゴリズムに類似し得るが、例えば並行搬送経路オフセットに関する公知の定数計算を含み得る。こうして数式1−2はより一般的に以下のように表現され得る。
Figure 2009500869
Figure 2009500869
代替実施例では、上記した数式は様々な直線経路の形状に応じて修正され得る。
図5は、215wle及び215wteの位置(図3b−3cのC1及びC2に類似)におけるオンザフライセンタリングの対象となる基板(プロダクション基板など)を図式的に示しており、そこでは前述のように、センサ199が基板前縁部及び後縁部を検知する際にコントローラ170によってロボット半径方向の位置 m1 及び m2 が取得される。コントローラ170は、ウェハセンタリングアルゴリズムによってプログラミングされ、例えば下記の数式(3)−(8)によって確定される。
【図1】
Figure 2009500869
【図5】
Figure 2009500869

Claims (10)

  1. 基板処理装置であって、
    前記処理装置の処理ステーション間において基板を搬送する搬送装置と、
    前記搬送装置と接続され、前記搬送装置によって搬送された基板を検知可能な少なくとも1のセンサであって、前記基板の検知に応じて少なくとも1の信号を送信するようなされているセンサと、
    前記搬送装置によって搬送される基板間の半径の差異を示す情報をもたらす基板差異判定システムと、
    前記少なくとも1つのセンサ及び前記基板差異判定システムと通信可能に接続されて前記基板差異判定システムから前記基板間の半径の差異の情報を受信するコントローラと、を含み、
    前記コントローラは、前記基板間の半径の差異の情報を用いて、前記基板上の2つの点を特定しかつ前記基板間の半径の差異に依存しない前記少なくとも1つの信号から、互いに角度をなす少なくとも2つの方向において、所定の場所に対する個々の基板の配置を決定することを特徴とする基板処理装置。
  2. 前記少なくとも1のセンサはビーム源とビーム検出部と、を含むことを特徴とする請求項1記載の装置。
  3. 前記少なくとも1のセンサはスルービームセンサであることを特徴とする請求項2記載の装置。
  4. 前記少なくとも1つのセンサは反射センサであることを特徴とする請求項2記載の装置。
  5. 前記コントローラはプログラムにより制御され、直線経路に沿って前記搬送装置で前記基板を搬送し、前記少なくとも1の信号は、前記直線経路上で搬送された前記基板を検知する前記少なくとも1のセンサに応答することを特徴とする請求項1記載の装置。
  6. 前記直線経路は、前記搬送装置がユニットとして枢動可能なピボット軸を通過していることを特徴とする請求項5記載の装置。
  7. 前記直線経路は、前記搬送装置がユニットとして枢動可能なピボット軸から離れていることを特徴とする請求項5記載の装置。
  8. 前記少なくとも1のセンサとは異なりかつ前記コントローラに接続されて、前記基板が前記搬送装置を用いて搬送されていない場合に、前記基板の所定の特性を測定する少なくとも1つの他のセンサをさらに含み、前記コントローラは、前記少なくとも1の信号及び前記所定の特性の測定値を用いて前記基板のアラインメントを判定することを特徴とする請求項1記載の装置。
  9. 前記少なくとも1つの他のセンサは基板アライナであることを特徴とする請求項8記載の装置。
  10. 前記少なくとも1つ他のセンサは、前記処理装置の隔壁により前記少なくとも1のセンサから分離されていることを特徴とする請求項8記載の装置。
JP2008521545A 2005-07-11 2006-07-11 オンザフライ(onthefly)ワークピースセンタリングを備えた装置 Pending JP2009500869A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US69853605P 2005-07-11 2005-07-11
PCT/US2006/026973 WO2007008939A2 (en) 2005-07-11 2006-07-11 Apparatus with on-the-fly workpiece centering

Publications (2)

Publication Number Publication Date
JP2009500869A JP2009500869A (ja) 2009-01-08
JP2009500869A5 true JP2009500869A5 (ja) 2012-11-29

Family

ID=37637912

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008521545A Pending JP2009500869A (ja) 2005-07-11 2006-07-11 オンザフライ(onthefly)ワークピースセンタリングを備えた装置

Country Status (4)

Country Link
US (1) US7925378B2 (ja)
JP (1) JP2009500869A (ja)
TW (1) TWI397969B (ja)
WO (1) WO2007008939A2 (ja)

Families Citing this family (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8812150B2 (en) * 2003-11-10 2014-08-19 Brooks Automation, Inc. Semiconductor manufacturing process modules
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US11707520B2 (en) 2005-11-03 2023-07-25 Seqirus UK Limited Adjuvanted vaccines with non-virion antigens prepared from influenza viruses grown in cell culture
PL1945252T3 (pl) 2005-11-04 2013-11-29 Seqirus Uk Ltd Szczepionki zawierające oczyszczone antygeny powierzchniowe otrzymane z wirusów grypy hodowanych w hodowli komórkowej i skwalen jako adiuwant
US7387484B2 (en) * 2005-12-21 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer positioning systems and methods thereof
TW200725785A (en) * 2005-12-30 2007-07-01 Powerchip Semiconductor Corp Displaced wafer detection systems
US8751047B2 (en) * 2007-12-27 2014-06-10 Lam Research Corporation Systems and methods for calibrating end effector alignment in a plasma processing system
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US20100045729A1 (en) * 2008-08-19 2010-02-25 Silverbrook Research Pty Ltd Method for testing alignment of a test bed with a plurality of integrated circuits thereon
US20100044437A1 (en) * 2008-08-19 2010-02-25 Silverbrook Research Pty Ltd Measuring apparatus for a carrier of printhead integrated circuitry
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8060330B2 (en) * 2008-12-12 2011-11-15 Lam Research Corporation Method and system for centering wafer on chuck
DE102009016288B4 (de) * 2009-01-02 2013-11-21 Singulus Technologies Ag Verfahren und Vorrichtung für die Ausrichtung von Substraten
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8318512B2 (en) * 2009-04-29 2012-11-27 Applied Materials, Inc. Automated substrate handling and film quality inspection in solar cell processing
CN101648382A (zh) * 2009-06-26 2010-02-17 东莞宏威数码机械有限公司 集束传输设备
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8698889B2 (en) * 2010-02-17 2014-04-15 Applied Materials, Inc. Metrology system for imaging workpiece surfaces at high robot transfer speeds
US8452077B2 (en) * 2010-02-17 2013-05-28 Applied Materials, Inc. Method for imaging workpiece surfaces at high robot transfer speeds with correction of motion-induced distortion
US8620064B2 (en) * 2010-02-17 2013-12-31 Applied Materials, Inc. Method for imaging workpiece surfaces at high robot transfer speeds with reduction or prevention of motion-induced distortion
KR102392186B1 (ko) * 2011-03-11 2022-04-28 브룩스 오토메이션 인코퍼레이티드 기판 처리 툴
US8958907B2 (en) * 2011-03-31 2015-02-17 Sinfonia Technology Co., Ltd. Robot arm apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5621796B2 (ja) * 2012-01-31 2014-11-12 株式会社安川電機 搬送システム
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP5750472B2 (ja) * 2013-05-22 2015-07-22 株式会社安川電機 基板搬送ロボット、基板搬送システムおよび基板の配置状態の検出方法
US9548231B2 (en) 2013-06-05 2017-01-17 Persimmon Technologies, Corp. Robot and adaptive placement system and method
TWI623994B (zh) 2013-07-08 2018-05-11 布魯克斯自動機械公司 具有即時基板定心的處理裝置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9370863B2 (en) 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20180015614A1 (en) * 2015-02-04 2018-01-18 Kawasaki Jukogyo Kabushiki Kaisha Robot shakes automatically adjusting device and method of automatically adjusting shakes of robot
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101740480B1 (ko) * 2015-05-29 2017-06-08 세메스 주식회사 티칭 방법, 그리고 이를 이용한 기판 처리 장치
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
CN114758975A (zh) * 2015-07-13 2022-07-15 博鲁可斯自动化美国有限责任公司 在传输中自动晶圆定中方法及设备
KR102587203B1 (ko) * 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
TWI561355B (en) * 2015-12-25 2016-12-11 Pou Chen Corp Shoe part processing apparatus and method thereof
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
CN109863585A (zh) * 2016-10-17 2019-06-07 Asml荷兰有限公司 处理设备和用于校正横跨衬底的参数变化的方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10651065B2 (en) 2017-12-06 2020-05-12 Lam Research Corporation Auto-calibration to a station of a process module that spins a wafer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN112786475A (zh) * 2019-11-08 2021-05-11 沈阳新松机器人自动化股份有限公司 一种晶圆自动纠偏方法
CN112775956A (zh) * 2019-11-08 2021-05-11 沈阳新松机器人自动化股份有限公司 一种机械手awc纠偏系统实现方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113380686A (zh) * 2021-05-18 2021-09-10 沈阳芯源微电子设备股份有限公司 一种晶圆自动对中方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023159836A (ja) * 2022-04-20 2023-11-01 川崎重工業株式会社 基板搬送ロボットの制御装置及び基板搬送ロボットの制御方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2611251B2 (ja) * 1987-08-28 1997-05-21 株式会社ニコン 基板搬送装置
JP2599571B2 (ja) * 1994-05-11 1997-04-09 ダイトロンテクノロジー株式会社 基板搬送ロボット
US6121048A (en) * 1994-10-18 2000-09-19 Zaffaroni; Alejandro C. Method of conducting a plurality of reactions
TW319751B (ja) * 1995-05-18 1997-11-11 Toshiba Co Ltd
US5789890A (en) * 1996-03-22 1998-08-04 Genmark Automation Robot having multiple degrees of freedom
US5980195A (en) * 1996-04-24 1999-11-09 Tokyo Electron, Ltd. Positioning apparatus for substrates to be processed
US6213853B1 (en) * 1997-09-10 2001-04-10 Speedfam-Ipec Corporation Integral machine for polishing, cleaning, rinsing and drying workpieces
WO1999028952A2 (en) * 1997-11-28 1999-06-10 Fortrend Engineering Corporation Wafer-mapping load port interface
US6198976B1 (en) * 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
TW469483B (en) * 1999-04-19 2001-12-21 Applied Materials Inc Method and apparatus for aligning a cassette
US6347291B1 (en) * 2000-01-05 2002-02-12 Lsi Logic Corporation Substrate position location system
US6327517B1 (en) * 2000-07-27 2001-12-04 Applied Materials, Inc. Apparatus for on-the-fly center finding and notch aligning for wafer handling robots
TW484750U (en) * 2000-09-08 2002-04-21 Ind Tech Res Inst Opening/closing device for front-opened type wafer box
JP4576694B2 (ja) * 2000-10-11 2010-11-10 東京エレクトロン株式会社 被処理体の処理システムの搬送位置合わせ方法及び被処理体の処理システム
US6533521B1 (en) * 2001-03-29 2003-03-18 Genmark Automation, Inc. Integrated substrate handler having pre-aligner and storage pod access mechanism
JP2002319612A (ja) * 2001-04-20 2002-10-31 Shin Etsu Handotai Co Ltd ウェーハ搬送装置、気相成長装置およびウェーハ搬送方法
EP1315198B1 (en) * 2001-11-21 2006-08-30 RIGHT MFG. Co. Ltd. Pod cover removing-installing apparatus
US20030110649A1 (en) * 2001-12-19 2003-06-19 Applied Materials, Inc. Automatic calibration method for substrate carrier handling robot and jig for performing the method
TWI258831B (en) * 2001-12-31 2006-07-21 Applied Materials Inc Cassette and workpiece handler characterization tool
JP4260423B2 (ja) * 2002-05-30 2009-04-30 ローツェ株式会社 円盤状物の基準位置教示方法、位置決め方法および搬送方法並びに、それらの方法を使用する円盤状物の基準位置教示装置、位置決め装置、搬送装置および半導体製造設備
KR20040010280A (ko) * 2002-07-22 2004-01-31 어플라이드 머티어리얼스, 인코포레이티드 고온 기판 이송 로봇
WO2004059699A2 (en) * 2002-12-20 2004-07-15 Brooks Automation, Inc. System and method for on-the-fly eccentricity recognition
JP2004282002A (ja) * 2003-02-27 2004-10-07 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US7333175B2 (en) * 2004-09-13 2008-02-19 Asml Netherlands, B.V. Method and system for aligning a first and second marker

Similar Documents

Publication Publication Date Title
JP2009500869A5 (ja) オンザフライ(on the fly)ワークピースセンタリングを用いる基板処理装置
JP2009500869A (ja) オンザフライ(onthefly)ワークピースセンタリングを備えた装置
JP5562424B2 (ja) 2つの基板を位置合わせするための装置
KR101363591B1 (ko) 자동 정렬 기능을 갖는 기판 이송 장치
TWI724175B (zh) 溫度測量系統及用於測量工件的溫度的設備
TWI512875B (zh) 用於調整聯結於一晶圓處理機器人之一進給手臂之校直及位置之系統以及用於調整聯結於一晶圓處理機器人之一進給手臂之校直及位置之方法
TW594458B (en) Method for determining a position of a robot
US8346392B2 (en) Method and system for the high-precision positioning of at least one object in a final location in space
US6760976B1 (en) Method for active wafer centering using a single sensor
US8060244B2 (en) Substrate processing apparatus and carrier adjusting system
TW200837869A (en) Deposition analysis for robot motion correction
US7596425B2 (en) Substrate detecting apparatus and method, substrate transporting apparatus and method, and substrate processing apparatus and method
KR20190055068A (ko) 통합 방사 센서 정렬 특성
CN112534555A (zh) 用于半导体加工的无线基片类示教传感器
US7073239B2 (en) Fiducial calibration method and system for assembling parts
CN113799172A (zh) 末端执行器的位移测量方法及装置
JP2014092489A (ja) 検査装置および検査方法
JP2002124556A (ja) ウエハ搬送装置
JPH10340112A (ja) 自動キャリブレーション機能付きロボット
JPH03161223A (ja) ワークのはめ合い方法
WO2022160564A1 (zh) 控片量测方法及量测装置
JP2002310641A (ja) 三次元形状計測機の座標系のキャリブレーション方法
JPH0854234A (ja) 三次元座標位置計測方法
JP7431216B2 (ja) ロボットと共に使用される位置合わせセンサを含む補足計測位置座標決定システム
JP2002243431A (ja) ウエハのそり測定方法