KR101740480B1 - 티칭 방법, 그리고 이를 이용한 기판 처리 장치 - Google Patents

티칭 방법, 그리고 이를 이용한 기판 처리 장치 Download PDF

Info

Publication number
KR101740480B1
KR101740480B1 KR1020150076463A KR20150076463A KR101740480B1 KR 101740480 B1 KR101740480 B1 KR 101740480B1 KR 1020150076463 A KR1020150076463 A KR 1020150076463A KR 20150076463 A KR20150076463 A KR 20150076463A KR 101740480 B1 KR101740480 B1 KR 101740480B1
Authority
KR
South Korea
Prior art keywords
substrate
robot
support plate
center
buffer
Prior art date
Application number
KR1020150076463A
Other languages
English (en)
Other versions
KR20160140177A (ko
Inventor
김덕식
유준호
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020150076463A priority Critical patent/KR101740480B1/ko
Priority to US15/161,410 priority patent/US9966285B2/en
Priority to CN201610366142.9A priority patent/CN106206369B/zh
Publication of KR20160140177A publication Critical patent/KR20160140177A/ko
Application granted granted Critical
Publication of KR101740480B1 publication Critical patent/KR101740480B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Abstract

본 발명은 티칭 방법을 제공한다. 본 발명에 따른 티칭 방법은 기판을 지지하며 회전 가능한 지지판 상에 기판을 반송하는 로봇의 위치를 설정하는 티칭 방법에 있어서, 상기 로봇으로 상기 지지판 상에 상기 기판을 로딩하고, 상기 지지판을 설정각도 회전시킨 후, 상기 로봇으로 상기 기판을 상기 지지판으로부터 언로딩하고, 상기 로봇의 핸드 상에 놓인 상기 기판의 중심 틀어짐 값을 검출하는 것을 복수회 반복하여, 획득된 상기 중심 틀어짐 값들을 이용하여 상기 로봇의 위치를 설정한다.

Description

티칭 방법, 그리고 이를 이용한 기판 처리 장치{TEACHING METHOD AND SUBSTRATE TREATING APPARATUS USING THE SAME}
본 발명은 티칭 방법 및 이를 이용한 기판 처리 장치에 관한 것으로 보다 상세하게는 기판 이송 로봇의 자동 티칭 방법 및 이를 이용한 기판 처리 장치에 관한 것이다.
반도체 소자 및 평판표시패널의 제조 공정은 사진, 식각, 애싱, 박막 증착, 그리고 세정 공정 등 다양한 공정들이 수행된다. 이러한 공정들 중 사진은 도포, 노광, 그리고 현상 공정을 순차적으로 수행한다. 도포 공정은 기판의 표면에 레지스트와 같은 감광액을 도포하는 공정이다. 노광 공정은 감광막이 형성된 기판 상에 회로 패턴을 노광하는 공정이다. 현상 공정에는 기판의 노광 처리된 영역을 선택적으로 현상하는 공정이다. 이 중 도포 공정은 크게 액 도포 공정 및 에지 비드 제거(Edge bead removal: EBR) 공정을 포함한다. 여기서 액 도포 공정은 기판의 상면 전체 영역에 감광막을 형성하는 공정이고, 에지 비드 제거 공정은 기판의 가장자리 영역에 형성된 감광막(에지 비드)을 제거하는 공정이다.
기판 이송 장치는 각 공정을 처리하는 처리 유닛(또는 공정 챔버)으로 기판을 이송한다. 따라서 기판 이송 장치는 각각의 처리 유닛으로 정확하게 기판을 공급하기 위해 이송로봇의 위치를 설정할 필요가 있다. 예를 들어, 스피너 시스템이나 스크러버 등의 반도체 제조 설비는 복수의 처리 유닛들을 가지며, 기판을 이송로봇에 의해 처리 유닛으로 이송한다. 처리 유닛은 각각의 공정을 진행하고, 기판은 다시 이송 로봇에 의해 외부로 이송된다. 이때 기판이 처리 유닛 내 플레이트의 설정된 위치에 정확하게 놓이는 것은 매우 중요하다. 기판이 베이크 모듈이나 도포 모듈 내의 플레이트에 부정확하게 놓이면 기판의 전체에 대해 균일하게 가열하지 못하거나 포토레지스트의 균일한 도포가 이루어지지 않는 등의 공정 오류가 발생된다. 특히, 최근에는 회로 패턴이 미세화되어 기판 가장 자리의 에지 비드(Edge bead)를 제거하는 에지 비드 제거(EBR, Edge Bead Remover)공정의 정밀도가 매우 중요하다. 이를 위해 기판을 정확한 위치로 로딩할 수 있도록 이송 로봇의 위치를 조절하는 티칭 작업의 중요성이 커지고 있다.
본 발명은 기판을 지지판의 설정된 위치에 정확하게 위치시키기 위한 로봇의 티칭 방법 및 기판 처리 장치를 제공하기 위한 것이다.
또한, 처리 공정의 정밀도를 향상시킨 기판 처리 장치를 제공하기 위한 것이다.
본 발명의 목적은 여기에 제한되지 않으며, 언급되지 않은 또 다른 목적들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
본 발명은 티칭 방법을 제공한다.
본 발명의 일 실시예에 의하면, 기판을 지지하며 회전 가능한 지지판 상에 기판을 반송하는 로봇의 위치를 설정하는 티칭 방법에 있어서, 상기 로봇으로 상기 지지판 상에 상기 기판을 로딩하고, 상기 지지판을 설정각도 회전시킨 후, 상기 로봇으로 상기 기판을 상기 지지판으로부터 언로딩하고, 상기 로봇의 핸드 상에 놓인 상기 기판의 중심 틀어짐 값을 검출하는 것을 복수회 반복하여, 획득된 상기 중심 틀어짐 값들을 이용하여 상기 로봇의 위치를 설정한다.
일 실시예에 의하면, 상기 로봇으로 상기 지지판 상에 상기 기판을 로딩하기 전에, 상기 핸드 상에서 상기 기판의 중심 틀어짐 값을 획득하는 것을 더 포함한다.
일 실시예에 의하면, 상기 중심 틀어짐 값의 검출은 상기 로봇에 제공된 센서를 이용하여 이루어진다.
일 실시예에 의하면, 상기 중심 틀어짐 값의 검출은 카메라를 이용하여 이루어진다.
일 실시예에 의하면, 획득된 3개의 상기 중심 틀어짐 값을 이용하여 로봇의 위치를 설정한다.
일 실시예에 의하면, 3개의 상기 중심 틀어짐 값을 모두 지나는 원의 중심점을 구하고, 상기 중심점이 상기 지지판의 중심에 대응되도록 상기 로봇의 위치를 설정한다.
일 실시예에 의하면, 상기 설정각도는 90도이며, 상기 복수 회는 3회이다.
일 실시예에 의하면, 4개의 상기 중심 틀어짐 값을 검출하고, 획득된 4개의 상기 중심 틀어짐 값 중에서 2개의 상기 중심 틀어짐 값끼리 각각 서로 연결하되, 상기 연결한 라인이 서로 교차하여 교차점을 형성하도록 연결하고, 상기 교차점이 상기 지지판의 중심에 대응되도록 상기 로봇의 위치를 설정한다.
일 실시예에 의하면, 획득된 4개의 상기 중심 틀어짐 값 중에서 2개의 상기 중심 틀어짐 값끼리 각각 서로 연결하되, 상기 연결한 라인이 서로 교차하여 교차점을 형성하도록 연결하고, 상기 교차점이 상기 지지판의 중심에 대응되도록 상기 로봇의 위치를 설정한다.
일 실시예에 의하면, 기판을 지지하며 회전 가능한 지지판 상에 기판을 반송하는 로봇의 위치를 설정하는 티칭 방법에 있어서, 상기 로봇의 핸드에 상기 기판을 위치시킨 상태에서, 상기 기판의 1차 중심 틀어짐 값을 검출하는 1차 검출단계; 상기 지지판 상에 상기 기판을 위치시키고, 상기 지지판을 90도 회전시키는 1차 회전단계; 상기 지지판으로부터 상기 기판을 언로딩하여 상기 로봇의 상기 핸드에 상기 기판을 위치시킨 상태에서, 상기 기판의 2차 중심 틀어짐 값을 검출하는 2차 검출단계; 상기 지지판 상에 상기 기판을 위치시키고, 상기 지지판을 90도 회전시키는 2차 회전단계; 상기 지지판으로부터 상기 기판을 언로딩하여 상기 로봇의 상기 핸드에 상기 기판을 위치시킨 상태에서, 상기 기판의 3차 중심 틀어짐 값을 검출하는 3차 검출단계; 상기 지지판 상에 상기 기판을 위치시키고, 상기 지지판을 90도 회전시키는 3차 회전단계; 및 상기 지지판으로부터 상기 기판을 언로딩하여 상기 로봇의 상기 핸드에 상기 기판을 위치시킨 상태에서, 상기 기판의 4차 중심 틀어짐 값을 검출하는 4차 검출단계;를 포함한다.
일 실시예에 의하면, 상기 1차 중심 틀어짐 값과 상기 3차 중심 틀어짐 값을 서로 연결한 제1 라인과, 상기 2차 중심 틀어짐 값과 상기 4차 중심 틀어짐 값을 서로 연결한 제2 라인의 교차점을 구하고, 상기 교차점이 상기 지지판의 중심에 대응되도록 상기 로봇의 위치를 설정한다.
일 실시예에 의하면, 상기 1차 검출단계는, 상기 기판을 상기 지지판에 로딩하기 전에 상기 핸드 상에서 이루어진다.
일 실시예에 의하면, 상기 1차 검출단계는, 상기 기판을 상기 지지판에 로딩하고, 다시 상기 핸드로 상기 지지판으로부터 상기 기판을 언로딩한 후 상기 핸드 상에서 이루어진다.
일 실시예에 의하면, 상기 중심 틀어짐 값의 검출은 상기 로봇에 제공된 센서를 이용하여 이루어진다.
일 실시예에 의하면, 상기 중심 틀어짐 값의 검출은 카메라를 이용하여 이루어진다.
본 발명은 기판 처리 장치를 제공한다.
본 발명의 일 실시예에 의하면, 기판을 지지하며 회전 가능한 지지판; 상기 기판이 안착되는 핸드를 가지고, 상기 지지판 상에 상기 기판을 반송하는 로봇; 상기 기판의 중심 틀어짐 값을 검출하는 검출기; 및 검출된 상기 중심 틀어짐 값들을 이용하여 상기 로봇의 위치를 설정하는 연산부를 가지고, 상기 지지판의 회전 및 상기 로봇을 제어하는 제어기를 포함하되, 상기 제어기는, 상기 로봇의 위치를 설정할 때, 상기 로봇으로 상기 지지판 상에 상기 기판을 로딩하고, 상기 지지판을 설정각도 회전시킨 후, 상기 로봇으로 상기 기판을 상기 지지판으로부터 언로딩하고, 상기 핸드 상에 놓인 상기 기판의 중심 틀어짐 값을 검출하는 것을 복수 회 반복하여, 획득된 상기 중심 틀어짐 값들을 이용하여 상기 로봇의 위치를 설정한다.
일 실시예에 의하면, 상기 검출기는 상기 로봇에 제공된 센서이다.
일 실시예에 의하면, 상기 검출기는 카메라이다.
일 실시예에 의하면, 상기 제어기는 상기 검출기가 3개의 상기 중심 틀어짐 값을 획득할 수 있도록 상기 지지판 및 상기 로봇을 제어한다.
일 실시예에 의하면, 상기 제어기는 상기 설정각도를 90도로 하여 상기 검출기가 4개의 상기 중심 틀어짐 값을 획득할 수 있도록 상기 지지판의 회전 및 상기 로봇을 제어한다.
일 실시예에 의하면, 상기 연산부는 검출된 상기 3개의 중심 틀어짐 값을 모두 지나는 원의 중심점을 구하고, 상기 제어기는 상기 중심점에 상기 지지판의 중심이 대응되도록 상기 로봇의 위치를 설정한다.
일 실시예에 의하면, 상기 제어기는 상기 검출기가 4개의 상기 중심 틀어짐 값을 획득할 수 있도록 상기 지지판의 회전 및 상기 로봇을 제어하고, 상기 연산부는 획득된 4개의 상기 중심 틀어짐 값 중에서 2개의 상기 중심 틀어짐 값끼리 각각 서로 연결하되, 상기 연결한 라인이 서로 교차하여 교차점을 형성하도록 연결하고, 상기 교차점이 상기 지지판의 중심에 대응되도록 상기 로봇의 위치를 설정한다.
일 실시예에 의하면, 상기 연산부는, 획득된 4개의 상기 중심 틀어짐 값 중에서 2개의 상기 중심 틀어짐 값끼리 각각 서로 연결하되, 상기 연결한 라인이 서로 교차하여 교차점을 형성하도록 연결하고, 상기 교차점이 상기 지지판의 중심에 대응되도록 상기 로봇의 위치를 설정한다.
일 실시예에 의하면, 상기 기판의 가장 자리에 형성된 에지 비드(Edge Bead)가 제거되도록 상기 기판의 가장 자리 영역에 에지 비드 제거액을 공급하는 이비알(EBR Edge Bead Removal) 노즐;을 더 포함한다.
본 발명의 실시예에 의하면, 기판을 이송 또는 반송시에 기판을 지지판의 설정된 위치에 정확하게 위치시킬 수 있다.
본 발명의 실시예에 의하면, 처리 공정의 정밀도를 향상시킬 수 있다.
본 발명의 효과가 상술한 효과들로 한정되는 것은 아니며, 언급되지 아니한 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.
도 1은 기판 처리 설비를 보여주는 단면도이다.
도 2는 도 1의 설비를 A-A 방향에서 바라본 단면도이다.
도 3는 도 1의 설비를 B-B 방향에서 바라본 단면도이다.
도 4은 도 1의 설비를 C-C 방향에서 바라본 단면도이다.
도 5는 도 1의 이송 로봇을 보여주는 도면이다.
도 6은 도 1의 본 발명에 따른 기판 처리 장치를 보여주는 도면이다.
도 7 내지 도 10은 로봇이 지지판 상에 기판을 위치시키는 과정을 보여주는 도면이다.
도 11은 3개의 중심 틀어짐 값을 이용하여 로봇의 위치를 설정하는 방법을 보여주는 도면이다.
도 12는 4개의 중심 틀어짐 값을 구하기 위한 티칭 방법의 단계를 보여주는 흐름도이다.
도 13은 4개의 중심 틀어짐 값을 이용하여 로봇의 위치를 설정하는 방법을 보여주는 도면이다.
이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장된 것이다.
본 실시예의 설비는 반도체 웨이퍼 또는 평판 표시 패널과 같은 기판에 대해 포토리소그래피 공정을 수행하는 데 사용될 수 있다. 특히 본 실시예의 설비는 노광장치에 연결되어 기판에 대해 도포 공정 및 현상 공정을 수행하는 데 사용될 수 있다. 아래에서는 기판으로 웨이퍼가 사용된 경우를 예로 들어 설명한다.
이하 도 1 내지 도 5를 통해 본 발명의 기판 처리 설비 및 기판 처리 장치를 설명한다.
도 1은 기판 처리 설비를 상부에서 바라본 도면이고, 도 2는 도 1의 설비를 A-A 방향에서 바라본 도면이고, 도 3는 도 1의 설비를 B-B 방향에서 바라본 도면이고, 도 4은 도 1의 설비를 C-C 방향에서 바라본 도면이다.
도 1 내지 도 4를 참조하면, 기판 처리 설비(1)는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)을 포함한다. 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)은 순차적으로 일 방향으로 일렬로 배치된다.
이하, 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)이 배치된 방향을 제 1 방향(12)이라 칭하고, 상부에서 바라볼 때 제 1 방향(12)과 수직한 방향을 제 2 방향(14)이라 칭하고, 제 1 방향(12) 및 제 2 방향(14)과 각각 수직한 방향을 제 3 방향(16)이라 칭한다.
기판(W)은 카세트(20) 내에 수납된 상태로 이동된다. 이때 카세트(20)는 외부로부터 밀폐될 수 있는 구조를 가진다. 예컨대, 카세트(20)로는 전방에 도어를 가지는 전면 개방 일체식 포드(Front Open Unified Pod; FOUP)가 사용될 수 있다.
이하에서는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)에 대해 상세히 설명한다.
로드 포트(100)는 기판들(W)이 수납된 카세트(20)가 놓여지는 재치대(120)를 가진다. 재치대(120)는 복수개가 제공되며, 재치대들(200)은 제 2 방향(14)을 따라 일렬로 배치된다. 도 2에서는 4개의 재치대(120)가 제공되었다.
인덱스 모듈(200)은 로드 포트(100)의 재치대(120)에 놓인 카세트(20)와 제 1 버퍼 모듈(300) 간에 기판(W)을 이송한다. 인덱스 모듈(200)은 프레임(210), 인덱스 로봇(220), 그리고 가이드 레일(230)을 가진다. 프레임(210)은 대체로 내부가 빈 직육면체의 형상으로 제공되며, 로드 포트(100)와 제 1 버퍼 모듈(300) 사이에 배치된다. 인덱스 모듈(200)의 프레임(210)은 후술하는 제 1 버퍼 모듈(300)의 프레임(310)보다 낮은 높이로 제공될 수 있다. 인덱스 로봇(220)과 가이드 레일(230)은 프레임(210) 내에 배치된다. 인덱스 로봇(220)은 기판(W)을 직접 핸들링하는 핸드(221)가 제 1 방향(12), 제 2 방향(14), 제 3 방향(16)으로 이동 가능하고 회전될 수 있도록 4축 구동이 가능한 구조를 가진다. 인덱스 로봇(220)은 핸드(221), 아암(222), 지지대(223), 그리고 받침대(224)를 가진다. 핸드(221)는 아암(222)에 고정 설치된다. 아암(222)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 지지대(223)는 그 길이 방향이 제 3 방향(16)을 따라 배치된다. 아암(222)은 지지대(223)를 따라 이동 가능하도록 지지대(223)에 결합된다. 지지대(223)는 받침대(224)에 고정결합된다. 가이드 레일(230)은 그 길이 방향이 제 2 방향(14)을 따라 배치되도록 제공된다. 받침대(224)는 가이드 레일(230)을 따라 직선 이동 가능하도록 가이드 레일(230)에 결합된다. 또한, 도시되지는 않았지만, 프레임(210)에는 카세트(20)의 도어를 개폐하는 도어 오프너가 더 제공된다.
제 1 버퍼 모듈(300)은 프레임(310), 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)을 가진다. 프레임(310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(200)과 도포 및 현상 모듈(400) 사이에 배치된다. 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)은 프레임(310) 내에 위치된다. 냉각 챔버(350), 제 2 버퍼(330), 그리고 제 1 버퍼(320)는 순차적으로 아래에서부터 제 3 방향(16)을 따라 배치된다. 제 1 버퍼(320)는 후술하는 도포 및 현상 모듈(400)의 도포 모듈(401)과 대응되는 높이에 위치되고, 제 2 버퍼(330)와 냉각 챔버(350)는 후술하는 도포 및 현상 모듈(400)의 현상 모듈(402)과 대응되는 높이에 위치된다. 제 1 버퍼 로봇(360)은 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼(320)와 제 2 방향(14)으로 일정 거리 이격되게 위치된다.
제 1 버퍼(320)와 제 2 버퍼(330)는 각각 복수의 기판들(W)을 일시적으로 보관한다. 제 2 버퍼(330)는 하우징(331)과 복수의 지지대들(332)을 가진다. 지지대들(332)은 하우징(331) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(332)에는 하나의 기판(W)이 놓인다. 하우징(331)은 인덱스 로봇(220), 제 1 버퍼 로봇(360), 그리고 후술하는 현상 모듈(402)의 현상부 로봇(482)이 하우징(331) 내 지지대(332)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향, 제 1 버퍼 로봇(360)이 제공된 방향, 그리고 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(320)는 제 2 버퍼(330)와 대체로 유사한 구조를 가진다. 다만, 제 1 버퍼(320)의 하우징(321)에는 제 1 버퍼 로봇(360)이 제공된 방향 및 후술하는 도포 모듈(401)에 위치된 도포부 로봇(432)이 제공된 방향에 개구를 가진다. 제 1 버퍼(320)에 제공된 지지대(322)의 수와 제 2 버퍼(330)에 제공된 지지대(332)의 수는 동일하거나 상이할 수 있다. 일 예에 의하면, 제 2 버퍼(330)에 제공된 지지대(332)의 수는 제 1 버퍼(320)에 제공된 지지대(322)의 수보다 많을 수 있다.
제 1 버퍼 로봇(360)은 제 1 버퍼(320)와 제 2 버퍼(330) 간에 기판(W)을 이송시킨다. 제 1 버퍼 로봇(360)은 핸드(361), 아암(362), 그리고 지지대(363)를 가진다. 핸드(361)는 아암(362)에 고정 설치된다. 아암(362)은 신축 가능한 구조로 제공되어, 핸드(361)가 제 2 방향(14)을 따라 이동 가능하도록 한다. 아암(362)은 지지대(363)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(363)에 결합된다. 지지대(363)는 제 2 버퍼(330)에 대응되는 위치부터 제 1 버퍼(320)에 대응되는 위치까지 연장된 길이를 가진다. 지지대(363)는 이보다 위 또는 아래 방향으로 더 길게 제공될 수 있다. 제 1 버퍼 로봇(360)은 단순히 핸드(361)가 제 2 방향(14) 및 제 3 방향(16)을 따른 2축 구동만 되도록 제공될 수 있다.
냉각 챔버(350)는 각각 기판(W)을 냉각한다. 냉각 챔버(350)는 하우징(351)과 냉각 플레이트(352)를 가진다. 냉각 플레이트(352)는 기판(W)이 놓이는 상면 및 기판(W)을 냉각하는 냉각 수단(353)을 가진다. 냉각 수단(353)으로는 냉각수에 의한 냉각이나 열전 소자를 이용한 냉각 등 다양한 방식이 사용될 수 있다. 또한, 냉각 챔버(350)에는 기판(W)을 냉각 플레이트(352) 상에 위치시키는 리프트 핀 어셈블리(도시되지 않음)가 제공될 수 있다. 하우징(351)은 인덱스 로봇(220) 및 후술하는 현상 모듈(402)에 제공된 현상부 로봇(482)이 냉각 플레이트(352)에 기판(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향 및 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 또한, 냉각 챔버(350)에는 상술한 개구를 개폐하는 도어들(도시되지 않음)이 제공될 수 있다.
도포 및 현상 모듈(400)은 노광 공정 전에 기판(W) 상에 포토 레지스트를 도포하는 공정 및 노광 공정 후에 기판(W)을 현상하는 공정을 수행한다. 도포 및 현상 모듈(400)은 대체로 직육면체의 형상을 가진다. 도포 및 현상 모듈(400)은 도포 모듈(401)과 현상 모듈(402)을 가진다. 도포 모듈(401)과 현상 모듈(402)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 도포 모듈(401)은 현상 모듈(402)의 상부에 위치된다.
도포 모듈(401)은 기판(W)에 대해 포토레지스트와 같은 감광액을 도포하는 공정 및 레지스트 도포 공정 전후에 기판(W)에 대해 가열 및 냉각과 같은 열처리 공정을 포함한다. 도포 모듈(401)은 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)를 가진다. 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 레지스트 도포 챔버(410)와 베이크 챔버(420)는 반송 챔버(430)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 레지스트 도포 챔버(410)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 레지스트 도포 챔버(410)가 제공된 예가 도시되었다. 베이크 챔버(420)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(420)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(420)는 더 많은 수로 제공될 수 있다.
반송 챔버(430)는 제 1 버퍼 모듈(300)의 제 1 버퍼(320)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(430) 내에는 도포부 로봇(432)과 가이드 레일(433)이 위치된다. 반송 챔버(430)는 대체로 직사각의 형상을 가진다. 도포부 로봇(432)은 베이크 챔버들(420), 레지스트 도포 챔버들(400), 제 1 버퍼 모듈(300)의 제 1 버퍼(320), 그리고 후술하는 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(520) 간에 기판(W)을 이송한다. 가이드 레일(433)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(433)은 도포부 로봇(432)이 제 1 방향(12)으로 직선 이동되도록 안내한다.
아래에서는 도 1 내지 도 5를 참고하여 도포부 로봇(432)을 설명한다.
도포부 로봇(432)은 개구(415)를 통해 도포 챔버(410) 내부로 이송된다. 도포부 로봇(432)은 핸드(434), 아암(435), 지지대(436), 그리고 받침대(437)를 가진다. 핸드(434)는 아암(435)에 고정 설치된다. 핸드(434)에는 기판이 안착된다. 아암(435)은 신축 가능한 구조로 제공되어 핸드(434)가 수평 방향으로 이동 가능하도록 한다. 지지대(436)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(435)은 지지대(436)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(436)에 결합된다. 지지대(436)는 받침대(437)에 고정 결합되고, 받침대(437)는 가이드 레일(433)을 따라 이동 가능하도록 가이드 레일(433)에 결합된다.
한편, 도포부 로봇(432)은 후술하는 바와 같이, 본 발명의 일 예에 의한 기판 처리 장치(800)의 로봇(932)으로 제공될 수 있다.
레지스트 도포 챔버(410)는 기판(W) 상에 포토 레지스트를 도포한다. 레지스트 도포 챔버들(410)은 모두 동일한 구조를 가진다. 다만, 각각의 레지스트 도포 챔버(410)에서 사용되는 포토 레지스트의 종류는 서로 상이할 수 있다. 일 예로서 포토 레지스트로는 화학 증폭형 레지스트(chemical amplification resist)가 사용될 수 있다.
레지스트 도포 챔버(410)는 본 발명의 일 예에 의한 기판 처리 장치(800)의 하우징(810)으로 제공될 수 있다.
아래에서는 도 1 내지 도 6을 참조하여, 본 발명에 따른 기판 처리 장치(800)를 설명한다.
기판 처리 장치(800)에서는 티칭 공정, 액 도포 공정 및 에지 비드 제거 (Edge Bead Removal: EBR) 공정이 수행된다. 기판 처리 장치(800)는 하우징(810), 기류 제공 유닛(820), 기판 지지 유닛(830), 액 공급 유닛(840), 처리 용기(850), 승강 유닛(890), 로봇(932), 검출기(938), 그리고 제어기(940)를 포함한다.
하우징(810)은 내부에 공간(812)을 가지는 직사각의 통 형상으로 제공된다. 하우징(810)의 일측에는 개구(815)가 형성된다. 개구(815)는 로봇(932)에 의해 기판(W)이 반출입되는 입구로 기능한다. 개구(815)에는 도어(817)가 설치되며, 도어(817)는 개구(815)를 개폐한다. 도어(817)는 기판 처리 공정이 진행되면, 개구(815)를 차단하여 하우징(810)의 내부 공간(812)을 밀폐한다. 하우징(810)의 하부면에는 내측 배기구(814) 및 외측 배기구(816)가 형성된다. 하우징(810) 내에 형성된 기류는 내측 배기구(814) 및 외측 배기구(816)를 통해 외부로 배기된다. 일 예에 의하면, 처리 용기(850) 내에 제공된 기류는 내측 배기구(814)를 통해 배기되고, 처리 용기(850)의 외측에 제공된 기류는 외측 배기구(816)를 통해 배기될 수 있다.
기류 제공 유닛(820)은 하우징(810)의 내부 공간에 하강 기류를 형성한다. 기류 제공 유닛(820)은 기류 공급 라인(822), 팬(824), 그리고 필터(826)를 포함한다. 기류 공급 라인(822)은 하우징(810)에 연결된다. 기류 공급 라인(822)은 외부의 에어를 하우징(810)에 공급한다. 필터(826)는 기류 공급 라인(822)으로부터 제공되는 에어를 필터(826)링 한다. 필터(826)는 에어에 포함된 불순물을 제거한다. 팬(824)은 하우징(810)의 상부면에 설치된다. 팬(824)은 하우징(810)의 상부면에서 중앙 영역에 위치된다. 팬(824)은 하우징(810)의 내부 공간에 하강 기류를 형성한다. 기류 공급 라인(822)으로부터 팬(824)에 에어가 공급되면, 팬(824)은 아래 방향으로 에어를 공급한다.
기판 지지 유닛(830)은 하우징(810)의 내부 공간에서 기판(W)을 지지한다. 기판 지지 유닛(830)은 기판(W)을 회전시킨다. 기판 지지 유닛(830)은 지지판(832), 회전축(834), 그리고 구동기(836)를 포함한다. 지지판(832)은 원형의 판 형상을 가지도록 제공된다. 지지판(832)의 상면에는 기판(W)이 접촉한다. 지지판(832)은 기판(W)보다 작은 직경을 가지도록 제공된다. 일 예에 의하면, 지지판(832)은 기판(W)을 진공 흡입하여 기판(W)을 척킹할 수 있다. 선택적으로, 지지판(832)은 정전기를 이용하여 기판(W)을 척킹하는 정전척으로 제공될 수 있다. 또한 지지판(832)은 기판(W)을 물리적 힘으로 척킹할 수 있다. 회전축(834)은 지지판(832)의 아래에서 지지판(832)을 지지한다. 회전축(834)은 그 길이방향이 상하방향을 향하도록 제공된다. 회전축(834)은 그 중심축을 중심으로 회전 가능하도록 제공된다. 구동기(836)는 회전축(834)이 회전되도록 구동력을 제공한다. 예컨대, 구동기(836)는 모터일 수 있다.
액 공급 유닛(840)은 기판(W) 상에 처리액을 공급한다. 처리액은 감광액 또는 에지 비드 제거액일 수 있다. 액 공급 유닛(840)은 이비알 노즐(842) 및 감광액 노즐(844)을 포함한다. 이비알 노즐(842)은 기판(W) 상에 에지 비드 제거액을 공급하고, 감광액 노즐(844)은 기판(W) 상에 감광액을 공급한다. 예컨대, 에지 비드 제거액은 감광액을 희석시키는 액일 수 있다. 에지 비드 제거액은 용제이고, 감광액은 레지스트와 같은 감광액일 수 있다. 이비알 노즐(842)은 중앙 위치 및 가장자리 위치에서 에지 비드 제거액을 공급하고, 감광액 노즐(844)은 중앙 위치에서 감광액을 공급한다. 여기서 중앙 위치는 각 노즐(842,844)이 기판(W)의 중앙 영역에 대향되는 위치이고, 가장자리 위치는 이비알 노즐(842)이 기판(W)의 가장자리 영역에 대향되는 위치이다.
처리 용기(850)는 하우징(810)의 내부 공간(812)에 위치된다. 처리 용기(850)는 내부에 처리 공간을 제공한다. 처리 용기는 상부가 개방된 컵 형상을 가지도록 제공된다. 처리 용기는 내측 컵(852) 및 외측 컵(862)을 포함한다.
내측 컵(852)은 회전축(834)을 감싸는 원형의 판 형상으로 제공된다. 상부에서 바라볼 때 내측 컵(852)은 내측 배기구(814)와 중첩되도록 위치된다.
외측 컵(862)은 기판 지지 유닛(830) 및 내측 컵(852)을 감싸는 컵 형상을 가지도록 제공된다. 외측 컵(862)은 바닥벽(864), 측벽(866), 그리고 상벽(870)을 가진다. 바닥벽(864)은 중공을 가지는 원형의 판 형상을 가지도록 제공된다. 바닥벽(864)에는 회수 라인(865)이 형성된다. 회수 라인(865)은 기판(W) 상에 공급된 처리액을 회수한다. 회수 라인(865)에 의해 회수된 처리액은 외부의 액 재생 시스템에 의해 재사용될 수 있다. 측벽(866)은 기판 지지 유닛(830)을 감싸는 원형의 통 형상을 가지도록 제공된다. 측벽(866)은 바닥벽(864)의 측단으로부터 수직한 방향으로 연장된다. 측벽(866)은 바닥벽(864)으로부터 위로 연장된다.
상벽(870)은 측벽(866)의 상단으로부터 외측 컵(862)의 내측 방향으로 연장된다. 상벽(870)은 기판 지지 유닛(830)에 가까워지도록 제공된다. 상벽(870)은 링 형상을 가지도록 제공된다. 상벽(870)의 상단은 기판 지지 유닛(830)에 지지된 기판(W)보다 높게 위치된다.
승강 유닛(890)은 내측 컵(852) 및 외측 컵(862)을 각각 승강 이동시킨다. 승강 유닛(890)은 내측 이동 부재(892) 및 외측 이동 부재(894)를 포함한다. 내측 이동 부재(892)는 내측 컵(852)을 승강 이동 시키고, 외측 이동 부재(894)는 외측 컵(862)을 승강 이동시킨다.
로봇(932)은 기판을 반송하여 개구(815)를 통해 기판을 지지판(832) 상에 위치시킨다. 로봇(932)은 상술한 도포부 로봇(432)과 동일하거나 유사하게 제공될 수 있다.
검출기(938)는 로봇(932)의 핸드(934)에 놓인 기판으로부터 기판의 중심 틀어짐 값을 검출한다. 검출기(938)는 센서 또는 카메라 일 수 있다. 일 예에 의하면, 검출기(938)는 핸드(934)에 제공될 수 있다. 다만 검출기(438)는 반드시 핸드(934)에 제공되는 것은 아니다. 핸드(934)에 놓인 기판의 중심 틀어짐 값을 측정할 수 있으면 어디에 설치되더라도 무방하다. 중심 틀어짐 값은 지지판(832)상에 놓인 기판의 중심이다. 이러한 중심 틀어짐 값을 검출하려면 수학적으로 3개 이상의 점이 필요하다. 따라서, 검출기(938)는 적어도 3개 이상 구비될 수 있다. 일 예에 의하면, 검출기(938)는 적어도 4개 이상 구비될 수 있다. 기판에 형성된 노치(notch)가 검출기(938)에 대응되는 부분에 위치하게 되면 검출이 불가능하다. 따라서, 이러한 경우를 대비하여, 검출기(938)는 적어도 4개 이상 구비될 수 있다.
제어기(940)는 지지판(832)의 회전 및 로봇(932)을 제어한다. 로봇(932)이 기판을 지지판(832)에 위치시키고, 지지판(832)을 설정각도 회전시킨 후, 다시 지지판(832)으로부터 기판을 언로딩하여 중심 틀어짐 값을 검출하도록 제어한다. 제어기(940)는 연산부(950)를 가진다. 연산부(950)는 중심 틀어짐 값들을 이용하여 로봇(932)의 위치를 설정한다.
다음은 기판 처리 장치(800)를 이용하여 기판(W)을 처리하는 과정을 설명한다. 로봇(932)의 위치를 설정하는 티칭 작업이 수행되고, 이후에 기판에 액을 도포하는 기판 처리 공정이 수행된다.
아래에서는, 도 7 내지 도 13을 참조하여, 기판을 이송 또는 반송하는 로봇(932)의 위치를 설정하는 티칭 방법을 설명한다. 도 7 내지 도 10에서 기판에 표시된 화살표는 기판이 회전되었음을 보여주기 위해 기판의 방향을 나타낸 것이다. 도 11은 티칭 하는 방법의 단계를 나타낸 것이고, 도 12와 도 13은 검출한 중심 틀어짐 값의 갯수에 따라 로봇의 위치를 설정하는 방법을 보여주기 위한 도면이다.
로봇(932)은 개구(815)를 통해 지지판(832) 상에 기판을 로딩하여 위치시킨다. 기판은 티칭 지그(teaching zig)일 수 있다. 한편, 기판을 로딩하기 전에, 핸드(934) 상에서 기판의 최초 중심 틀어짐 값을 검출하여 획득할 수 있다. 최초 중심 틀어짐 값은 반송해온 기판을 핸드(934) 상에서 바로 검출할 수 있다. 또는 이와 달리, 반송해온 기판을 지지판(832)에 로딩하고, 다시 지지판(832)으로부터 기판을 언로딩한 후, 핸드(934) 상에서 검출할 수 있다. 중심 틀어짐 값은 센서 또는 카메라와 같은 검출기(938)에 의해 검출할 수 있다.
도 7 내지 도 10는 티칭과정을 단계별로 나타낸 것이다. 도 7 내지 도 10을 참조하여 티칭 과정을 설명한다. 제어기(940)를 이용하여 로봇(932)으로 지지판(832) 상에 기판을 로딩하고, 지지판(832)을 설정각도 회전시킨 후, 로봇(932)으로 기판을 지지판(832)으로부터 언로딩하고, 로봇(932)의 핸드(934) 상에 놓인 기판의 중심 틀어짐 값을 측정하는 과정을 복수회 반복할 수 있다. 예를 들어, 도 7 및 도 8의 기판은 제2 방향(14)을 향하고 있다. 그 후 기판은 회전한다. 따라서, 도 9 및 도 10의 기판은 제1 방향(12)을 향하게 된다.
이러한 과정을 복수회 반복하여 복수의 중심 틀어짐 값을 획득할 수 있다. 이때 설정각도는 항상 동일할 수 있다. 복수의 설정각도의 합은 360도일 수 있다. 회전 방향은 일 방향이다.
일 예에 의하면 적어도 3개의 중심 틀어짐 값을 검출할 수 있다. 이를 이용하여 연산부(950)가 로봇(932)의 위치를 설정할 수 있다. 일 예로, 3개의 중심 틀어짐 값을 검출할 수 있다. 기판을 지지판(832)에 로딩하기 전에 기판의 최초 중심 틀어짐 값을 검출한 경우, 복수회는 2회이다. 일 예로, 설정각도를 120도로 하여 2회 회전시킬 수 있다.
도 11을 참조하여, 3개의 중심 틀어짐 값을 검출한 경우, 이를 이용하여 로봇(932)의 위치를 설정하는 방법을 설명한다.
일반적으로, 3개의 점(point)을 모두 지나는 원은 수학적 방법으로 구해낼 수 있다. 따라서, 연산부(950)를 통해 3개의 중심 틀어짐 값을 모두 지나는 원을 수학적인 계산 방법으로 구한다. 3개의 중심 틀어짐 값 중에서, 최초 중심 틀어짐 값을 P1, 그 다음의 중심 틀어짐 값을 P2, 그 다음의 중심 틀어짐 값을 P3로 한다. P1, P2, P3를 모두 지나는 원의 중심점을 C1이라고 한다. C1이 지지판(832)의 중심에 대응되도록 로봇(932)의 위치를 설정할 수 있다. 우선, P1과 C1사이의 오프셋(offset)을 계산한다. 그리고, 로봇(932)의 최초 티칭 설정값에 오프셋을 반영하여 보정한다. 이와 같이, 로봇(932)의 새로운 위치를 설정할 수 있다. 이후, 보정된 설정 티칭값을 이용하여 기판을 지지판(832)에 정확하게 이송, 반송시킬 수 있다.
일 예로, 4개의 중심 틀어짐 값을 검출할 수 있다. 이를 이용하여, 연산부(950)가 로봇(932)의 위치를 설정할 수 있다. 최초 중심 틀어짐 값을 검출한 경우, 복수회는 3회이다.
획득된 4개의 중심 틀어짐 값 중에서 2개의 중심 틀어짐 값끼리 서로 연결하되, 연결한 라인이 서로 교차하여 교차점을 형성하도록 연결한다. 이때 교차점이 지지판(832)의 중심에 대응되도록 로봇(932)의 위치를 설정한다.
일 예로, 지지판(932)을 90도씩 회전시키고, 4개의 중심 틀어짐 값을 검출할 수 있다. 도 12 내지 도 13을 참조하여, 로봇(932)의 위치를 설정하는 방법을 설명한다.
로봇(932)의 핸드(934)에 기판을 위치시킨 상태에서, 기판의 1차 중심 틀어짐 값을 검출하는 1차 검출단계(S100), 지지판(832) 상에 기판을 위치시키고, 지지판(832)을 90도 회전시키는 1차 회전단계(S110), 기판을 언로딩하여 로봇(932)의 핸드(934)에 기판을 위치시킨 상태에서, 기판의 2차 중심 틀어짐 값을 검출하는 2차 검출단계(S200), 지지판(832) 상에 기판을 위치시키고, 지지판(832)을 90도 회전시키는 2차 회전단계(S210), 기판을 언로딩하여 로봇(932)의 핸드(934)에 기판을 위치시킨 상태에서, 기판의 2차 중심 틀어짐 값을 검출하는 3차 검출단계(S300), 지지판(832) 상에 기판을 위치시키고, 지지판(832)을 90도 회전시키는 3차 회전단계(S310) 및 기판을 언로딩하여 로봇(932)의 핸드(934)에 기판을 위치시킨 상태에서, 기판의 2차 중심 틀어짐 값을 검출하는 4차 검출단계(S400)를 포함할 수 있다.
1창 중심 틀어짐 값은 상술한 최초 중심 틀어짐 값과 동일한 의미이다. 1차 중심 틀어짐 값을 Q1, 2차 중심 틀어짐 값을 Q2, 3차 중심 틀어짐 값을 Q3, 4차 중심 틀어짐 값을 Q4라고 한다. 또한, 1차 중심 틀어짐 값과 3차 중심 틀어짐 값을 서로 연결한 제1 라인을 L1, 2차 중심 틀어짐 값과 4차 중심 틀어짐 값을 서로 연결한 제2 라인을 L2라고 한다. L1과 L2의 교차점을 C2라고 한다.
1차 중심 틀어짐 값(Q1)과 3차 중심 틀어짐 값(Q3)을 서로 연결한 제1 라인(L1)과, 2차 중심 틀어짐 값(Q2)과 4차 중심 틀어짐 값(Q4)을 서로 연결한 제2 라인(L2)은 서로 교차하면서 교차점(C2)을 형성한다. 이러한 교차점(C2)이 지지판(832)의 중심에 대응되도록 로봇(932)의 위치를 설정할 수 있다. 우선, Q1과 C2사이의 오프셋(offset)을 계산한다. 그리고, 로봇(932)의 최초 티칭 설정값에 오프셋을 반영하여 보정한다. 이와 같이, 로봇(932)의 새로운 위치를 설정할 수 있다. 이후, 보정된 설정 티칭값을 이용하여 기판을 지지판(832)에 정확하게 이송, 반송시킬 수 있다.
상술한 로봇(932)의 티칭 방법은 도포부 로봇(432)에 한정되는 것이 아니며, 공정 처리 챔버에 기판을 이송하는 기판 이송 로봇 모두에 적용가능하고, 세정 공정 등 다른 공정을 수행하는 기판 처리 설비에도 모두 적용가능하다.
또한, 상술한 설명에서는 본 발명이 다수개의 챔버가 적층된 구조의 기판 처리 설비에 적용되는 경우를 예로 들었으나, 이와 달리, 하나의 챔버로 구성된 기판 처리 설비에도 적용될 수 있다.
상술한 바와 같이 티칭 작업이 완료된 후, 로봇(932)을 이용하여 지지판(832) 상으로 기판을 반송한다. 기판이 지지판(832) 상에 위치되면 기판을 처리하는 공정이 수행된다. 기판 처리 공정은 액 도포 단계와 에지 비드 제거 단계가 순차적으로 수행된다.
액 도포 단계는 기판(W)의 상면 전체 영역에 감광액을 도포하는 단계이다. 감광액은 감광액 노즐(844)에 의해 기판(W)의 중앙 영역으로 공급되어 기판(W)의 상면 전체 영역에 도포된다. 감광액의 공급이 완료되면, 에지 비드 제거 단계가 수행된다. 에지 비드 제거 단계는 기판(W)의 상면 가장자리 영역에 도포된 에지 비드또는 감광액을 제거하는 단계이다. 에지 비드 제거 단계에는 에지 비드 제거액이 이비알 노즐(842)에 의해 기판(W)의 가장자리 영역으로 공급된다. 기판(W) 상에 도포된 감광액은 에지 비드 제거액에 의해 제거된다.
다시 도 1 내지 4를 참조하면, 베이크 챔버(420)는 기판(W)을 열처리한다. 예컨대, 베이크 챔버들(420)은 포토 레지스트를 도포하기 전에 기판(W)을 소정의 온도로 가열하여 기판(W) 표면의 유기물이나 수분을 제거하는 프리 베이크(prebake) 공정이나 포토레지스트를 기판(W) 상에 도포한 후에 행하는 소프트 베이크(soft bake) 공정 등을 수행하고, 각각의 가열 공정 이후에 기판(W)을 냉각하는 냉각 공정 등을 수행한다. 베이크 챔버(420)는 냉각 플레이트(421) 또는 가열 플레이트(422)를 가진다. 냉각 플레이트(421)에는 냉각수 또는 열전 소자와 같은 냉각 수단(423)이 제공된다. 또한 가열 플레이트(422)에는 열선 또는 열전 소자와 같은 가열 수단(424)이 제공된다. 냉각 플레이트(421)와 가열 플레이트(422)는 하나의 베이크 챔버(420) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(420)들 중 일부는 냉각 플레이트(421)만을 구비하고, 다른 일부는 가열 플레이트(422)만을 구비할 수 있다.
현상 모듈(402)은 기판(W) 상에 패턴을 얻기 위해 현상액을 공급하여 포토 레지스트의 일부를 제거하는 현상 공정, 및 현상 공정 전후에 기판(W)에 대해 수행되는 가열 및 냉각과 같은 열처리 공정을 포함한다. 현상모듈(402)은 현상 챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)를 가진다. 현상 챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 현상 챔버(460)와 베이크 챔버(470)는 반송 챔버(480)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 현상 챔버(460)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 현상 챔버(460)가 제공된 예가 도시되었다. 베이크 챔버(470)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(470)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(470)는 더 많은 수로 제공될 수 있다.
반송 챔버(480)는 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(480) 내에는 현상부 로봇(482)과 가이드 레일(483)이 위치된다. 반송 챔버(480)는 대체로 직사각의 형상을 가진다. 현상부 로봇(482)은 베이크 챔버들(470), 현상 챔버들(460), 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 냉각 챔버(350), 그리고 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540) 간에 기판(W)을 이송한다. 가이드 레일(483)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(483)은 현상부 로봇(482)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 현상부 로봇(482)은 핸드(484), 아암(485), 지지대(486), 그리고 받침대(487)를 가진다. 핸드(484)는 아암(485)에 고정 설치된다. 아암(485)은 신축 가능한 구조로 제공되어 핸드(484)가 수평 방향으로 이동 가능하도록 한다. 지지대(486)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(485)은 지지대(486)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(486)에 결합된다. 지지대(486)는 받침대(487)에 고정 결합된다. 받침대(487)는 가이드 레일(483)을 따라 이동 가능하도록 가이드 레일(483)에 결합된다.
현상 챔버들(460)은 모두 동일한 구조를 가진다. 다만, 각각의 현상 챔버(460)에서 사용되는 현상액의 종류는 서로 상이할 수 있다. 현상 챔버(460)는 기판(W) 상의 포토 레지스트 중 광이 조사된 영역을 제거한다. 이때, 보호막 중 광이 조사된 영역도 같이 제거된다. 선택적으로 사용되는 포토 레지스트의 종류에 따라 포토 레지스트 및 보호막의 영역들 중 광이 조사되지 않은 영역만이 제거될 수 있다.
현상 챔버(460)는 용기(461), 지지 플레이트(462), 그리고 노즐(463)을 가진다. 용기(461)는 상부가 개방된 컵 형상을 가진다. 지지 플레이트(462)는 용기(461) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(462)는 회전 가능하게 제공된다. 노즐(463)은 지지 플레이트(462)에 놓인 기판(W) 상으로 현상액을 공급한다. 노즐(463)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 현상액 공급할 수 있다. 선택적으로 노즐(463)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(463)의 토출구는 슬릿으로 제공될 수 있다. 또한, 현상 챔버(460)에는 추가적으로 현상액이 공급된 기판(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(464)이 더 제공될 수 있다.
베이크 챔버(470)는 기판(W)을 열처리한다. 예컨대, 베이크 챔버들(470)은 현상 공정이 수행되기 전에 기판(W)을 가열하는 포스트 베이크 공정 및 현상 공정이 수행된 후에 기판(W)을 가열하는 하드 베이크 공정 및 각각의 베이크 공정 이후에 가열된 기판을 냉각하는 냉각 공정 등을 수행한다. 베이크 챔버(470)는 냉각 플레이트(471) 또는 가열 플레이트(472)를 가진다. 냉각 플레이트(471)에는 냉각수 또는 열전 소자와 같은 냉각 수단(473)이 제공된다. 또는 가열 플레이트(472)에는 열선 또는 열전 소자와 같은 가열 수단(474)이 제공된다. 냉각 플레이트(471)와 가열 플레이트(472)는 하나의 베이크 챔버(470) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(470)들 중 일부는 냉각 플레이트(471)만을 구비하고, 다른 일부는 가열 플레이트(472)만을 구비할 수 있다.
상술한 바와 같이 도포 및 현상 모듈(400)에서 도포 모듈(401)과 현상 모듈(402)은 서로 간에 분리되도록 제공된다. 또한, 상부에서 바라볼 때 도포 모듈(401)과 현상 모듈(402)은 동일한 챔버 배치를 가질 수 있다.
제 2 버퍼 모듈(500)은 도포 및 현상 모듈(400)과 노광 전후 처리 모듈(600) 사이에 기판(W)이 운반되는 통로로서 제공된다. 또한, 제 2 버퍼 모듈(500)은 기판(W)에 대해 냉각 공정이나 에지 노광 공정 등과 같은 소정의 공정을 수행한다. 제 2 버퍼 모듈(500)은 프레임(510), 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)을 가진다. 프레임(510)은 직육면체의 형상을 가진다. 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)은 프레임(510) 내에 위치된다. 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550)는 도포 모듈(401)에 대응하는 높이에 배치된다. 제 2 냉각 챔버(540)는 현상 모듈(402)에 대응하는 높이에 배치된다. 버퍼(520), 제 1 냉각 챔버(530), 그리고 제 2 냉각 챔버(540)는 순차적으로 제 3 방향(16)을 따라 일렬로 배치된다. 상부에서 바라볼 때 버퍼(520)은 도포 모듈(401)의 반송 챔버(430)와 제 1 방향(12)을 따라 배치된다. 에지 노광 챔버(550)는 버퍼(520) 또는 제 1 냉각 챔버(530)와 제 2 방향(14)으로 일정 거리 이격되게 배치된다.
제 2 버퍼 로봇(560)은 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550) 간에 기판(W)을 운반한다. 제 2 버퍼 로봇(560)은 에지 노광 챔버(550)와 버퍼(520) 사이에 위치된다. 제 2 버퍼 로봇(560)은 제 1 버퍼 로봇(360)과 유사한 구조로 제공될 수 있다. 제 1 냉각 챔버(530)와 에지 노광 챔버(550)는 도포 모듈(401)에서 공정이 수행된 기판들(W)에 대해 후속 공정을 수행한다. 제 1 냉각 챔버(530)는 도포 모듈(401)에서 공정이 수행된 기판(W)을 냉각한다. 제 1 냉각 챔버(530)는 제 1 버퍼 모듈(300)의 냉각 챔버(350)과 유사한 구조를 가진다. 에지 노광 챔버(550)는 제 1 냉각 챔버(530)에서 냉각 공정이 수행된 기판들(W)에 대해 그 가장자리를 노광한다. 버퍼(520)는 에지 노광 챔버(550)에서 공정이 수행된 기판(W)들이 후술하는 전처리 모듈(601)로 운반되기 전에 기판(W)을 일시적으로 보관한다. 제 2 냉각 챔버(540)는 후술하는 후처리 모듈(602)에서 공정이 수행된 기판들(W)이 현상 모듈(402)로 운반되기 전에 기판들(W)을 냉각한다. 제 2 버퍼 모듈(500)은 현상 모듈(402)와 대응되는 높이에 추가된 버퍼를 더 가질 수 있다. 이 경우, 후처리 모듈(602)에서 공정이 수행된 기판들(W)은 추가된 버퍼에 일시적으로 보관된 후 현상 모듈(402)로 운반될 수 있다.
노광 전후 처리 모듈(600)은, 노광 장치(900)가 액침 노광 공정을 수행하는 경우, 액침 노광시에 기판(W)에 도포된 포토레지스트 막을 보호하는 보호막을 도포하는 공정을 처리할 수 있다. 또한, 노광 전후 처리 모듈(600)은 노광 이후에 기판(W)을 세정하는 공정을 수행할 수 있다. 또한, 화학증폭형 레지스트를 사용하여 도포 공정이 수행된 경우, 노광 전후 처리 모듈(600)은 노광 후 베이크 공정을 처리할 수 있다.
노광 전후 처리 모듈(600)은 전처리 모듈(601)과 후처리 모듈(602)을 가진다. 전처리 모듈(601)은 노광 공정 수행 전에 기판(W)을 처리하는 공정을 수행하고, 후처리 모듈(602)은 노광 공정 이후에 기판(W)을 처리하는 공정을 수행한다. 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 전처리 모듈(601)은 후처리 모듈(602)의 상부에 위치된다. 전처리 모듈(601)은 도포 모듈(401)과 동일한 높이로 제공된다. 후처리 모듈(602)은 현상 모듈(402)과 동일한 높이로 제공된다. 전처리 모듈(601)은 보호막 도포 챔버(610), 베이크 챔버(620), 그리고 반송 챔버(630)를 가진다. 보호막 도포 챔버(610), 반송 챔버(630), 그리고 베이크 챔버(620)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 보호막 도포 챔버(610)와 베이크 챔버(620)는 반송 챔버(630)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 보호막 도포 챔버(610)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 보호막 도포 챔버(610)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 베이크 챔버(620)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 베이크 챔버(620)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다.
반송 챔버(630)는 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(530)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(630) 내에는 전처리 로봇(632)이 위치된다. 반송 챔버(630)는 대체로 정사각 또는 직사각의 형상을 가진다. 전처리 로봇(632)은 보호막 도포 챔버들(610), 베이크 챔버들(620), 제 2 버퍼 모듈(500)의 버퍼(520), 그리고 후술하는 인터페이스 모듈(700)의 제 1 버퍼(720) 간에 기판(W)을 이송한다. 전처리 로봇(632)은 핸드(633), 아암(634), 그리고 지지대(635)를 가진다. 핸드(633)는 아암(634)에 고정 설치된다. 아암(634)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 아암(634)은 지지대(635)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(635)에 결합된다.
보호막 도포 챔버(610)는 액침 노광 시에 레지스트 막을 보호하는 보호막을 기판(W) 상에 도포한다. 보호막 도포 챔버(610)는 하우징(611), 지지 플레이트(612), 그리고 노즐(613)을 가진다. 하우징(611)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(612)는 하우징(611) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(612)는 회전 가능하게 제공된다. 노즐(613)은 지지 플레이트(612)에 놓인 기판(W) 상으로 보호막 형성을 위한 보호액을 공급한다. 노즐(613)은 원형의 관 형상을 가지고, 기판(W)의 중심으로 보호액을 공급할 수 있다. 선택적으로 노즐(613)은 기판(W)의 직경에 상응하는 길이를 가지고, 노즐(613)의 토출구는 슬릿으로 제공될 수 있다. 이 경우, 지지 플레이트(612)는 고정된 상태로 제공될 수 있다. 보호액은 발포성 재료를 포함한다. 보호액은 포토 레지스터 및 물과의 친화력이 낮은 재료가 사용될 수 있다. 예컨대, 보호액은 불소계의 용제를 포함할 수 있다. 보호막 도포 챔버(610)는 지지 플레이트(612)에 놓인 기판(W)을 회전시키면서 기판(W)의 중심 영역으로 보호액을 공급한다.
베이크 챔버(620)는 보호막이 도포된 기판(W)을 열처리한다. 베이크 챔버(620)는 냉각 플레이트(621) 또는 가열 플레이트(622)를 가진다. 냉각 플레이트(621)에는 냉각수 또는 열전 소자와 같은 냉각 수단(623)이 제공된다. 또는 가열 플레이트(622)에는 열선 또는 열전 소자와 같은 가열 수단(624)이 제공된다. 가열 플레이트(622)와 냉각 플레이트(621)는 하나의 베이크 챔버(620) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버들(620) 중 일부는 가열 플레이트(622) 만을 구비하고, 다른 일부는 냉각 플레이트(621) 만을 구비할 수 있다.
후처리 모듈(602)은 세정 챔버(660), 노광 후 베이크 챔버(670), 그리고 반송 챔버(680)를 가진다. 세정 챔버(660), 반송 챔버(680), 그리고 노광 후 베이크 챔버(670)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 세정 챔버(660)와 노광 후 베이크 챔버(670)는 반송 챔버(680)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 세정 챔버(660)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 세정 챔버(660)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 노광 후 베이크 챔버(670)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 노광 후 베이크 챔버(670)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다.
반송 챔버(680)는 상부에서 바라볼 때 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(680)는 대체로 정사각 또는 직사각의 형상을 가진다. 반송 챔버(680) 내에는 후처리 로봇(682)이 위치된다. 후처리 로봇(682)은 세정 챔버들(660), 노광 후 베이크 챔버들(670), 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540), 그리고 후술하는 인터페이스 모듈(700)의 제 2 버퍼(730) 간에 기판(W)을 운반한다. 후처리 모듈(602)에 제공된 후처리 로봇(682)은 전처리 모듈(601)에 제공된 전처리 로봇(632)과 동일한 구조로 제공될 수 있다.
세정 챔버(660)는 노광 공정 이후에 기판(W)을 세정한다. 세정 챔버(660)는 하우징(661), 지지 플레이트(662), 그리고 노즐(663)을 가진다. 하우징(661)는 상부가 개방된 컵 형상을 가진다. 지지 플레이트(662)는 하우징(661) 내에 위치되며, 기판(W)을 지지한다. 지지 플레이트(662)는 회전 가능하게 제공된다. 노즐(663)은 지지 플레이트(662)에 놓인 기판(W) 상으로 세정액을 공급한다. 세정액으로는 탈이온수와 같은 물이 사용될 수 있다. 세정 챔버(660)는 지지 플레이트(662)에 놓인 기판(W)을 회전시키면서 기판(W)의 중심 영역으로 세정액을 공급한다. 선택적으로 기판(W)이 회전되는 동안 노즐(663)은 기판(W)의 중심 영역에서 가장자리 영역까지 직선 이동 또는 회전 이동할 수 있다.
노광 후 베이크 챔버(670)는 원자외선을 이용하여 노광 공정이 수행된 기판(W)을 가열한다. 노광 후 베이크 공정은 기판(W)을 가열하여 노광에 의해 포토 레지스트에 생성된 산(acid)을 증폭시켜 포토 레지스트의 성질 변화를 완성시킨다. 노광 후 베이크 챔버(670)는 가열 플레이트(672)를 가진다. 가열 플레이트(672)에는 열선 또는 열전 소자와 같은 가열 수단(674)이 제공된다. 노광 후 베이크 챔버(670)는 그 내부에 냉각 플레이트(671)를 더 구비할 수 있다. 냉각 플레이트(671)에는 냉각수 또는 열전 소자와 같은 냉각 수단(673)이 제공된다. 또한, 선택적으로 냉각 플레이트(671)만을 가진 베이크 챔버가 더 제공될 수 있다.
상술한 바와 같이 노광 전후 처리 모듈(600)에서 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 완전히 분리되도록 제공된다. 또한, 전처리 모듈(601)의 반송 챔버(630)와 후처리 모듈(602)의 반송 챔버(680)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 보호막 도포 챔버(610)와 세정 챔버(660)는 서로 동일한 크기로 제공되어 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 베이크 챔버(620)와 노광 후 베이크 챔버(670)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다.
인터페이스 모듈(700)은 노광 전후 처리 모듈(600), 및 노광 장치(900) 간에 기판(W)을 이송한다. 인터페이스 모듈(700)은 프레임(710), 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)를 가진다. 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)은 프레임(710) 내에 위치된다. 제 1 버퍼(720)와 제 2 버퍼(730)는 서로 간에 일정거리 이격되며, 서로 적층되도록 배치된다. 제 1 버퍼(720)는 제 2 버퍼(730)보다 높게 배치된다. 제 1 버퍼(720)는 전처리 모듈(601)과 대응되는 높이에 위치되고, 제 2 버퍼(730)는 후처리 모듈(602)에 대응되는 높이에 배치된다. 상부에서 바라볼 때 제 1 버퍼(720)는 전처리 모듈(601)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되고, 제 2 버퍼(730)는 후처리 모듈(602)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되게 위치된다.
인터페이스 로봇(740)은 제 1 버퍼(720) 및 제 2 버퍼(730)와 제 2 방향(14)으로 이격되게 위치된다. 인터페이스 로봇(740)은 제 1 버퍼(720), 제 2 버퍼(730), 그리고 노광 장치(900) 간에 기판(W)을 운반한다. 인터페이스 로봇(740)은 제 2 버퍼 로봇(560)과 대체로 유사한 구조를 가진다.
제 1 버퍼(720)는 전처리 모듈(601)에서 공정이 수행된 기판(W)들이 노광 장치(900)로 이동되기 전에 이들을 일시적으로 보관한다. 그리고 제 2 버퍼(730)는 노광 장치(900)에서 공정이 완료된 기판(W)들이 후처리 모듈(602)로 이동되기 전에 이들을 일시적으로 보관한다. 제 1 버퍼(720)는 하우징(721)과 복수의 지지대들(722)을 가진다. 지지대들(722)은 하우징(721) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(722)에는 하나의 기판(W)이 놓인다. 하우징(721)은 인터페이스 로봇(740) 및 전처리 로봇(632)이 하우징(721) 내로 지지대(722)에 기판(W)을 반입 또는 반출할 수 있도록 인터페이스 로봇(740)이 제공된 방향 및 전처리 로봇(632)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 2 버퍼(730)는 제 1 버퍼(720)와 대체로 유사한 구조를 가진다. 다만, 제 2 버퍼(730)의 하우징(4531)에는 인터페이스 로봇(740)이 제공된 방향 및 후처리 로봇(682)이 제공된 방향에 개구(도시되지 않음)를 가진다. 인터페이스 모듈에는 기판에 대해 소정의 공정을 수행하는 챔버의 제공 없이 상술한 바와 같이 버퍼들 및 로봇만 제공될 수 있다.
이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 저술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.
1: 기판 처리 설비 100: 로드 포트
400: 도포 및 현상 모듈 432: 도포부 로봇
410: 도포 챔버 800: 기판 처리 장치
810: 하우징 832: 지지판
932: 로봇 934: 핸드
938: 검출기 940: 제어기

Claims (24)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 기판을 지지하며 회전 가능한 지지판 상에 기판을 반송하는 로봇의 위치를 설정하는 티칭 방법에 있어서,
    상기 로봇의 핸드에 상기 기판을 위치시킨 상태에서, 상기 기판의 1차 중심 틀어짐 값을 검출하는 1차 검출단계;
    상기 지지판 상에 상기 기판을 위치시키고, 상기 지지판을 90도 회전시키는 1차 회전단계;
    상기 지지판으로부터 상기 기판을 언로딩하여 상기 로봇의 상기 핸드에 상기 기판을 위치시킨 상태에서, 상기 기판의 2차 중심 틀어짐 값을 검출하는 2차 검출단계;
    상기 지지판 상에 상기 기판을 위치시키고, 상기 지지판을 90도 회전시키는 2차 회전단계;
    상기 지지판으로부터 상기 기판을 언로딩하여 상기 로봇의 상기 핸드에 상기 기판을 위치시킨 상태에서, 상기 기판의 3차 중심 틀어짐 값을 검출하는 3차 검출단계;
    상기 지지판 상에 상기 기판을 위치시키고, 상기 지지판을 90도 회전시키는 3차 회전단계; 및
    상기 지지판으로부터 상기 기판을 언로딩하여 상기 로봇의 상기 핸드에 상기 기판을 위치시킨 상태에서, 상기 기판의 4차 중심 틀어짐 값을 검출하는 4차 검출단계를 포함하되,
    상기 1차 중심 틀어짐 값과 상기 3차 중심 틀어짐 값을 서로 연결한 제1 라인과, 상기 2차 중심 틀어짐 값과 상기 4차 중심 틀어짐 값을 서로 연결한 제2 라인의 교차점을 구하고, 상기 교차점이 상기 지지판의 중심에 대응되도록 상기 로봇의 위치를 설정하는 티칭 방법.
  11. 삭제
  12. 제10항에 있어서,
    상기 1차 검출단계는,
    상기 기판을 상기 지지판에 로딩하기 전에 상기 핸드 상에서 이루어지는 티칭 방법.
  13. 제10항에 있어서,
    상기 1차 검출단계는,
    상기 기판을 상기 지지판에 로딩하고, 다시 상기 핸드로 상기 지지판으로부터 상기 기판을 언로딩한 후 상기 핸드 상에서 이루어지는 티칭 방법.
  14. 제10항, 제12항, 그리고 제13항 중 어느 한 항에 있어서,
    상기 중심 틀어짐 값의 검출은 상기 로봇에 제공된 센서를 이용하여 이루어지는 티칭 방법.
  15. 제10항, 제12항, 그리고 제13항 중 어느 한 항에 있어서,
    상기 중심 틀어짐 값의 검출은 카메라를 이용하여 이루어지는 티칭 방법.
  16. 기판을 지지하며 회전 가능한 지지판;
    상기 기판이 안착되는 핸드를 가지고, 상기 지지판 상에 상기 기판을 반송하는 로봇;
    상기 기판의 중심 틀어짐 값을 검출하는 검출기; 및
    검출된 상기 중심 틀어짐 값들을 이용하여 상기 로봇의 위치를 설정하는 연산부를 가지고, 상기 지지판의 회전 및 상기 로봇을 제어하는 제어기를 포함하되,
    상기 제어기는,
    상기 로봇의 위치를 설정할 때, 상기 로봇으로 상기 지지판 상에 상기 기판을 로딩하고, 상기 지지판을 설정각도 회전시킨 후, 상기 로봇으로 상기 기판을 상기 지지판으로부터 언로딩하고, 상기 핸드 상에 놓인 상기 기판의 중심 틀어짐 값을 검출하는 것을 복수 회 반복하여, 획득된 상기 중심 틀어짐 값들을 이용하여 상기 로봇의 위치를 설정하되,
    상기 제어기는 상기 검출기가 4개의 상기 중심 틀어짐 값을 획득할 수 있도록 상기 지지판의 회전 및 상기 로봇을 제어하고,
    상기 연산부는 획득된 4개의 상기 중심 틀어짐 값 중에서 2개의 상기 중심 틀어짐 값끼리 각각 서로 연결하되, 연결한 라인이 서로 교차하여 교차점을 형성하도록 연결하고, 상기 교차점이 상기 지지판의 중심에 대응되도록 상기 로봇의 위치를 설정하는 기판 처리 장치.
  17. 제16항에 있어서,
    상기 검출기는 상기 로봇에 제공된 센서인 기판 처리 장치.
  18. 제16항에 있어서,
    상기 검출기는 카메라인 기판 처리 장치.
  19. 삭제
  20. 제16항에 있어서,
    상기 제어기는 상기 설정각도를 90도로 하여 상기 검출기가 4개의 상기 중심 틀어짐 값을 획득할 수 있도록 상기 지지판의 회전 및 상기 로봇을 제어하는 기판 처리 장치.
  21. 삭제
  22. 삭제
  23. 삭제
  24. 제16항, 제17항, 제18항, 그리고 제20항 중 어느 한 항에 있어서,
    상기 기판의 가장 자리에 형성된 에지 비드(Edge Bead)가 제거되도록 상기 기판의 가장 자리 영역에 에지 비드 제거액을 공급하는 이비알(EBR Edge Bead Removal) 노즐;
    을 더 포함하는 기판 처리 장치.
KR1020150076463A 2015-05-29 2015-05-29 티칭 방법, 그리고 이를 이용한 기판 처리 장치 KR101740480B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020150076463A KR101740480B1 (ko) 2015-05-29 2015-05-29 티칭 방법, 그리고 이를 이용한 기판 처리 장치
US15/161,410 US9966285B2 (en) 2015-05-29 2016-05-23 Teaching method and substrate treating apparatus using the same
CN201610366142.9A CN106206369B (zh) 2015-05-29 2016-05-27 教学方法和使用教学方法的基板处理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150076463A KR101740480B1 (ko) 2015-05-29 2015-05-29 티칭 방법, 그리고 이를 이용한 기판 처리 장치

Publications (2)

Publication Number Publication Date
KR20160140177A KR20160140177A (ko) 2016-12-07
KR101740480B1 true KR101740480B1 (ko) 2017-06-08

Family

ID=57398826

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150076463A KR101740480B1 (ko) 2015-05-29 2015-05-29 티칭 방법, 그리고 이를 이용한 기판 처리 장치

Country Status (3)

Country Link
US (1) US9966285B2 (ko)
KR (1) KR101740480B1 (ko)
CN (1) CN106206369B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6475756B2 (ja) * 2015-02-04 2019-02-27 川崎重工業株式会社 ロボットのぶれ自動調整装置及びロボットのぶれ自動調整方法
KR101757815B1 (ko) * 2015-09-25 2017-07-14 세메스 주식회사 기판 중심 검출 방법, 기판 반송 방법, 반송 유닛 및 이를 포함하는 기판 처리 장치.
CN108615332A (zh) * 2016-12-13 2018-10-02 海太半导体(无锡)有限公司 贴片设备基板位置传感器感应和报警系统
US11574830B2 (en) * 2018-03-16 2023-02-07 Brooks Automation Us, Llc Substrate transport apparatus
KR102240925B1 (ko) * 2019-07-17 2021-04-15 세메스 주식회사 기판 처리 설비 및 기판 반송 장치
KR102400829B1 (ko) * 2019-10-11 2022-05-24 세메스 주식회사 반도체 기판의 이송 장치 및 기판 처리 장치
KR102360142B1 (ko) * 2019-12-04 2022-02-10 세메스 주식회사 기판 처리 장치 및 방법
KR102624577B1 (ko) * 2020-10-28 2024-01-15 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101015778B1 (ko) * 2003-06-03 2011-02-22 도쿄엘렉트론가부시키가이샤 기판 처리장치 및 기판 수수 위치의 조정 방법

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4880348A (en) * 1987-05-15 1989-11-14 Roboptek, Inc. Wafer centration device
JP2949528B2 (ja) * 1991-03-13 1999-09-13 東京エレクトロン株式会社 ウエハの中心位置検出方法及びその装置
US5789890A (en) * 1996-03-22 1998-08-04 Genmark Automation Robot having multiple degrees of freedom
US6121743A (en) * 1996-03-22 2000-09-19 Genmark Automation, Inc. Dual robotic arm end effectors having independent yaw motion
US6405101B1 (en) * 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
JP4260423B2 (ja) * 2002-05-30 2009-04-30 ローツェ株式会社 円盤状物の基準位置教示方法、位置決め方法および搬送方法並びに、それらの方法を使用する円盤状物の基準位置教示装置、位置決め装置、搬送装置および半導体製造設備
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
US6760976B1 (en) * 2003-01-15 2004-07-13 Novellus Systems, Inc. Method for active wafer centering using a single sensor
US8634633B2 (en) * 2003-11-10 2014-01-21 Brooks Automation, Inc. Wafer center finding with kalman filter
US7792350B2 (en) * 2003-11-10 2010-09-07 Brooks Automation, Inc. Wafer center finding
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
KR20050115356A (ko) 2004-06-03 2005-12-07 삼성전자주식회사 웨이퍼 감지 센서를 구비하는 포토레지스트 도포 장치
US7720631B2 (en) * 2005-01-20 2010-05-18 Revera, Incorporated Semiconductor substrate processing method and apparatus
WO2007008939A2 (en) * 2005-07-11 2007-01-18 Brooks Automation, Inc. Apparatus with on-the-fly workpiece centering
US7479236B2 (en) * 2006-09-29 2009-01-20 Lam Research Corporation Offset correction techniques for positioning substrates
US7486878B2 (en) * 2006-09-29 2009-02-03 Lam Research Corporation Offset correction methods and arrangement for positioning and inspecting substrates
US8135485B2 (en) * 2007-09-28 2012-03-13 Lam Research Corporation Offset correction techniques for positioning substrates within a processing chamber
KR20090047117A (ko) 2007-11-07 2009-05-12 세메스 주식회사 비젼 시스템을 이용하여 웨이퍼 이송 로봇을 자동 티칭하는반도체 제조 설비 및 그 방법
KR101048818B1 (ko) 2008-11-16 2011-07-12 세메스 주식회사 카메라 비젼을 통한 오토 티칭 원점 측정 방법
KR101329327B1 (ko) 2010-12-31 2013-11-14 세메스 주식회사 기판 처리 설비 및 기판 이송 로봇의 자동 티칭 방법
JP5490741B2 (ja) 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
KR101792499B1 (ko) 2011-06-16 2017-11-02 세메스 주식회사 반도체 제조 장치의 티칭 방법
KR20130058413A (ko) 2011-11-25 2013-06-04 세메스 주식회사 기판 처리 장치
JP2015168012A (ja) * 2014-03-04 2015-09-28 株式会社安川電機 教示ジグ、教示システムおよび教示方法
KR20160055010A (ko) * 2014-11-07 2016-05-17 삼성전자주식회사 웨이퍼 이송 로봇 및 그 제어 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101015778B1 (ko) * 2003-06-03 2011-02-22 도쿄엘렉트론가부시키가이샤 기판 처리장치 및 기판 수수 위치의 조정 방법

Also Published As

Publication number Publication date
US9966285B2 (en) 2018-05-08
KR20160140177A (ko) 2016-12-07
US20160351425A1 (en) 2016-12-01
CN106206369A (zh) 2016-12-07
CN106206369B (zh) 2020-01-14

Similar Documents

Publication Publication Date Title
KR101740480B1 (ko) 티칭 방법, 그리고 이를 이용한 기판 처리 장치
KR101736441B1 (ko) 기판 처리 장치 및 안내판 세정 방법
KR20180130864A (ko) 기판 처리 장치 및 방법
KR20180076691A (ko) 기판 처리 장치 및 기판 처리 방법
KR101697500B1 (ko) 티칭 방법, 그리고 이를 이용한 기판 처리 장치
KR102000023B1 (ko) 기판 처리 장치
KR20160021405A (ko) 기판 처리 장치 및 방법
KR102270937B1 (ko) 기판 처리 장치 및 방법
KR101914482B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101927920B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101768518B1 (ko) 반송 챔버, 기판 처리 설비, 그리고 기판 반송 방법
KR101721148B1 (ko) 노즐, 기판 처리 장치 및 처리액 공급 방법
KR20170136774A (ko) 위치 검출 방법 및 기구물 이동 장치
KR102119683B1 (ko) 홈 포트, 이를 가지는 기판 처리 장치 및 방법
KR101884858B1 (ko) 기판 처리 장치 검사 방법
KR20180122518A (ko) 기판 처리 장치
KR102298083B1 (ko) 기판 처리 방법 및 장치
KR101870669B1 (ko) 분사유닛, 이를 가지는 기판처리장치 및 방법
KR101817208B1 (ko) 기판 처리 장치
KR101914481B1 (ko) 기판 처리 장치
KR101853373B1 (ko) 기판 처리 장치
KR101909186B1 (ko) 기판 처리 장치 및 누액 감지 방법
KR102037904B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101910800B1 (ko) 기판 처리 장치
KR20180061536A (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant