KR102624577B1 - 기판 처리 장치 및 기판 처리 방법 - Google Patents

기판 처리 장치 및 기판 처리 방법 Download PDF

Info

Publication number
KR102624577B1
KR102624577B1 KR1020200141436A KR20200141436A KR102624577B1 KR 102624577 B1 KR102624577 B1 KR 102624577B1 KR 1020200141436 A KR1020200141436 A KR 1020200141436A KR 20200141436 A KR20200141436 A KR 20200141436A KR 102624577 B1 KR102624577 B1 KR 102624577B1
Authority
KR
South Korea
Prior art keywords
substrate
hand
alignment
unit
sensor
Prior art date
Application number
KR1020200141436A
Other languages
English (en)
Other versions
KR20220056658A (ko
Inventor
유준호
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020200141436A priority Critical patent/KR102624577B1/ko
Priority to JP2021174026A priority patent/JP2022071851A/ja
Priority to CN202111255308.7A priority patent/CN114496839A/zh
Priority to US17/513,734 priority patent/US11626309B2/en
Publication of KR20220056658A publication Critical patent/KR20220056658A/ko
Application granted granted Critical
Publication of KR102624577B1 publication Critical patent/KR102624577B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/008Manipulators for service tasks
    • B25J11/009Nursing, e.g. carrying sick persons, pushing wheelchairs, distributing drugs
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J13/00Controls for manipulators
    • B25J13/08Controls for manipulators by means of sensing devices, e.g. viewing or touching devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J19/00Accessories fitted to manipulators, e.g. for monitoring, for viewing; Safety devices combined with or specially adapted for use in connection with manipulators
    • B25J19/02Sensing devices
    • B25J19/021Optical sensing devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70775Position control, e.g. interferometers or encoders for determining the stage position
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Abstract

본 발명은 기판 처리 방법에 관한 것으로, 기판을 반송하는 반송 유닛의 핸드 상에 놓인 기판의 정렬 상태를 측정하는 측정 단계; 상기 기판의 정렬 상태가 불량이면 상기 반송 유닛이 상기 기판을 기판 정렬 유닛으로 반송하는 단계; 및 상기 기판 정렬 유닛에서 기판의 위치를 정렬하는 단계를 포함하되; 상기 측정 단계에서 기판의 정렬 상태가 센서 감지 범위를 초과하는 경우에는 상기 기판 정렬 유닛에 상기 기판을 로딩하기 전에 상기 기판의 위치를 가보정하는 가보정 단계를 포함한다.

Description

기판 처리 장치 및 기판 처리 방법{substrate treating apparatus and substrate treating method}
본 발명은 기판 처리 장치 및 기판 처리 방법에 관한 것으로, 물리적 센터링 버퍼의 기판 수용 범위를 초과하는 핸드 내 틀어진 기판에 대한 기판 처리 장치 및 기판 처리 방법에 관한 것이다.
반도체 소자를 제조하기 위해서는 증착, 사진, 식각, 세정 등과 같은 다양한 공정이 수행된다. 이들 중 일부 공정을 수행하는 장치는 복수의 챔버들을 가진다. 기판은 어느 하나의 챔버에서 공정이 수행된 후 다른 챔버로 반송된다.
일 예에 의하면, 사진 공정을 수행하는 기판 처리 장치는 기판에 포토 레지스트와 같은 감광액을 도포하는 도포챔버, 감광액의 도포 전 또는 후에 기판을 가열 또는 냉각하는 베이크 챔버, 그리고 기판의 가장자리 영역을 노광하는 에지 노광챔버 등과 같은 다양한 챔버들을 구비한다. 기판은 이들 챔버들에 기설정된 순서로 반송된다.
기판은 챔버 내 기판을 지지하는 유닛 상에 미리 정해진 정위치에 놓여져야만 한다. 기판이 정위치에 놓여지지 못한 상태로 공정이 수행되는 경우, 공정 불량이 발생되기 쉽다. 예컨대, 기판이 정렬되지 못한 상태로 기판의 가장자리 영역을 처리하는 공정이 진행될 경우, 특정 케미칼 또는 린스 용액이 의도하지 않은 기판의 중심부에도 영향을 미치게 되므로, 공정 결과물의 품질이 기준치를 충족할 수 없다. 경우에 따라서는, 케미칼 또는 린스 용액에 노출된 기판 중심부 및 가장자리가 손상될 수도 있다.
따라서, 기판은 반송유닛 상에서 그 정위치를 벗어난 상태로 반송되거나, 챔버 내 기판을 지지하는 유닛 상에서 그 정위치를 벗어난 경우 정렬되어야만 한다.
일반적으로 기판의 위치가 센서 감지(Sensor Reading) 범위 또는 유닛(Unit) 수용 범위를 초과시 물리적 센터링(Centering)이 가능한 센터링 버퍼 유닛에서 센서 감지 범위 이내로 얼라인한다. 이때, 센터링 버퍼 유닛의 수용 범위는 핸드 내 기판 이동 범위를 초과 해야 한다.
만약, 기판의 틀어짐 위치가 센터링 버퍼 유닛의 수용 범위를 초과하는 경우, 반송유닛을 정지하고 기판을 인위적(사용자)인 중심위치로 이동시켜야 하는 문제가 발생하게 된다.
도 1은 센터링 버퍼 유닛에서 수용 범위에 벗어난 상태의 기판이 정렬될때 문제점을 보여주는 도면이고, 도 2는 도 1의 문제점 해소를 위해 수용 범위를 확대했을 때의 문제를 보여주는 도면이다.
본 발명은 본 발명은 기판의 틀어짐 위치가 센터링 버퍼 유닛의 수용 범위를 초과하는 경우에도 기판 정렬을 효율적으로 수행할 수 있는 기판 처리 장치 및 기판 처리 방법을 제공하는 것을 일 목적으로 한다.
또한, 본 발명은 기판의 정렬 정확도를 높이면서, 이와 동시에 정렬에 소요되는 시간을 줄일 수 있는 기판 처리 장치 및 기판 처리 방법을 제공하는 것을 일 목적으로 한다.
본 발명의 목적은 여기에 제한되지 않으며, 언급되지 않은 또 다른 목적들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
본 발명의 일 측면에 따르면, 기판을 반송하는 반송 유닛의 핸드 상에 놓인 기판의 정렬 상태를 측정하는 측정 단계; 상기 기판의 정렬 상태가 불량이면 상기 반송 유닛이 상기 기판을 기판 정렬 유닛으로 반송하는 단계; 및 상기 기판 정렬 유닛에서 기판의 위치를 정렬하는 단계를 포함하되; 상기 측정 단계에서 기판의 정렬 상태가 센서 감지 범위를 초과하는 경우에는 상기 기판 정렬 유닛에 상기 기판을 로딩하기 전에 상기 기판의 위치를 가보정하는 가보정 단계를 더 포함하는 기판 처리 방법이 제공될 수 있다.
또한, 상기 가보정 단계는 상기 측정 단계의 측정값을 이용하여 상기 기판의 틀어짐 방향성을 판단하는 방향성 판단 단계; 및 상기 기판의 틀어짐 방향의 반대방향으로 기설정 간격만큼 상기 핸드의 위치를 오프셋하는 오프셋 단계를 포함할 수 있다.
또한, 상기 기설정 간격은 상기 핸드에 설치된 기판의 정렬 상태를 측정하는 센서의 감지 범위와 대응될 수 있다.
또한, 상기 측정 단계는 상기 핸드에 설치된 4개의 센서가 상기 기판 상에 4개의 단부 위치를 검출할 수 있다.
또한, 상기 센서는 상기 핸드에 놓여진 기판을 향하여 빛을 발하고 감지된 빛의 면적으로써 기판의 오정렬 정도를 측정할 수 있다.
또한, 상기 방향성 판단 단계는 상기 4개의 센서들 중에서 센서 감지 범위를 초과한 무감지 센서를 통해 기판의 틀어짐 방향을 확인할 수 있다.
본 발명의 다른 측면에 따르면, 기판을 얼라인시키는 기판 정렬 유닛; 기판이 놓이는 핸드와 상기 핸드 상에서 상기 기판의 위치를 계측하는 위치 센서들을 가지며, 상기 기판을 상기 기판 정렬 유닛으로 반송하는 반송 유닛; 상기 반송 유닛에 의해 상기 기판 정렬 유닛 상으로 기판이 반송되는 반송 위치를 설정하는 티칭 유닛을 포함하되, 상기 티칭 유닛은, 상기 위치 센서들을 이용하여, 상기 핸드 상에 놓인 기판의 위치 틀어짐을 측정하되, 기판의 정렬 상태가 상기 위치 센서의 센서 감지 범위를 초과하는 경우 상기 핸드에 놓인 기판을 상기 기판 정렬 유닛에 안착시키기 전에 상기 상기 핸드의 반송 위치를 보정하는 기판 처리 장치가 제공될 수 있다.
또한, 상기 티칭 유닛은 상기 위치 센서들의 측정값을 이용하여 상기 기판의 틀어짐 방향성을 판단할 수 있다.
또한, 상기 티칭 유닛은 상기 핸드에 설치된 4개의 위치센서가 상기 기판 상에 4개의 단부 위치를 검출할 수 있다.
또한, 상기 티칭 유닛은 상기 4개의 위치센서들 중에서 센서 감지 범위를 초과한 무감지 위치센서를 통해 기판의 틀어짐 방향을 확인할 수 있다.
또한, 상기 티칭 유닛은 상기 기판의 틀어짐 방향의 반대방향으로 기설정 간격만큼 상기 핸드의 반송 위치를 오프셋할 수 있다.
또한, 상기 기설정 간격은 상기 위치 센서의 감지 범위와 대응될 수 있다.
또한, 상기 기판 정렬 유닛은 지지판; 및 상기 지지판의 상면에 결합되며, 기판의 위치를 정렬시키는 복수의 정렬핀들을 포함할 수 있다.
또한, 상기 정렬핀은, 아래로 갈수록 횡단면이 넓어지도록 제공되는 원뿔 또는 원뿔대 형상의 경사부를 가질 수 있다.
본 발명의 다른 측면에 따르면, 기판을 반송하는 반송 로봇이 제공된 반송 챔버, 상기 반송 챔버의 측부에 제공되며, 기판을 처리하는 복수의 공정 챔버, 상기 반송 챔버의 측부에 제공되는 기판 정렬 유닛을 가지는 기판 처리 설비를 이용해서 기판을 처리하는 방법에 있어서, 상기 반송 로봇의 핸드에 놓인 기판의 정렬 상태를 측정하는 측정 단계; 상기 기판의 정렬 상태가 불량이면 상기 반송 로봇이 상기 기판을 기판 정렬 유닛으로 반송하여 기판을 정렬하는 단계를 포함하되; 상기 측정 단계에서 상기 기판의 정렬 상태가 상기 기판 정렬 유닛의 기판 수용 범위를 초과하는 경우, 상기 기판 정렬 유닛에 상기 기판을 로딩하기 전에 상기 기판의 위치를 가보정하는 단계를 포함하는 기판 처리 방법이 제공될 수 있다.
또한, 상기 기판의 위치를 가보정하는 단계는 상기 핸드에 설치된 위치 센서들의 측정값을 이용하여 상기 기판의 틀어짐 방향성을 판단하는 방향성 판단 단계를 포함하되; 상기 방향성 판단 단계는 상기 위치 센서들 중에서 센서 감지 범위를 초과한 무감지 위치센서를 통해 기판의 틀어짐 방향을 확인할 수 있다.
또한, 상기 기판의 위치를 가보정하는 단계는 상기 기판의 틀어짐 방향의 반대방향으로 기설정 간격만큼 상기 핸드의 위치를 오프셋하는 오프셋 단계를 더 포함할 수 있다.
또한, 상기 기설정 간격은 상기 핸드에 설치된 기판의 정렬 상태를 측정하는 센서의 감지 범위와 대응될 수 있다.
또한, 상기 측정 단계는 상기 핸드에 설치된 4개의 센서가 상기 기판 상에 4개의 단부 위치를 검출할 수 있다.
또한, 상기 센서는 상기 핸드에 놓여진 기판을 향하여 빛을 발하고 감지된 빛의 면적으로써 기판의 오정렬 정도를 측정할 수 있다.
본 발명의 일실시예에 의하면, 기판의 틀어짐 위치가 센터링 버퍼 유닛의 수용 범위를 초과하는 경우에도 기판 정렬을 효율적으로 수행할 수 있다.
본 발명의 효과가 상술한 효과들로 한정되는 것은 아니며, 언급되지 아니한 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.
도 1은 센터링 버퍼 유닛에서 수용 범위에 벗어난 상태의 기판이 정렬될때 문제점을 보여주는 도면이다.
도 2는 도 1의 문제점 해소를 위해 수용 범위를 확대했을 때의 문제를 보여주는 도면이다.
도 3은 본 발명의 일실시예에 따른 기판 처리 설비를 상부에서 바라본 도면이다.
도 4는 도 3의 설비를 A-A방향에서 바라본 도면이다.
도 5는 도 3의 설비를 B-B방향에서 바라본 도면이다.
도 6은 도 3의 설비를 C-C방향에서 바라본 도면이다.
도 7은 도 3의 도포 및 현상 모듈에 구비된 정렬 장치의 단면도이다.
도 8은 위치 검출 부재가 설치된 핸드를 보여주는 도면이다.
도 9는 위치 검출 부재를 설명하기 위한 도면이다.
도 10은 위치 검출 부재의 센서 감지 범위를 보여주는 도면이다.
도 11 및 도 12는 핸드 상의 기판 틀어짐을 보여주는 도면들이다.
도 13은 위치 검출 부재들 중에서 센서 감지 범위를 벗어난 무감지 센서를 통한 기판의 틀어짐 방향을 보여주는 표이다.
도 14a 내지 도 14c는 다양한 형태의 기판 정렬 장치를 보여주는 도면이다.
도 15는 핸드 상의 기판 티칭을 위한 기판 처리 방법을 보여주는 플로우챠트이다.
아래에서는 첨부한 도면을 참고로 하여 본 발명의 실시 예에 대하여 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시 예에 한정되지 않는다. 또한, 본 발명의 바람직한 실시예를 상세하게 설명함에 있어, 관련된 공지 기능 또는 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우에는 그 상세한 설명을 생략한다. 또한, 유사한 기능 및 작용을 하는 부분에 대해서는 도면 전체에 걸쳐 동일한 부호를 사용한다.
어떤 구성요소를 '포함'한다는 것은, 특별히 반대되는 기재가 없는 한 다른 구성요소를 제외하는 것이 아니라 다른 구성요소를 더 포함할 수 있다는 것을 의미한다. 구체적으로, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 또한 도면에서 요소들의 형상 및 크기 등은 보다 명확한 설명을 위해 과장될 수 있다.
본 실시예의 설비는 반도체 웨이퍼 또는 평판 표시 패널과 같은 기판에 대해 포토리소그래피 공정을 수행하는 데 사용될 수 있다. 특히 본 실시예의 설비는 노광장치에 연결되어 기판에 대해 도포 공정 및 현상 공정을 수행하는 데 사용될 수 있다. 아래에서는 기판으로 웨이퍼가 사용된 경우를 예로 들어 설명한다.
도 3 내지 도 6은 본 발명의 일 실시예에 따른 기판 처리 설비를 개략적으로 보여주는 도면이다. 도 3은 기판 처리 설비를 상부에서 바라본 도면이고, 도 4는 도 3의 설비를 A-A 방향에서 바라본 도면이고, 도 5은 도 3의 설비를 B-B 방향에서 바라본 도면이고, 도 6은 도 3의 설비를 C-C 방향에서 바라본 도면이다.
도 3 내지 도 6을 참조하면, 기판 처리 설비(1)는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)을 포함한다.
이하, 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)이 배치된 방향을 제 1 방향(12)이라 칭하고, 상부에서 바라볼 때 제 1 방향(12)과 수직한 방향을 제 2 방향(14)이라 칭하고, 제 1 방향(12) 및 제 2 방향(14)과 각각 수직한 방향을 제 3 방향(16)이라 칭한다.
기판(W)은 카세트(20) 내에 수납된 상태로 이동된다. 이때 카세트(20)는 외부로부터 밀폐될 수 있는 구조를 가진다. 예컨대, 카세트(20)로는 전방에 도어를 가지는 전면 개방 일체식 포드(Front Open Unified Pod; FOUP)가 사용될 수 있다.
이하에서는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)에 대해 상세히 설명한다.
로드 포트(100)는 기판들(W)이 수납된 카세트(20)가 놓여지는 재치대(120)를 가진다. 재치대(120)는 복수개가 제공되며, 재치대들(120)은 제 2 방향(14)을 따라 일렬로 배치된다. 도 1에서는 4개의 재치대(120)가 제공되었다.
인덱스 모듈(200)은 로드 포트(100)의 재치대(120)에 놓인 카세트(20)와 제 1 버퍼 모듈(300) 간에 기판(W)을 이송한다. 인덱스 모듈(200)은 프레임(210), 인덱스 로봇(220), 그리고 가이드 레일(230)을 가진다. 프레임(210)은 대체로 내부가 빈 직육면체의 형상으로 제공되며, 로드 포트(100)와 제 1 버퍼 모듈(300) 사이에 배치된다.
제 1 버퍼 모듈(300)은 프레임(310), 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)을 가진다. 프레임(310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(200)과 도포 및 현상 모듈(400) 사이에 배치된다. 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)은 프레임(310) 내에 위치된다. 제 1 버퍼(320)와 제 2 버퍼(330)는 각각 복수의 기판들(W)을 일시적으로 보관한다. 제 1 버퍼 로봇(360)은 제 1 버퍼(320)와 제 2 버퍼(330) 간에 기판(W)을 이송시킨다. 냉각 챔버(350)는 각각 기판(W)을 냉각한다.
도포 및 현상 모듈(400)은 노광 공정 전에 기판(W) 상에 포토 레지스트를 도포하는 공정 및 노광 공정 후에 기판(W)을 현상하는 공정을 수행한다. 도포 및 현상 모듈(400)은 대체로 직육면체의 형상을 가진다. 도포 및 현상 모듈(400)은 도포 모듈(401)과 현상 모듈(402)을 가진다.
도포 모듈(401)과 현상 모듈(402)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 도포 모듈(401)은 현상 모듈(402)의 상부에 위치된다.
도포 모듈(401)은 기판(W)에 대해 포토레지스트와 같은 감광액을 도포하는 공정 및 레지스트 도포 공정 전후에 기판(W)에 대해 가열 및 냉각과 같은 열처리 공정을 포함한다.
도포 모듈(401)은 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)를 가진다. 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 레지스트 도포 챔버(410)와 베이크 챔버(420)는 반송 챔버(430)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다.
레지스트 도포 챔버(410)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 레지스트 도포 챔버(410)가 제공된 예가 도시되었다.
베이크 챔버(420)는 기판(W)을 열처리한다. 예컨대, 베이크 챔버들(420)은 포토 레지스트를 도포하기 전에 기판(W)을 소정의 온도로 가열하여 기판(W) 표면의 유기물이나 수분을 제거하는 프리 베이크(prebake) 공정이나 포토레지스트를 기판(W) 상에 도포한 후에 행하는 소프트 베이크(soft bake) 공정 등을 수행하고, 각각의 가열 공정 이후에 기판(W)을 냉각하는 냉각 공정 등을 수행한다.
반송 챔버(430)는 제 1 버퍼 모듈(300)의 제 1 버퍼(320)와 제 1 방향(12)으로 나란하게 위치된다.
현상 모듈(402)은 기판(W) 상에 패턴을 얻기 위해 현상액을 공급하여 포토 레지스트의 일부를 제거하는 현상 공정, 및 현상 공정 전후에 기판(W)에 대해 수행되는 가열 및 냉각과 같은 열처리 공정을 포함한다. 현상모듈(402)은 현상 챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)를 가진다. 현상 챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)는 제 2 방향(14)을 따라 순차적으로 배치된다.
현상 챔버들(460)은 모두 동일한 구조를 가진다. 다만, 각각의 현상 챔버(460)에서 사용되는 현상액의 종류는 서로 상이할 수 있다. 현상 챔버(460)는 기판(W) 상의 포토 레지스트 중 광이 조사된 영역을 제거한다. 이때, 보호막 중 광이 조사된 영역도 같이 제거된다. 선택적으로 사용되는 포토 레지스트의 종류에 따라 포토 레지스트 및 보호막의 영역들 중 광이 조사되지 않은 영역만이 제거될 수 있다.
현상모듈(402)의 베이크 챔버(470)는 기판(W)을 열처리한다. 예컨대, 베이크 챔버들(470)은 현상 공정이 수행되기 전에 기판(W)을 가열하는 포스트 베이크 공정 및 현상 공정이 수행된 후에 기판(W)을 가열하는 하드 베이크 공정 및 각각의 베이크 공정 이후에 가열된 기판(W)을 냉각하는 냉각 공정 등을 수행한다.
제 2 버퍼 모듈(500)은 도포 및 현상 모듈(400)과 노광 전후 처리 모듈(600) 사이에 기판(W)이 운반되는 통로로서 제공된다. 또한, 제 2 버퍼 모듈(500)은 기판(W)에 대해 냉각 공정이나 에지 노광 공정 등과 같은 소정의 공정을 수행한다. 제 2 버퍼 모듈(500)은 프레임(510), 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)을 가진다.
노광 전후 처리 모듈(600)은, 노광 장치가 액침 노광 공정을 수행하는 경우, 액침 노광시에 기판(W)에 도포된 포토레지스트 막을 보호하는 보호막을 도포하는 공정을 처리할 수 있다. 또한, 노광 전후 처리 모듈(600)은 노광 이후에 기판(W)을 세정하는 공정을 수행할 수 있다. 또한, 화학증폭형 레지스트를 사용하여 도포 공정이 수행된 경우, 노광 전후 처리 모듈(600)은 노광 후 베이크 공정을 처리할 수 있다.
노광 전후 처리 모듈(600)은 전처리 모듈(601)과 후처리 모듈(602)을 가진다. 전처리 모듈(601)은 노광 공정 수행 전에 기판(W)을 처리하는 공정을 수행하고, 후처리 모듈(602)은 노광 공정 이후에 기판(W)을 처리하는 공정을 수행한다.
노광 전후 처리 모듈(600)에서 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 완전히 분리되도록 제공된다.
전처리 모듈(601)은 보호막 도포 챔버(610), 베이크 챔버(620), 그리고 반송 챔버(630)를 가진다. 보호막 도포 챔버(610), 반송 챔버(630), 그리고 베이크 챔버(620)는 제 2 방향(14)을 따라 순차적으로 배치된다.
따라서 보호막 도포 챔버(610)와 베이크 챔버(620)는 반송 챔버(630)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 보호막 도포 챔버(610)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다.
선택적으로 보호막 도포 챔버(610)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 베이크 챔버(620)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 베이크 챔버(620)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다.
후처리 모듈(602)은 세정 챔버(660), 노광 후 베이크 챔버(670), 그리고 반송 챔버(680)를 가진다. 세정 챔버(660), 반송 챔버(680), 그리고 노광 후 베이크 챔버(670)는 제 2 방향(14)을 따라 순차적으로 배치된다.
따라서 세정 챔버(660)와 노광 후 베이크 챔버(670)는 반송 챔버(680)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 세정 챔버(660)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다.
선택적으로 세정 챔버(660)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 노광 후 베이크 챔버(670)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 노광 후 베이크 챔버(670)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다.
인터페이스 모듈(700)은 노광 전후 처리 모듈(600) 간에 기판(W)을 이송한다. 인터페이스 모듈(700)은 프레임(710), 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)를 가진다. 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)은 프레임(710) 내에 위치된다.
제 1 버퍼(720)와 제 2 버퍼(730)는 서로 간에 일정거리 이격되며, 서로 적층되도록 배치된다. 제 1 버퍼(720)는 제 2 버퍼(730)보다 높게 배치된다. 제 1 버퍼(720)는 전처리 모듈(601)과 대응되는 높이에 위치되고, 제 2 버퍼(730)는 후처리 모듈(602)에 대응되는 높이에 배치된다. 상부에서 바라볼 때 제 1 버퍼(720)는 전처리 모듈(601)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되고, 제 2 버퍼(730)는 후처리 모듈(602)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되게 위치된다.
본 발명의 일실시예는 위와 같이 구성되는 설비에 구비되는 기판 정렬 장치(800)로써, 도포 및 현상 모듈(400)에 제공된다.
도 7a 및 도 7b에 도시된 바와 같이, 기판 정렬 장치(800)는, 챔버(810), 지지판(820) 그리고, 가이드 유닛(830)을 포함한다.
챔버(810)는 기판이 유출입하는 개구가 형성된다. 챔버(810)는 도포 모듈(401) 및 현상 모듈(402)에 각각 제공될 수 있다. 지지판(820)은 챔버(810) 내부에 제공된다.
가이드 유닛(830)은 지지판(820) 상에 설치된다. 가이드 유닛(830)은 복수 개가 제공된다. 가이드 유닛(830)들은 서로 조합되어 그 내부에 기판(W)이 놓이는 공간을 제공한다. 가이드 유닛(830)은 정렬핀(831)과 고정체(832)를 포함한다. 정렬핀(831)은 기판을 정위치로 정렬시킨다. 고정체(832)는 정렬된 상태의 기판의 가장자리 영역을 지지하는 지지면(832a)를 가진다. 고정체(832)는 지지판(820)에 고정결합된다.
정렬핀(831)은, 경사부(831a)와, 바디부(831b)를 포함한다. 경사부(831a)는 아래로 갈수록 횡단면이 넓어지도록 제공되는 원뿔 또는 원뿔대 형상으로 제공된다. 바디부(831b)는 경사부(831a)로부터 고정체(832)까지 아래로 연장되며, 측부가 지지판(820)에 대해 수직하게 제공된다. 바디부(831b)의 높이(H2)는 기판(W) 두께(H1) 보다 작게 제공된다. 일 예에 의하면, 바디부(831b)의 높이(H2)는 기판(W) 두께(H1)의 1/2보다 작지 않게 제공된다. 예컨대, 바디부의 높이는 기판 두께의 1/2로 제공될 수 있다.
고정체(832)는, 지지체(832b)와, 지지축(832c)을 포함한다. 지지체(832b)는 원기둥 형태이고, 상면에 지지면(832a)이 제공된다. 지지축(832c)은 지지체(832b)로부터 연장 형성되고, 지지판(820)에 고정 결합된다.
기판(W)이 가이드 유닛(830)들 사이에 놓여지면, 경사부(831a)을 타고 하강하게 된다.
위와 같이 구성되는 기판 정렬 장치(800), 도포챔버(410), 베이크 챔버(420, 470), 현상 챔버(460)간의 기판(W) 반송은 반송 챔버(430, 480)에 구비된 반송 로봇(900)을 통해 수행된다.
반송 로봇(900)은, 반송 챔버(430)에 제공된다. 반송 로봇(900)은, 핸드(910) 및 위치 검출 부재(920)를 포함한다. 티칭 유닛(930)은 반송 로봇(900)의 반송 위치를 설정 제어하는 제어기에 해당된다.
핸드(910)는 기판(W)을 공정 챔버들 또는 기판 정렬 장치(800)로 위치 이동시킨다.
도 8은 위치 검출 부재가 설치된 핸드를 보여주는 도면이고, 도 9는 위치 검출 부재를 설명하기 위한 도면이며, 도 10은 위치 검출 부재의 센서 감지 범위를 보여주는 도면이다.
도 8 내지 도 10을 참조하면, 위치 검출 부재(920)는 핸드(910)가 설치된 베이스부(902)에 제공된다. 위치 검출 부재(920)는 기판(W)의 정렬 상태를 계측한다. 티칭 유닛(930)은 위치 검출 부재(920)로부터 신호를 수신하고, 기판(W)의 정렬 상태를 판단한다. 티칭 유닛(930)은 핸드(920)에 안착된 기판(W)의 정렬 상태가 불량인 경우, 기판(W)이 기판 정렬 장치(800)로 반송되도록 반송 로봇(900)을 제어한다.
위치 검출 부재(920)는 핸드(910)에 유지된 기판(W) 상에 단부 위치를 검출한다. 위치 검출 부재(920)는 베이스부(902)에 설치된다. 위치 검출 부재(920)는 복수개 제공될 수 있다. 일 예로 위치 검출 부재(920)는 4개가 서로 마주 보는 위치에 제공될 수 있다. 이 경우 위치 검출 부재(920)는 기판(W) 상에 단부 위치를 4개 검출할 수 있다. 일 예로 위치검출 부재(920)는 레이져 변위 센서로 제공될 수 있다. 도 10에 표시된 바와 같이, 4개의 위치 검출 부재(920)들은 각각 제1센서(920-1), 제2센서(920-2), 제3센서(920-3) 그리고 제4센서(920-4)로 정의할 수 있다.
위치 검출 부재(920)는 핸드(910)에 놓여진 기판을 향하여 빛을 발하고 감지된 빛의 면적으로써 기판의 오정렬 정도를 측정한다. 일 예로, 위치 검출 부재(920)는 발광부(921)와 수광부(922)를 포함할 수 있다.
발광부(921)는 광을 조사한다. 발광부(921)에서 조사되는 광원은 레이저 광으로 제공될 수 있다. 이와는 달리, 발광부(921)의 광원은 LED 광으로 제공될 수 있다.
수광부(922)는 발광부(921)에서 조사된 광을 수신한다. 수광부(922)는 수신되는 광을 양에 따라서 기판(W)의 위치를 측정할 수 있다. 일 예로 기판(W)의 없을 때, 발광부(921)에서 조사된 양을 기준값으로 한다. 이 후 핸드(910)에 유지된 상태일 때, 발광부(921)에서 광이 조사되면, 기판(W)에 의해 가려진 광량을 제외하고 수신된 광원을 통해서 기판(W)의 위치를 측정한다. 도 9 및 도 10에 표시된 A1 범위는 위치 검출 부재의 센서 감지 범위를 보여준다. 예를 들어, 기판의 사이즈가 300mm 인 경우에 위치 검출 부재(920)의 감지 범위는 ±4mm(PCD 308mm)일 수 있다. 그리고 핸드(910) 상에서의 기판 수용 범위는 센서 감지 범위보다 넓은 ±6mm(PCD 312mm)일 수 있다. 따라서, 기판은 핸드에 센서 감지 범위를 벗어난 위치에 놓여질 수 있다.
수광부(922)는 위치 검출 부재(920)가 레이져 변위로 제공되는 경우 레이져 광을 수신한다. 이와는 달리, 발광부(921)가 LED 광을 조사하는 경우, 수광부(922)는 리니어 이미지 센서로 제공될 수 있다. 일 예로 리니어 이미지 센서는 CCD(Charge Coupled Device) 라인 센서, 파이버라인 센서, 광전 센서 등 각 종 리니어 이미지 센서가 제공될 수 있다.
도 15는 핸드 상의 기판 티칭을 위한 기판 처리 방법을 보여주는 플로우챠트이다. 도 10 내지 도 15를 참조하면, 티칭 유닛(930)은 위치 검출 부재(920)들에서 검출된 4개의 기판(W) 상의 단부 위치를 전송받는다. 티칭 유닛(930)은 4개의 기판(W) 상의 단부 위치를 이용해 기판(W)의 중심 및 틀어짐 정도를 검출한다. 티칭 유닛(930)은 검출된 기판(W)의 중심을 이용해 핸드(910)에 유지된 기판(W)의 위치 어긋남량을 구하여 기판(W)의 반송 시 이를 기초로 반송한다.
티칭 유닛(930)은 위치 검출 부재(920)들을 이용하여 핸드(910) 상에 놓인 기판의 위치 틀어짐을 측정하되, 기판의 정렬 상태가 센서 감지 범위를 초과하는 경우 핸드(910)에 놓인 기판을 기판 정렬 유닛에 안착시키기 전에 핸드(910)의 반송 위치를 보정한다.
티칭 유닛(930)은 위치 검출 부재들의 측정값을 이용하여 기판의 틀어짐 방향성을 판단할 수 있다. 예컨대, 4개의 위치 검출 부재들 중에서 센서 감지 범위를 벗어난 무감지 위치센서를 통해 기판의 틀어짐 방향을 확인할 수 있다.
도 11을 참조하면, 제1센서(920-1)와 제4센서(920-4)가 기판을 감지하고, 제2,3센서(920-2,920-3)가 기판을 감지하지 못한 경우, 티칭 유닛(930)은 기판이 대략 12시 방향(0°)으로 센서 감지 범위를 초과하여 틀어진 것으로 판별할 수 있다. 참고로, 제1,4센서(920-1,920-4)의 경우 기판의 정위치 상태에서 수신되는 광량(예를 들어 50%)보다 상대적으로 적은 광량이 수신될 수 있고, 제2,3센서(920-2,920-3)는 발광부에서 발광되는 광량 전부(100%)가 수광부에서 수광할 수 있다.
도 12를 참조하면, 제1센서(920-1)와 제3센서(920-3) 그리고 제4센서(920-4)가 기판을 감지하고, 제2센서(920-2)가 기판을 무감지한 경우, 티칭 유닛(930)은 기판이 10시에서 11시 사이 방향(315°)으로 센서 감지 범위를 초과하여 틀어진 것으로 판별할 수 있다. 참고로, 제4센서(920-4)의 경우 기판의 정위치 상태에서 수신되는 광량(예를 들어 50%)보다 상대적으로 적은 광량이 수신되고, 반대로 제2센서(920-2)는 기판이 센서 감지 범위를 벗어났기 때문에 기판에 의해 가려지는 광량이 없어서 발광부에서 발광되는 광량 전부(100%)가 수광부에서 수광할 수 있다.
도 13은 위치 검출 부재들 중에서 센서 감지 범위를 벗어난 무감지 센서를 통한 기판의 틀어짐 방향을 보여주는 표이다.
도 13에 도시된 바와 같이, 티칭 유닛(930)은 위치 검출 부재(920)들의 측정값을 이용하여 기판의 틀어짐 방향성을 판단하게 된다.
상기와 같은 방법으로, 기판의 틀어짐 방향이 정해지면, 티칭 유닛(930)은 기판의 틀어짐 방향의 반대방향으로 기설정 간격만큼 핸드의 위치를 오프셋하게 된다. 여기서, 기설정 간격(오프셋 이동 거리)은 핸드에 설치된 기판의 정렬 상태를 측정하는 위치 검출 부재(920)의 감지 범위와 대응될 수 있다. 예를 들어, 위치 검출 부재(920)의 감지 범위가 ±4mm(PCD 308mm)인 경우, 핸드(910)의 위치는 틀어짐 방향으로 4mm 만큼 오프셋하게 된다. (참고로, 핸드(910) 상에서의 기판 수용 범위가 센서 감지 범위보다 넓은 ±6mm(PCD 312mm))
핸드가 4mm 오프셋 된 상태에서 기판을 기판 정렬 장치(800)에 내려놓게 되면, 기판 정렬 장치의 수용 범위(센서 감지 범위와 동일) 내에 기판 정렬이 안정적으로 이루어지게 된다. 그리고 핸드가 오프셋 전으로 원위치된 상태에서 기판을 기판 정렬 장치로부터 가져오면 기판은 위치 검출 부재의 감지 범위 안에 위치하게 된다.
도 1에서와 같이, 티칭 유닛에 의한 핸드의 오프셋이 생략된 경우에는 기판이 기판 정렬 장치의 수용 범위에서 벗어나기 때문에 기판 정렬이 불가능하다. 만약, 핸드에 놓인 기판이 센서 감지 범위를 벗어난 상태에서 기판 정렬 장치에서 기판 정렬이 가능하기 위해서는 도 2에서와 같이 정렬핀의 크기를 크게 설계하면 가능하다. 하지만, 정렬핀의 크기가 증가하면 기판 정렬 장치의 크기(높이) 또한 증가하게 되고 이는 적층 구조에 불리한 문제점이 있다.
도 14a 내지 도 14c는 다양한 형태의 기판 정렬 장치를 보여주는 도면이다.
도 14a는 일반적인 삼각뿔 형태의 정렬핀을 보여주고, 도 14b는 원뿔 형태의 정렬핀을 보여준다. 그리고 도 14c는 일반적인 지지핀 형태를 보여준다. 도 14c의 경우, 핸드(910)의 오프셋 동작을 통해 기판의 가보정된 상태에서 지지핀(839)들에 올려진 후, 핸드(910)는 다시 오프셋 동작 전의 위치에서 기판을 픽업한다. 따라서, 핸드(910)상의 기판은 센서 감지 범위내에 위치되기 때문에 일반적인 티칭 방법으로 기판 반송이 가능하다.
이상 본 발명의 일실시예에 따른 기판 정렬 장치, 기판 처리 장치 및 기판 처리 방법을 상세히 설명하였다, 그러나, 본 발명은 상술한 예에 한정되지 않으며, 기판(W)을 처리하는 모든 장치에 적용 가능하다. 특히, 본 발명의 일실시예에 포함되는 가이드 유닛(830)은 기판(W)으로 액을 공급하여 기판(W)을 처리하는 액처리 챔버와, 기판(W)을 가열하는 베이크 챔버에 적용될수 있다.
800: 기판 정렬 장치 810: 챔버
820: 지지판 830: 가이드 유닛
831: 정렬핀 831a: 경사부
900: 반송 로봇
910: 핸드 920: 위치 검출 부재
930: 티칭 유닛

Claims (20)

  1. 기판 처리 방법에 있어서,
    기판을 반송하는 반송 유닛의 핸드 상에 놓인 기판의 정렬 상태를 측정하는 측정 단계;
    상기 기판의 정렬 상태가 불량이면 상기 반송 유닛이 상기 기판을 정렬하는 기판 정렬 유닛으로 반송하는 단계; 및
    상기 기판 정렬 유닛에서 상기 기판의 위치를 정렬하는 단계를 포함하되;
    상기 기판 정렬 유닛은,
    지지판; 및
    상기 지지판의 상면에 결합되며, 기판의 위치를 정렬시키는 복수의 정렬핀들을 포함하고,
    상기 측정 단계에서 기판의 정렬 상태가 센서 감지 범위를 초과하는 경우에는 상기 기판 정렬 유닛에 상기 기판을 로딩하기 전에 상기 핸드 상에 놓인 상기 기판이 상기 복수의 정렬핀들의 사이로 반송 가능하도록 상기 핸드의 위치를 가보정하는 가보정 단계를 더 포함하고,
    상기 가보정 단계는
    상기 측정 단계의 측정값을 이용하여 상기 기판의 틀어짐 방향성을 판단하는 방향성 판단 단계; 및
    상기 기판의 틀어짐 방향의 반대방향으로 기설정 간격만큼 상기 핸드의 위치를 오프셋하는 오프셋 단계를 포함하고,
    상기 기설정 간격은
    상기 핸드에 설치된 기판의 정렬 상태를 측정하는 센서의 감지 범위와 대응되는 기판 처리 방법.
  2. 삭제
  3. 삭제
  4. 제1항에 있어서,
    상기 측정 단계는
    상기 핸드에 설치된 4개의 센서가 상기 기판 상에 4개의 단부 위치를 검출하는 기판 처리 방법.
  5. 제4항에 있어서,
    상기 센서는 상기 핸드에 놓여진 기판을 향하여 빛을 발하고 감지된 빛의 면적으로써 기판의 오정렬 정도를 측정하는 기판 처리 방법.
  6. 제4항에 있어서,
    상기 방향성 판단 단계는
    상기 4개의 센서들 중에서 센서 감지 범위를 초과한 무감지 센서를 통해 기판의 틀어짐 방향을 확인하는 기판 처리 방법.
  7. 기판을 처리하는 장치에 있어서,
    기판을 얼라인시키는 기판 정렬 유닛;
    기판이 놓이는 핸드와 상기 핸드 상에서 상기 기판의 위치를 계측하는 위치 센서들을 가지며, 상기 기판을 상기 기판 정렬 유닛으로 반송하는 반송 유닛;
    상기 반송 유닛에 의해 상기 기판 정렬 유닛 상으로 기판이 반송되는 반송 위치를 설정하는 티칭 유닛을 포함하되,
    상기 기판 정렬 유닛은,
    지지판; 및
    상기 지지판의 상면에 결합되며, 기판의 위치를 정렬시키는 복수의 정렬핀들을 포함하고,
    상기 티칭 유닛은,
    상기 위치 센서들을 이용하여, 상기 핸드 상에 놓인 기판의 위치 틀어짐을 측정하되, 기판의 정렬 상태가 상기 위치 센서의 센서 감지 범위를 초과하는 경우 상기 핸드에 놓인 기판을 상기 기판 정렬 유닛에 안착시키기 전에 상기 핸드 상에 놓인 상기 기판이 복수의 정렬핀들의 사이에 반송 가능하도록 상기 핸드의 반송 위치를 보정하되,
    상기 위치 센서들의 측정값을 이용하여 상기 기판의 틀어짐 방향성을 판단하고,
    상기 기판의 틀어짐 방향의 반대방향으로 기설정 간격만큼 상기 핸드의 반송 위치를 오프셋하고,
    상기 기설정 간격은 상기 위치 센서의 감지 범위와 대응되는 기판 처리 장치.
  8. 삭제
  9. 제7항에 있어서,
    상기 티칭 유닛은
    상기 핸드에 설치된 4개의 위치센서가 상기 기판 상에 4개의 단부 위치를 검출하는 기판 처리 장치.
  10. 제9항에 있어서,
    상기 티칭 유닛은
    상기 4개의 위치센서들 중에서 센서 감지 범위를 초과한 무감지 위치센서를 통해 기판의 틀어짐 방향을 확인하는 기판 처리 장치.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 제7항에 있어서,
    상기 정렬핀은,
    아래로 갈수록 횡단면이 넓어지도록 제공되는 원뿔 또는 원뿔대 형상의 경사부를 갖는 기판 처리 장치.
  15. 기판을 반송하는 반송 로봇이 제공된 반송 챔버, 상기 반송 챔버의 측부에 제공되며, 기판을 처리하는 복수의 공정 챔버, 상기 반송 챔버의 측부에 제공되는 기판 정렬 유닛을 가지는 기판 처리 설비를 이용해서 기판을 처리하는 방법에 있어서,
    상기 반송 로봇의 핸드에 놓인 기판의 정렬 상태를 측정하는 측정 단계;
    상기 기판의 정렬 상태가 불량이면 상기 반송 로봇이 상기 기판을 기판 정렬 유닛으로 반송하여 기판을 정렬하는 단계를 포함하되;
    상기 기판 정렬 유닛은,
    지지판; 및
    상기 지지판의 상면에 결합되며, 기판의 위치를 정렬시키는 복수의 정렬핀들을 포함하고,
    상기 측정 단계에서 상기 기판의 정렬 상태가 상기 기판 정렬 유닛의 기판 수용 범위를 초과하는 경우, 상기 기판 정렬 유닛에 상기 기판을 로딩하기 전에 상기 핸드 상에 놓인 상기 기판이 상기 복수의 정렬핀들의 사이로 반송 가능하도록 상기 핸드의 위치를 가보정하는 단계를 포함하고,
    상기 기판의 위치를 가보정하는 단계는
    상기 핸드에 설치된 위치 센서들의 측정값을 이용하여 상기 기판의 틀어짐 방향성을 판단하는 방향성 판단 단계를 포함하되;
    상기 방향성 판단 단계는
    상기 위치 센서들 중에서 센서 감지 범위를 초과한 무감지 위치센서를 통해 기판의 틀어짐 방향을 확인하고,
    상기 기판의 틀어짐 방향의 반대방향으로 기설정 간격만큼 상기 핸드의 위치를 오프셋하는 오프셋 단계를 더 포함하고,
    상기 기설정 간격은
    상기 핸드에 설치된 기판의 정렬 상태를 측정하는 센서의 감지 범위와 대응되는 기판 처리 방법.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 제15항에 있어서,
    상기 측정 단계는
    상기 핸드에 설치된 4개의 센서가 상기 기판 상에 4개의 단부 위치를 검출하는 기판 처리 방법.
  20. 제15항에 있어서,
    상기 센서는 상기 핸드에 놓여진 기판을 향하여 빛을 발하고 감지된 빛의 면적으로써 기판의 오정렬 정도를 측정하는 기판 처리 방법.
KR1020200141436A 2020-10-28 2020-10-28 기판 처리 장치 및 기판 처리 방법 KR102624577B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020200141436A KR102624577B1 (ko) 2020-10-28 2020-10-28 기판 처리 장치 및 기판 처리 방법
JP2021174026A JP2022071851A (ja) 2020-10-28 2021-10-25 基板処理装置及び基板処理方法
CN202111255308.7A CN114496839A (zh) 2020-10-28 2021-10-27 基板处理装置和基板处理方法
US17/513,734 US11626309B2 (en) 2020-10-28 2021-10-28 Substrate treating apparatus and substrate treating method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200141436A KR102624577B1 (ko) 2020-10-28 2020-10-28 기판 처리 장치 및 기판 처리 방법

Publications (2)

Publication Number Publication Date
KR20220056658A KR20220056658A (ko) 2022-05-06
KR102624577B1 true KR102624577B1 (ko) 2024-01-15

Family

ID=81256747

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200141436A KR102624577B1 (ko) 2020-10-28 2020-10-28 기판 처리 장치 및 기판 처리 방법

Country Status (4)

Country Link
US (1) US11626309B2 (ko)
JP (1) JP2022071851A (ko)
KR (1) KR102624577B1 (ko)
CN (1) CN114496839A (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022145029A (ja) * 2021-03-19 2022-10-03 株式会社Screenホールディングス 基板搬送装置および基板搬送方法
US20220399218A1 (en) * 2021-06-10 2022-12-15 Kawasaki Jukogyo Kabushiki Kaisha Transport system and determination method

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6198976B1 (en) * 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
JP2006269497A (ja) * 2005-03-22 2006-10-05 Olympus Corp 基板処理装置及び基板収納方法
JP4750724B2 (ja) * 2007-01-25 2011-08-17 東京応化工業株式会社 重ね合わせユニット及び貼り合わせ装置
JP2012038922A (ja) * 2010-08-06 2012-02-23 Tokyo Electron Ltd 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体
JP5614326B2 (ja) 2010-08-20 2014-10-29 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及びその基板搬送方法を実行させるためのプログラムを記録した記録媒体
JP5733437B2 (ja) * 2010-08-20 2015-06-10 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及び記録媒体
KR101329327B1 (ko) 2010-12-31 2013-11-14 세메스 주식회사 기판 처리 설비 및 기판 이송 로봇의 자동 티칭 방법
JP5490741B2 (ja) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
JP5664570B2 (ja) * 2012-02-09 2015-02-04 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP5858103B2 (ja) * 2014-07-16 2016-02-10 東京エレクトロン株式会社 基板搬送装置、基板搬送方法及び記憶媒体
WO2016032242A1 (ko) 2014-08-27 2016-03-03 주식회사 제우스 기판 처리장치와 기판 처리방법
JP6339909B2 (ja) * 2014-09-17 2018-06-06 株式会社Screenホールディングス 基板処理装置および基板処理方法
JP6316742B2 (ja) * 2014-12-24 2018-04-25 東京エレクトロン株式会社 基板搬送装置および基板搬送方法
KR101740480B1 (ko) * 2015-05-29 2017-06-08 세메스 주식회사 티칭 방법, 그리고 이를 이용한 기판 처리 장치
KR101736849B1 (ko) 2015-10-01 2017-05-17 세메스 주식회사 기판 중심 검출 방법, 기판 반송 방법, 반송 유닛 및 이를 포함하는 기판 처리 장치.
KR101817209B1 (ko) * 2016-06-24 2018-02-22 세메스 주식회사 기판 처리 장치 및 방법
KR20180006710A (ko) * 2016-07-11 2018-01-19 세메스 주식회사 기판 처리 장치
KR101927698B1 (ko) * 2016-11-14 2018-12-12 세메스 주식회사 이송 로봇, 기판 처리 장치 및 기판 처리 방법
KR102059567B1 (ko) * 2017-08-21 2019-12-27 피에스케이홀딩스 (주) 기판 반송 장치
KR102099110B1 (ko) 2017-10-12 2020-05-15 세메스 주식회사 기판 정렬 장치, 기판 처리 장치 및 기판 처리 방법
JP2020088374A (ja) * 2018-11-30 2020-06-04 キヤノントッキ株式会社 搬送システム、搬送方法、デバイス製造装置、及びデバイス製造方法
CN114466728A (zh) * 2019-07-26 2022-05-10 朗姆研究公司 用于自动化晶片搬运机械手教导与健康检查的整合适应性定位系统及例程
JP2022145029A (ja) * 2021-03-19 2022-10-03 株式会社Screenホールディングス 基板搬送装置および基板搬送方法

Also Published As

Publication number Publication date
US20220130703A1 (en) 2022-04-28
CN114496839A (zh) 2022-05-13
JP2022071851A (ja) 2022-05-16
KR20220056658A (ko) 2022-05-06
US11626309B2 (en) 2023-04-11

Similar Documents

Publication Publication Date Title
JP7097691B2 (ja) ティーチング方法
JP4886549B2 (ja) 位置検出装置および位置検出方法
KR101605698B1 (ko) 기판 처리 장치, 기판 처리 방법, 프로그램 및 컴퓨터 기억 매체
KR102624577B1 (ko) 기판 처리 장치 및 기판 처리 방법
TW200524026A (en) Coater/developer and coating/developing method
KR20170006263A (ko) 기판 처리 장치, 기판 처리 방법 및 기억 매체
JP2005011853A (ja) 基板処理装置及び基板搬送手段の位置合わせ方法
TWI771493B (zh) 基板處理裝置
CN108028177B (zh) 基板处理装置、基板处理方法以及存储介质
KR200487281Y1 (ko) 기판 검사 장치
KR20160103927A (ko) 주변 노광 장치, 주변 노광 방법, 프로그램, 및 컴퓨터 기억 매체
TWM565876U (zh) Substrate processing device
KR100865720B1 (ko) 기판 처리 장치 및 방법
KR20190008102A (ko) 기판 위치 조정 방법, 기억 매체 및 기판 처리 시스템
JP3845585B2 (ja) 処理装置
JP5766316B2 (ja) 基板処理装置、基板処理方法、プログラム及びコンピュータ記憶媒体
JP2007212230A (ja) 欠陥検査方法,欠陥検査システム及びコンピュータプログラム
JP4473827B2 (ja) 基板処理装置及び基板の受け渡し位置の調整方法
JP2010166008A (ja) 基板搬送システム、露光装置およびデバイス製造方法
JP5149207B2 (ja) 基板搭載装置
JP5825268B2 (ja) 基板検査装置
JPH10173030A (ja) 基板搬送装置およびこれを用いた露光装置
JP6735155B2 (ja) 露光装置
TWI701518B (zh) 使用基板矯正治具之曝光裝置以及基板矯正治具
TW202217464A (zh) 檢查裝置及基板搬送方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant