JP2008505484A5 - - Google Patents

Download PDF

Info

Publication number
JP2008505484A5
JP2008505484A5 JP2007519198A JP2007519198A JP2008505484A5 JP 2008505484 A5 JP2008505484 A5 JP 2008505484A5 JP 2007519198 A JP2007519198 A JP 2007519198A JP 2007519198 A JP2007519198 A JP 2007519198A JP 2008505484 A5 JP2008505484 A5 JP 2008505484A5
Authority
JP
Japan
Prior art keywords
fluid
supercritical
substrate
solvent
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007519198A
Other languages
English (en)
Other versions
JP2008505484A (ja
JP4293471B2 (ja
Filing date
Publication date
Priority claimed from US10/881,456 external-priority patent/US7250374B2/en
Application filed filed Critical
Publication of JP2008505484A publication Critical patent/JP2008505484A/ja
Publication of JP2008505484A5 publication Critical patent/JP2008505484A5/ja
Application granted granted Critical
Publication of JP4293471B2 publication Critical patent/JP4293471B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (24)

  1. 膜除去システム内で基板を処理する方法であって、前記方法は、
    前記膜除去システムの基板チャンバ内に前記基板を提供し、前記基板はミクロフィーチャ側壁上の誘電体膜と前記誘電体膜の第一の部分を覆い且つ前記誘電体膜の第二の部分を覆わないフォトレジスト膜とを含むミクロフィーチャを有するところの段階と、
    超臨界CO 流体及び前記フォトレジスト膜によって覆われない前記誘電体膜の第二の部分を除去可能な第一の溶媒に前記基板をさらすことによって、前記基板上で第一の膜除去プロセスを実行する段階と、
    前記第一の膜除去プロセスの後新たな超臨界CO 流体で前記基板チャンバを洗浄する段階と、
    前記洗浄の後、前記超臨界CO 流体及び前記フォトレジスト膜を除去可能な第二の溶媒に前記基板をさらすことによって、前記基板上で第二の膜除去プロセスを実行する段階と、
    前記第一及び第二の膜除去プロセスの間、約31℃から約200℃の間の温度に、及び約1,070psigから約6,000psigの間の圧力に、前記超臨界CO 流体を保持する段階とを含む方法
  2. 前記第一の膜除去プロセスの実行は、
    前記基板チャンバを前記超臨界CO 流体で加圧する段階と、
    前記超臨界CO 流体内の前記第一の溶媒を移送する段階と、
    前記誘電体膜の前記第二の部分が前記側壁から除去されるまで、前記超臨界CO 流体及び前記第一の溶媒に前記基板をさらす段階とをさらに含む、請求項1に記載の方法
  3. 前記第一の膜除去プロセスの実行は、約10秒から約1200秒の間の時間前記超臨界CO 流体及び前記第一の溶媒に前記基板をさらすことを含む、請求項1に記載の方法
  4. 前記第一の膜除去プロセスの実行は、約20秒から約600秒の間の時間前記超臨界CO 流体及び前記第一の溶媒に前記基板をさらすことを含む、請求項1に記載の方法
  5. 前記第一の膜除去プロセスの実行は、約30秒から約180秒の間の時間前記超臨界CO 流体及び前記第一の溶媒に前記基板をさらすことを含む、請求項1に記載の方法
  6. 前記第二の膜除去プロセスの実行は、
    前記基板チャンバを前記超臨界CO 流体で加圧する段階と、
    前記超臨界CO 流体内の前記第二の溶媒を移送する段階と、
    前記誘電体膜の前記第一の部分から前記フォトレジスト膜が除去されるまで前記超臨界CO 流体及び前記第二の溶媒に前記基板をさらす段階と、
    新しい超臨界CO 流体で前記基板チャンバを洗浄する段階とをさらに含む、請求項1に記載の方法
  7. 前記第二の膜除去プロセスの実行は、約10秒から約1200秒の間の時間前記超臨界CO 流体及び前記第二の溶媒に前記基板をさらすことを含む、請求項1に記載の方法
  8. 前記第二の膜除去プロセスの実行は、約20秒から約600秒の間の時間前記超臨界CO 流体及び前記第二の溶媒に前記基板をさらすことを含む、請求項1に記載の方法
  9. 前記第二の膜除去プロセスの実行は、約30秒から約180秒の間の時間前記超臨界CO 流体及び前記第二の溶媒に前記基板をさらすことを含む、請求項1に記載の方法
  10. 前記ミクロフィーチャは約0.2ミクロン未満の直径を有するトレンチを含む、請求項1に記載の方法
  11. 前記ミクロフィーチャは、直径に対する深さのアスペクト比が約10:1よりも大きいトレンチを含む、請求項1に記載の方法
  12. 前記ミクロフィーチャは、直径に対する深さのアスペクト比が約50:1よりも大きいトレンチを含む、請求項1に記載の方法
  13. 前記誘電体膜が、ヒ素ドープ二酸化シリコン膜を含む、請求項1に記載の方法
  14. 前記第一の溶媒がHF水溶液またはHF:ピリジンを含み、前記第二の溶媒がN−メチルピロリドン、ジイソプロピルアミン、トリイソプロピルアミン、またはジグリコールアミン、またはそれらのうち二つ以上の組み合わせを含む、請求項1に記載の方法
  15. 前記第二の溶媒が、メタノール、エタノール、イソプロピルアルコール、ベンジルアルコール、アセトン、ブチレンカーボネート、プロピレンカーボネート、ジメチルスルホキシド、γ−ブチロラクトン、ジメチルホルムアミド、ジメチルアセトアミド、乳酸エチル、過酸化水素、過酸化ベンゾイル、酸素、オゾン、硝酸、酢酸、またはギ酸、またはそれらのうち二つ以上の組み合わせ、をさらに含む、請求項14に記載の方法
  16. 前記超臨界CO 流体の保持は約40℃から約120℃の間の温度で行なわれる、請求項1に記載の方法
  17. 前記超臨界CO 流体の保持は約60℃から約80℃の間の温度で行なわれる、請求項1に記載の方法
  18. 前記超臨界CO 流体の保持は約2,000psigから約3,000psigの間のCO 圧力で行なわれる、請求項1に記載の方法
  19. 前記超臨界CO 流体と各々前記第一及び前記第二の溶媒との配合における前記第一及び前記第二の溶媒の各々の比率は、体積にして約0.1%から約33%の間である、請求項1に記載の方法
  20. プロセッサにおける実行のためのプログラム指示を含み、前記プロセッサによって実行されるとき、膜除去システムが請求項1に記載された方法における段階を実行することを可能にする、コンピュータが読み取り可能なメディア
  21. 基板チャンバの内部および外部へ連続的に流体を循環するための循環ループに連結された基板チャンバを有する膜除去システム内で基板を処理する方法であって、前記方法は、
    前記膜除去システムの基板チャンバ内に前記基板を提供し、前記基板はミクロフィーチャ側壁上の誘電体膜と前記誘電体膜の第一の部分を覆い且つ前記誘電体膜の第二の部分を覆わないフォトレジスト膜とを含むミクロフィーチャを有するところの段階と、
    超臨界CO 流体、前記フォトレジスト膜によって覆われない前記誘電体膜の第二の部分を除去可能な第一の溶媒及び前記フォトレジスト膜を除去可能な第二の溶媒を用いて、統合された誘電体及びフォトレジスト膜除去プロセスを実施する段階と、及び、
    前記統合された誘電体及びフォトレジスト膜除去プロセスの間、約31℃から約200℃の間の温度に、及び約1,070psigから約6,000psigの間の圧力に、前記超臨界CO 流体を保持する段階とを含み、
    前記膜除去プロセスは、
    前記超臨界CO 流体の流れを循環ループ内部に導入する段階と、
    前記循環ループ内の超臨界CO 流体の流れ内部に前記第一の溶媒の流れを導入する段階と、
    前記超臨界CO 流体及び前記第一の溶媒の流れを、前記基板チャンバを通って前記循環ループを経由して循環させ、前記誘電体膜の第二の部分が前記側壁から除去されるまで、前記基板を前記超臨界CO 流体及び前記第一の溶媒にさらす段階と、
    前記循環ループ及び基板チャンバを通じて前記超臨界CO 流体の流れを継続する間前記第一の溶媒の流れを中断して、前記循環ループ及び基板チャンバから前記第一の溶媒を洗浄する段階と、
    前記循環ループ内の超臨界CO 流体の流れ内部に前記第二の溶媒の流れを導入し、前記超臨界CO 流体及び前記第二の溶媒の流れを、前記誘電体膜の第一の部分から前記フォトレジスト膜が除去されるまで、前記基板チャンバを通って前記循環ループを経由して循環させる段階と、及び、
    前記循環ループ及び基板チャンバを通じて前記超臨界CO 流体の流れを継続する間前記第二の溶媒の流れを中断して、前記循環ループ及び基板チャンバから前記第二の溶媒を洗浄する段階と、を含む方法
  22. 前記第一の溶媒がHF水溶液またはHF:ピリジンを含み、前記第二の溶媒がN−メチルピロリドン、ジイソプロピルアミン、トリイソプロピルアミン、またはジグリコールアミン、またはそれらのうち二つ以上の組み合わせを含む、請求項21に記載の方法
  23. 前記超臨界CO 流体及び前記第一の溶媒に前記基板をさらす段階は約10秒から約1200秒の間の時間であり、前記超臨界CO 流体及び前記第二の溶媒に前記基板をさらす段階は約10秒から約1200秒の間の時間である、請求項22に記載の方法
  24. 前記ミクロフィーチャは、約0.2ミクロン未満の直径を有し、直径に対する深さのアスペクト比が約10:1よりも大きいトレンチを含む、請求項21に記載の方法
JP2007519198A 2004-06-30 2005-04-22 超臨界二酸化炭素処理を用いて基板を処理するための方法 Expired - Fee Related JP4293471B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/881,456 US7250374B2 (en) 2004-06-30 2004-06-30 System and method for processing a substrate using supercritical carbon dioxide processing
PCT/US2005/013885 WO2006007005A1 (en) 2004-06-30 2005-04-22 A system and method for processing a substrate using supercritical carbon dioxide processing

Publications (3)

Publication Number Publication Date
JP2008505484A JP2008505484A (ja) 2008-02-21
JP2008505484A5 true JP2008505484A5 (ja) 2008-06-26
JP4293471B2 JP4293471B2 (ja) 2009-07-08

Family

ID=34980085

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007519198A Expired - Fee Related JP4293471B2 (ja) 2004-06-30 2005-04-22 超臨界二酸化炭素処理を用いて基板を処理するための方法

Country Status (4)

Country Link
US (1) US7250374B2 (ja)
JP (1) JP4293471B2 (ja)
TW (1) TWI276167B (ja)
WO (1) WO2006007005A1 (ja)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1277233A2 (en) * 2000-04-25 2003-01-22 Tokyo Electron Corporation Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
WO2003064065A1 (en) * 2002-01-25 2003-08-07 Supercritical Systems Inc. Method for reducing the formation of contaminants during supercritical carbon dioxide processes
US7270941B2 (en) * 2002-03-04 2007-09-18 Tokyo Electron Limited Method of passivating of low dielectric materials in wafer processing
US20050227187A1 (en) * 2002-03-04 2005-10-13 Supercritical Systems Inc. Ionic fluid in supercritical fluid for semiconductor processing
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
CN1642665A (zh) * 2002-03-22 2005-07-20 东京毅力科创株式会社 用超临界工艺清除杂质
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US20040154647A1 (en) * 2003-02-07 2004-08-12 Supercritical Systems, Inc. Method and apparatus of utilizing a coating for enhanced holding of a semiconductor substrate during high pressure processing
US20040231707A1 (en) * 2003-05-20 2004-11-25 Paul Schilling Decontamination of supercritical wafer processing equipment
US7163380B2 (en) * 2003-07-29 2007-01-16 Tokyo Electron Limited Control of fluid flow in the processing of an object with a fluid
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102282A1 (en) * 2004-11-15 2006-05-18 Supercritical Systems, Inc. Method and apparatus for selectively filtering residue from a processing chamber
US7462561B2 (en) * 2005-01-27 2008-12-09 David Lu Contact structure formed using supercritical cleaning fluid and ALCVD
US20060186088A1 (en) * 2005-02-23 2006-08-24 Gunilla Jacobson Etching and cleaning BPSG material using supercritical processing
US20060185693A1 (en) * 2005-02-23 2006-08-24 Richard Brown Cleaning step in supercritical processing
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US7550075B2 (en) * 2005-03-23 2009-06-23 Tokyo Electron Ltd. Removal of contaminants from a fluid
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7399708B2 (en) * 2005-03-30 2008-07-15 Tokyo Electron Limited Method of treating a composite spin-on glass/anti-reflective material prior to cleaning
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US20060225769A1 (en) * 2005-03-30 2006-10-12 Gentaro Goshi Isothermal control of a process chamber
US7442636B2 (en) * 2005-03-30 2008-10-28 Tokyo Electron Limited Method of inhibiting copper corrosion during supercritical CO2 cleaning
US7789971B2 (en) * 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
JP2007095863A (ja) * 2005-09-28 2007-04-12 Elpida Memory Inc 半導体シリコン基板の製造方法
DE102006015382A1 (de) * 2006-04-03 2007-10-04 Robert Bosch Gmbh Verfahren zur Behandlung von nanoskalige Poren aufweisendem Material
US20070240740A1 (en) * 2006-04-13 2007-10-18 Mcdermott Wayne T Cleaning of contaminated articles by aqueous supercritical oxidation
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
US7651890B2 (en) * 2006-09-15 2010-01-26 International Business Machines Corporation Method and apparatus for prevention of solder corrosion
US20080067651A1 (en) * 2006-09-15 2008-03-20 International Business Machines Corporation Method and apparatus for prevention of solder corrosion utilizing forced air
US20080206949A1 (en) * 2007-02-28 2008-08-28 Semiconductor Technology Academic Research Center Apparatus for forming conductor, method for forming conductor, and method for manufacturing semiconductor device
JP4555881B2 (ja) * 2008-03-18 2010-10-06 株式会社日立国際電気 基板処理装置及び表示方法
US8153533B2 (en) * 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US8961701B2 (en) * 2008-09-24 2015-02-24 Lam Research Corporation Method and system of drying a microelectronic topography
US20100184301A1 (en) * 2009-01-20 2010-07-22 Lam Research Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process
US9620410B1 (en) 2009-01-20 2017-04-11 Lam Research Corporation Methods for preventing precipitation of etch byproducts during an etch process and/or subsequent rinse process
WO2013052067A1 (en) * 2011-10-07 2013-04-11 Intel Corporation Formation of dram capacitor among metal interconnect
KR102030056B1 (ko) * 2017-05-02 2019-11-11 세메스 주식회사 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치
KR20190005741A (ko) * 2017-07-07 2019-01-16 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 금속 산화물 막의 형성 방법
KR102433947B1 (ko) * 2017-09-29 2022-08-18 도쿄엘렉트론가부시키가이샤 유체로 기판을 코팅하기 위한 방법 및 시스템
JP7197396B2 (ja) * 2019-02-06 2022-12-27 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN112144042B (zh) * 2020-09-11 2021-09-24 大连理工大学 一种基于超临界流体脉冲的半导体薄膜可控生长系统
JP2022124622A (ja) * 2021-02-16 2022-08-26 株式会社Screenホールディングス 基板処理装置

Family Cites Families (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US3744660A (en) 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
US3968885A (en) 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) * 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
GB1594935A (en) 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
JPS5448172A (en) * 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4355937A (en) 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (de) 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf Druckluftgetriebene doppelmembran-pumpe
US4682937A (en) 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (de) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
US4522788A (en) 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
FR2536433A1 (fr) 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4626509A (en) 1983-07-11 1986-12-02 Data Packaging Corp. Culture media transfer assembly
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
GB8332394D0 (en) 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4778356A (en) 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4924892A (en) * 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) * 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4789077A (en) 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) * 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
EP0409972B1 (en) 1989-02-16 1992-10-21 PAWLISZYN, Janusz B. Apparatus and method for delivering supercritical fluid
US4879431A (en) 1989-03-09 1989-11-07 Biomedical Research And Development Laboratories, Inc. Tubeless cell harvester
US5213485A (en) * 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5169296A (en) 1989-03-10 1992-12-08 Wilden James K Air driven double diaphragm pump
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5062770A (en) 1989-08-11 1991-11-05 Systems Chemistry, Inc. Fluid pumping apparatus and system with leak detection and containment
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5226441A (en) * 1989-11-13 1993-07-13 Cmb Industries Backflow preventor with adjustable outflow direction
US5213619A (en) * 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5217043A (en) 1990-04-19 1993-06-08 Milic Novakovic Control valve
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (de) * 1990-06-08 1991-12-12 Ott Kg Lewa Membran fuer eine hydraulisch angetriebene membranpumpe
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5236669A (en) 1990-09-12 1993-08-17 E. I. Du Pont De Nemours And Company Pressure vessel
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
DE4106180A1 (de) 1990-10-08 1992-04-09 Dirk Dipl Ing Budde Doppel-membranpumpe
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
CH684402A5 (de) * 1991-03-04 1994-09-15 Xorella Ag Wettingen Vorrichtung zum Verschieben und Schwenken eines Behälter-Verschlusses.
US5195878A (en) * 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5730874A (en) * 1991-06-12 1998-03-24 Idaho Research Foundation, Inc. Extraction of metals using supercritical fluid and chelate forming legand
US5243821A (en) 1991-06-24 1993-09-14 Air Products And Chemicals, Inc. Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates
US5251776A (en) 1991-08-12 1993-10-12 H. William Morgan, Jr. Pressure vessel
JP3040212B2 (ja) 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
DE9112761U1 (ja) * 1991-10-14 1992-04-09 Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling, De
US5221019A (en) 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
KR930019861A (ko) * 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5240390A (en) 1992-03-27 1993-08-31 Graco Inc. Air valve actuator for reciprocable machine
WO1993020116A1 (en) * 1992-03-27 1993-10-14 The University Of North Carolina At Chapel Hill Method of making fluoropolymers
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
KR100304127B1 (ko) * 1992-07-29 2001-11-30 이노마다 시게오 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5456759A (en) 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5339844A (en) 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5355901A (en) 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5328722A (en) 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5514220A (en) * 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
KR100251873B1 (ko) 1993-01-21 2000-04-15 마쓰바 구니유키 종형 열처리 장치
US5433334A (en) 1993-09-08 1995-07-18 Reneau; Raymond P. Closure member for pressure vessel
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5370740A (en) 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5872257A (en) * 1994-04-01 1999-02-16 University Of Pittsburgh Further extractions of metals in carbon dioxide and chelating agents therefor
DE69523208T2 (de) * 1994-04-08 2002-06-27 Texas Instruments Inc Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
JP3320549B2 (ja) * 1994-04-26 2002-09-03 岩手東芝エレクトロニクス株式会社 被膜除去方法および被膜除去剤
KR0137841B1 (ko) * 1994-06-07 1998-04-27 문정환 식각잔류물 제거방법
US5501761A (en) * 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
US5556497A (en) * 1995-01-09 1996-09-17 Essef Corporation Fitting installation process
US5629918A (en) * 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5736425A (en) * 1995-11-16 1998-04-07 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
US5726211A (en) * 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
JP3955340B2 (ja) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 高温高圧ガス処理装置
DK9600149U3 (da) * 1996-05-01 1997-09-12 Moerch & Soenner A S Dækselaggregat
US5618751A (en) * 1996-05-23 1997-04-08 International Business Machines Corporation Method of making single-step trenches using resist fill and recess
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
KR19980018262A (ko) * 1996-08-01 1998-06-05 윌리엄 비.켐플러 입출력포트 및 램 메모리 어드레스 지정기술
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5725987A (en) * 1996-11-01 1998-03-10 Xerox Corporation Supercritical processes
US5714299A (en) * 1996-11-04 1998-02-03 Xerox Corporation Processes for toner additives with liquid carbon dioxide
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5896870A (en) * 1997-03-11 1999-04-27 International Business Machines Corporation Method of removing slurry particles
JPH10261687A (ja) * 1997-03-18 1998-09-29 Furontetsuku:Kk 半導体等製造装置
US6149828A (en) * 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US5900354A (en) * 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
US5893756A (en) * 1997-08-26 1999-04-13 Lsi Logic Corporation Use of ethylene glycol as a corrosion inhibitor during cleaning after metal chemical mechanical polishing
JP3194036B2 (ja) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 乾燥処理装置及び乾燥処理方法
US6056008A (en) * 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US5872061A (en) * 1997-10-27 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma etch method for forming residue free fluorine containing plasma etched layers
US5904737A (en) * 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
SG81975A1 (en) * 1998-04-14 2001-07-24 Kaijo Kk Method and apparatus for drying washed objects
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
EP1024524A2 (en) * 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US6520767B1 (en) * 1999-04-26 2003-02-18 Supercritical Combusion Corporation Fuel delivery system for combusting fuel mixtures
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6180533B1 (en) * 1999-08-10 2001-01-30 Applied Materials, Inc. Method for etching a trench having rounded top corners in a silicon substrate
US6355072B1 (en) * 1999-10-15 2002-03-12 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
CA2387373A1 (en) * 1999-11-02 2001-06-28 Tokyo Electron Limited Method and apparatus for supercritical processing of a workpiece
AU2000266442A1 (en) * 2000-08-14 2002-02-25 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
IL154749A0 (en) * 2000-09-07 2003-10-31 Cmb Ind Short-length reduced-pressure backflow preventor
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
US6722642B1 (en) * 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US6913968B2 (en) * 2003-07-30 2005-07-05 International Business Machines Corporation Method and structure for vertical DRAM devices with self-aligned upper trench shaping
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film

Similar Documents

Publication Publication Date Title
JP2008505484A5 (ja)
JP4293471B2 (ja) 超臨界二酸化炭素処理を用いて基板を処理するための方法
US7291565B2 (en) Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
JP3771496B2 (ja) 超臨界二酸化炭素法を用いた基板からフォトレジストおよび残渣の除去
US7838425B2 (en) Method of treating surface of semiconductor substrate
JP6142059B2 (ja) 基板処理方法、基板処理システムおよび記憶媒体
US7011716B2 (en) Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
JP5404361B2 (ja) 半導体基板の表面処理装置及び方法
JP3996513B2 (ja) 微細構造体から残留物を除去する方法および装置
JP2006179913A (ja) 高圧処理システムにおいて超臨界流体を流動させる方法及び装置
US20090014028A1 (en) Method of cleaning substrates and substrate cleaner
US20060180175A1 (en) Method and system for determining flow conditions in a high pressure processing system
JP2008530795A5 (ja)
JP2008516075A5 (ja)
JP4848376B2 (ja) 高圧処理システム用超臨界流体均質化方法及びシステム
US20060180174A1 (en) Method and system for treating a substrate with a high pressure fluid using a peroxide-based process chemistry in conjunction with an initiator
JP2008021673A (ja) 洗浄方法及び洗浄装置
JP3953600B2 (ja) レジスト膜剥離剤及びそれを用いた薄膜回路素子の製造方法
JP2005522737A5 (ja)
JP4373979B2 (ja) レジスト除去方法及びレジスト除去装置
TW200308051A (en) Method of treatment of porous dielectric films to reduce damage during cleaning
JP3920696B2 (ja) 微細構造体の乾燥方法および該方法により得られる微細構造体
US20060102590A1 (en) Method for treating a substrate with a high pressure fluid using a preoxide-based process chemistry
TWI784545B (zh) 晶圓常溫乾燥方法
JPWO2006137194A1 (ja) 基体表面上の有機被膜の除去方法および除去装置