TWI276167B - A system and method for processing a substrate using supercritical carbon dioxide processing - Google Patents

A system and method for processing a substrate using supercritical carbon dioxide processing Download PDF

Info

Publication number
TWI276167B
TWI276167B TW094121888A TW94121888A TWI276167B TW I276167 B TWI276167 B TW I276167B TW 094121888 A TW094121888 A TW 094121888A TW 94121888 A TW94121888 A TW 94121888A TW I276167 B TWI276167 B TW I276167B
Authority
TW
Taiwan
Prior art keywords
film
substrate
film removal
supercritical
processing method
Prior art date
Application number
TW094121888A
Other languages
English (en)
Other versions
TW200610044A (en
Inventor
Glenn Gale
Joseph T Hillman
Gunilla Jacobson
Bentley Palmer
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200610044A publication Critical patent/TW200610044A/zh
Application granted granted Critical
Publication of TWI276167B publication Critical patent/TWI276167B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means

Description

1276167 九、發明說明: 【發明所屬之技術領域】 於—種半導職造技術,且尤關於 界c〇2處理從基板之上的微特徵部去除薄膜的半導體製 【先前技術】 達深ίίϊϊίϊίυ電子裝置之最小的特徵部尺寸即將到 3日1在f造動態,存取記紐(DRAM)裝置所需的ΐ溝電 渠溝電容器_,為了提供摻雜物f 雜的介電膜向外擴散_渠溝的側壁之中而形成電^二, 板,故將例如摻雜的二氧化石夕薄膜(例如推的:々^ 為石夕酸坤玻璃(ASG))等介賴沈積在渠亦稱 在目前的渠溝電容器技術中,渠溝的寬度 小’且渠溝之深度對直徑的深寬比例大至約 了利用具1完全垂直之侧壁的渠溝以外,為了增加j容器的 的渠溝電容器技術通常使用「瓶形」渠溝,1中二 溝的底部抛彳成比渠溝的頂部更寬。然而^ ^將木 在渠溝之中的薄膜。 成更難以處理位 【發明内容】 的系=====去=;阻膜 徵部,畝冬仞力做4主嫩划λλ⑻糸具有微特 Γΐ膜=;微=_壁之上的介電膜與: y丨電膜的先阻膜,且利用超臨界c〇2處理在 ^7之 膜去除處理,其中去除未被光_覆蓋的4 =弟-溥 在本發明之一實施例中,本方法更 6 1276167 利用超臨界c〇2處理而在基板 一 除光阻膜,射接續於第 ^^^二_去除處理,俾去 處理。 、弟賴去除處理之後執行第二薄膜去除 一在本發明之另一實施例中, rif ί?處理,俾去除光阻膜,其中上執行第 後執行弟二薄膜去除處理。 /、"只、、弟薄膜去除處理 在本發明之又一實施例中, 基板係具有微特徵部,包二在理基板用的方法,而 覆蓋於一部份之介電膜的井λ寺斂。卩的側壁之上的介電膜與 行第-薄膜去除處理,a中去用渥式處理在基板之上執 •膜’及利用超臨界c〇2處理在份之介電 俾去除光阻膜,1中接婷於笛 士執仃罘二薄膜去除處理, 去除處理。中接',於弟1膜去除處理之後執行第二薄膜 提供一種薄膜去除系統,用虚 送系統,用以在薄膜去除系統統,基板傳 基板之上執行超臨界C0薄膜去除#理土 ^反腔至,用以在 部,包含介雷膜紗^除處理,而基板係具有微特徵 除處理係包括第—薄财除處理與第二薄膜 _介電膜且第二薄臈去除處理則接續於第的 ,光,C0細統,用以藉由超 腔至、各劑供應系統,用以將溶劑輸送到基板 二 用以控制薄膜去除系統。 夂控制為, 本發明之其它樣態及優料參肋下之詳細說明及圖示 ':月Ϊ原Ϊ的附圖而更加清楚。在圖式中,相似的參考符號指示i 似的兀件。 ^ 【實施方式】 在此使用「微特徵部」一詞代表形成在基板之中及/或形成 7 1276167 層么中的特徵部’其具有微米刻度的尺寸, “ϋΐί 亦即小於lMm。圖1Α為根據本發明之-者 二H、備位在渠溝賴壁之上的介電膜與覆蓋於 ^ 特徵部之橫剖面略圖。微特徵部二 塾iu匕魏璃,腦)、墊氮化膜m、 fmm 172.176 178^Γ: * 利用光刻處理與乾侧技術加以形成,而這些 且有ΐ ^ίΐ 技藝之人士所熟知。例示性的渠溝副 ;力2.2祕未或更小之寬度,且渠溝之深寬比例為% : 1、甚至 。在圖1A中,蝕刻到矽基板178中的一 183 1S2 ί;二氧局部186的光阻膜184。介電膜182為’例如, 4。膜182與光阻膜184的沈積方法為熟悉本項技藝者所熟 二2 ’可以藉由旋轉塗佈光阻液使其進人渠溝18G之中且接 ^ Λ ^ 184 ° 5 iLt 184 p/v人士二電膜能夠終止在渠溝之中的程度。其次,從渠溝180去 除介電膜182之局部186。 彳f渠溝180去除外露的介電膜182之後,接續於從渠溝180 膜184。必須在免於對微特徵部,之中的其它材料造成 日的情況下執行光阻膜184的去除,包括墊氧化膜176、墊 m 172 ^ 182 ^ 心t發明之—實施例係提供—種利用超臨界二氧化碳(C〇2)處 ㈤仗破特徵部選擇性地去除薄膜之方法。在高於約31。〇的臨界 = ^T、C以亡且在大於約每平方吋丨,070表讀磅(Psig)的臨界壓 以上時’ C〇2流體係處於超臨界狀態當時。超臨界C〇2流體 貝示上不具黏性或表面張力,故因而可以毫無困難地完全深入到 8 1276167 S-ίίί-ί; ^ ' -- co2 濕式化學品經常在非常高溫下使^ __式化學品,而此種 用水超式處理所需的大量 而出現不會產生經常隨著渠溝之中的溼式處理 ,的至少—個_錄處理,相較於習知處 夠縮短總處理時間。 处里而口,係月匕 nn 11B為根據本發明之一實施例的在去除圖1A之枚特抒邻 184 細細182 ⑽ ^面,。根據本發明之-實施例,藉由使微特 „ CCV流體與溶解於超臨界c〇2流體 ^巨 CO : t 182 186 2之中的弟一洛劑此夠在免於對光阻膜184與 中的其它材料造成嚴重影響的情況下破壞 ⑻。第一溶劑為,例如,包含叫溶液)或跡比 170持績接觸至懷臨界c〇2與第一溶劑,直到已藉於 特徵部17〇破壞/溶解介電膜⑽之局部18^加以^ 圖1C為根據本發明之一實施例的在去除目m 中的光阻膜184之後賴略圖。在本發明之= 光阻膜184暴露於超臨界C〇2流體與溶解於超臨界泣 的第二溶劑,俾能選擇性地從渠溝⑽之巾去除 ^體^ 於超臨界〇>2之中的第二溶劑係能夠在免於對微特徵部174〇3 的其它材料造成嚴重影響的情況下破壞/溶解光阻膜— 劑為,例如,包括N-曱基砒喀烷酮、二異丙基胺、而二二各 或二鹵化胺、或其中兩者或更多之一組合。 一/、丙基胺、 9 1276167 甲醇此1 卜醇第為苯t:更包含,下化學品之其中-個: 曱基亞楓、γ-丁酸内酉旨、-:丙_、,酸丁稀、碳酸丙烯、二 過氧化氫、過氧化苯、f 基乙醯胺、乳酸乙醋、 兩者或更多之-址合。抓、_、或曱酸、或其中 圍並非僅限於上述溶劑理解:本發明之範 於實現本發明之實施例而與化學品皆可用 徵部170持續接觸到&舴 1溝之中去除光阻膜184。使微特 由超臨界與第二溶_混合液,直到已藉 去除為止。 p寺敛邛170破壞/溶解光阻膜184並加以 中去= _,處理從渠溝康之 等兩者。 、 局°卩186,且接著去除(b)光阻膜184 式處例,連續地執行超臨界C〇2處理與渥 除光阻膜m ^ ’且藉祕式處理去 為’例如,利用例如氣氣酉参太、、六 .' 式處理 之酸槽。光阻膜184=兹液·ί (水溶液比°定、或册細$ 或更高之為t糊加朗大約靴 或Η20/03。〃化(M)的混合物糖液、職_3、 部,ίίί它if明f實施例並不僅限於處理具有渠溝之微特徵 形狀,亦“摅狀的ί特徵部’例如孔洞或其它複雜之幾何 τ根據本發明之實施例加以處理。 2Α之之一實施例的薄膜去除系統之概略圖。圖 轉合於基板^基板腔室謂,加熱器204, 浦210、溶^供廣㈣=么、2、统施、循環迴路208、循環泵 、心糸、、先212、为離皿214、液體/固體廢料收集皿 10 1276167 217、及液化/純化糸統219。利用(機械手臂)基板傳送系統269 在薄膜去除系統200之内傳送基板265。基板265為任一尺寸,例 如200mm基板、300mm基板、或更大之基板。 基板腔室250係包括腔室箱270、基板夾具260、及處理區 267,用以處理基板265。基板腔室250更包括注入喷嘴275,用 以將超臨界C〇2流體通入到處理區267之中。在超臨界c〇2處理 - 期間,使基板265位在處理區267之中,其中使用超臨界〇〇2流 • 體結合溶劑而從基板265之上的微特徵部去除薄膜。腔室加熱器 204係加熱基板腔室250且為加熱毯。 C〇2供應糸統206係包括C〇2供應皿216、微粒過濾器225、 # C〇2泵浦218、及C〇2加熱器220。溶劑供應系統212係包括化學 溶劑=222與224、及第一與第二高壓注入泵浦226與228。 ,由C〇2管線230使C〇2供應皿216 _合於循環迴路208。 C〇2管線230係包括位在c〇2泵浦218與循環迴路2〇8之間的加 熱器220。使循環泵浦210位在循環迴路2〇8之上,且循環迴路 =8在循環入口 232與循環出口 234處耦合於基板腔室25〇。分別 猎由溶劑供應管236與238使溶劑供應皿222與224耦合於循環 藉由排氣管線240使分離皿214耦合於處理腔室25〇。使液體 < =體廢料收集皿217_合於分離皿214。亦藉由回氣管線241 ,分離皿214耦合於液化/純化系統219。藉由液態c〇2管線243 使液化/純化系統219耦合於C〇2供應皿216。又,在場外的位 巧斤液化Λ純化純219,其接收氣體收集皿之中的排氣且 悲C02送回液態c〇2m之後。 、走使苐兵弟一過濾為Ml與223 |馬合於循環迴路2〇8。第一過 =2„21可為—細過濾器,爿如肋在清洗用流體抵達腔室250 ^盾^口 232之前,歧其中過濾⑽5叫粒子與更大之粒子。 慮^ 223可為一粗過濾、器,例如,用以在清洗用流體經由 展口 234離開腔至250之後’從其中過濾、2至3哗粒子與更 11 1276167 大之粒子。第三過濾器225使C〇2供應皿216耦合於〇〇2泵 21^。第三過濾器225,例如,用以在C〇2液體抵達循環^路2〇8 之前’先從其中過濾0·05μιη粒子與更大之粒子。 一使控制器290耦合於薄膜去除系統200的多個元件並與苴六 換資訊,包括基板腔室250、C〇2供應系統206、溶劑供應系 及基板傳送系統269。此外,使控制器29〇耦合於薄^险 、 200的閥件、泵浦、壓力計、加熱器、及溫度計並盥苴交換、資^ 控制器290不僅能夠監測薄膜去除系統2〇〇之輪出丫更 足以傳遞及控制薄膜去除糸統2〇〇之輸入的控制信號。° 悉f項技藝之人士應可清楚理解:薄膜去除系統2。〇更包 =件、控制電子科、及超臨界越處理线之典鮮 人嗜嘴275射藝 應可清楚理解:可以使注 份贺f 275形成為基板夾具的一部份而非腔室箱⑽的一部 薄膜薄系統2〇0更包含澄式處理系統280,設置在 =去=线200之中。使渔式處理系統28〇 _合於 : ϋΪΪ,統269並與其交換資訊。根據本發明之-實二例, 連、’地執行超臨界(^〇2處理盘渥式虛理而户糞 、 徵部去除薄膜。例如,如圖基ί265之上的微特 理去除介雷膜a 〃 斤不,藉由超臨界C〇2處 184 。〇2處理去除光:膜18二’且藉由超臨界 統,其為熟悉溼式處理技術之\\^28。0為習知的澄式處理系 圖。^圖實施例的薄膜去除系統之概略 系統201分開,但t有^ 統281與超臨界〇)2薄膜去除 薄膜去除系統2〇1係相同或界,薄膜去除系統2〇卜 臨界C02部,亦即1勺入之薄膜去除系統200的超 的所有元件。圖之中除了澄式處理系統谓以外 刀開的座式處理系統观係藉由本身的控制器(未 12 1276167 圖示)執行操作。 —以下說明目2A及圖2B所示之薄膜去除系統的操作。將用以 各納超臨界C〇2流體之部分的薄膜去除系統2⑻與2G1加熱到高 = 31°C之臨界溫度以上的溫度,例如藉由加熱器綱與22〇加熱。 本發明之一貫施例中,溫度在約31。〇至約2〇〇。〇之間。又,、、w 度在約4(TC至約12(TC之間。又,溫度在約机至約贼之間皿。 利用基板傳运系、統269將基板265設置在基板腔室25〇之中。 利用C02供應皿216與C〇2泵浦218將超臨 =遞之=。利用第-注入泵細或第二注入 通二: 产官f6或238從溶劑供應皿222或224將溶劑通入循 广Ϊ ί中。溶劑對超臨界C〇2與溶劑之組合的容積比例為, =二在約0.1%至約33%之間。其次,將系統加壓到二乍力為 =去除糸統200或2〇1之中的超臨界%壓力為 i,(mpsig至約6,_psig之間。在本發明之一實施例中在/ 5〇2壓力在約2,000psig至約2,5〇〇psig之間含 體循環過處理區267與循環迴路通直, 伙基板265去除預期的薄膜為止。 其次,帽對循環迴路2G8的溶劑供 c〇2從c〇2供應皿216流過處理_並 地不^沖洗處理區267與娜迴路规達預離: 壓力南於臨界壓力以上。預定之時間為,例如,在% /'〇 秒之間,又,在約20秒至約6〇〇和、夕鬥^ ^ 心至、、々1200 :工以力包=广的賴步驟相:至地:° C〇2的臨界壓力以上。 4滅路細之中_力高於 具26ίί除=26碰且藉由基板傳送系請從基板夹 為了執行進—步的處理,故從基板腔室250將基板265傳送 13 1276167 到渔式處理系統280或281 ’且反之亦然。在從基板265之上的微 特徵部去除薄膜的澄式處理中,使基板265暴露於渔式處 =If ϋ的雛频。齡賴的情財,雜越能夠從 =反 ’Ί膜為’例如’叫_)流體。在光阻膜的情況 能夠從基板265去除光阻膜且為’例如’硫酸盘過 的ΐί物水溶液。在已執行預期之時間量的渔式處理而去 .^丨魏或光阻膜之後,則使用去離子水沖洗基板265並加以乾 ‘利用^ = = ’並不f ^式處理系統280或加,且 =ί:6:Η 溶= 的情況下,就將例如來自溶: 合。接著ίΐ迴路208而與超臨界C〇2流體結 處理區267與循U =,§/=劑的超臨界%流體循環過 著反覆執行ί中洗A採古,到攸基板265去除光阻膜為止。接 第二=為1處理’直到從處理區267與循環迴路篇沖洗掉
除處據之—實施例的簡化之一連串的介電膜去 的薄膜去除S ^賴去除處理300中,可以利用圖2Α之中 或座式= 之中的超臨界%薄膜去除系統J 3〇2時,處理開始。才攸^板士265之上的微特徵部去除介電膜。在 係JL右料4主^ 〇在312日守’將基板設置在基板腔室之中。 :二=:=位在微特徵部的側壁之上的介ί膜及ί-? 去除處理阻膜。在322時,在基板之上執行介ΐ; 處理結束。’于、被光阻膜覆蓋的-部份之介電膜。在332時,、 圖3Β為根據本發明之另—實施例的簡化之-連串的介電膜 14 1276167 去除處理之流程圖。在薄 的薄膜去除系統200或】2B之中,可以利用圖2A之中 或達式處理系統281從基 的超臨山界C〇2薄膜去除系統201 =時,處理開始。在^2時 去除介電膜。在 係包含微特徵部,可以料=在基碰室之中。基板 理。在372時,在美拓/ μ ^>^丨琶膜去除處理300加以處 .光阻膜。在382時^仃薄膜去除處理而從微特徵部去除 去除f里^光處理處理執行介電膜 ^4;33〇: f式處理執行介電膜去除處理3。 ’C二用 光阻膜去除處理350。 丑棚从界C02處理執订 絲實施本發明之實施例的電腦系統讀。電腦系 器290徒用刀而另i作為圖2A及圖2B之系統施及201之中的控制 I , 執仃上述之任一或所有的功能。電腦系統1201亦可 雷之中的濕式處理系統281的控制器(未圖示)使用。 糸、、先1201係包括匯流排12〇2或其它用以傳遞資訊的通信機 且包括處理器1203,與匯流排1202輕合而用以處理資訊。電 ,糸,12^1亦包括主記憶體12〇4,例如隨機存取記憶體(ram) 或其它動悲儲存裝置(例如,動態(DRAM)、靜態 、(SRA]\^、及同步DRAM ( SDRAM )),耦合於匯流排〗2〇2而用 以儲存資A及供處理器1203執行的指令。此外,可利用主記憶體 1204儲存暫態變數或處理器12〇3執行指令期間的其它中間資 訊。電腦系統1201更包括唯讀記憶體(R0M) 12〇5或其它靜態 儲存裝置(例如,可程式R〇M( PROM )、可消除PROM( EPROM )、 及電性可消除PROM (EEPROM)),耦合於匯流排1202而用以儲 存靜態資訊及處理器1203所需的指令。 15 1276167 電腦系統1201 ,亦包括輕合於匯流排12〇2之磁 而用以控制儲存資訊與指令所需的一個或更存二 1207,1208 * 光碟機、§買出/寫人光碟機、光碟記錄庫、 及可_ ^貝 磁光碟機)。利用適當的裝置界面將儲存裝置附加 加強(MDE)、直接記憶體存取(dma)^3 。、 電月齒糸統1201亦包括特殊用途之邏輯梦 如、 路(ASICs))或可規劃之邏輯裝置(例如/簡單之 程式閘陣列(FPGAs)(未圖示;?及攔位可 數位信號處理器(職)(未圖示),例如德二之 ,的晶片、摩托羅拉之鹏_、Ds_儀 系義片ADSP2刚與A__ 比信號的ijri抓相於處理已改變聰位領獻 _if 的顯示器控制器 1203^«^; TotT^TtTf i=/。係提供由電腦細所储存=產生u 處職12G3,純行記㈣之中,例如 執個或更多之相的-個或更多個指令,而 丁本I月之邛伤或所有的處理步驟。 體,例如硬碟機1207或可移除之媒體驅動機謂ΪΙ匕讀 16 1276167 。亦可採用具有多卫處理配置的一個或更 奸二/執仃§己‘思體1204之中所含的—序列之指令。在另一 :::越:使用固線式電路取代軟體指令或與其組合。故,實 门亚非僅限於硬體電路與軟體的任一特定組合。 、 十咅、體,持2H1201係包括至少一電腦可讀取媒體或記 “槿=持根據本發明之教示所程式化的指令且用以包含資 ίΤΤ^ΤΚ〇Μ' ^ fAl EPR〇M> ^' > 波(如下^〇、:其它具有孔洞之職的實體媒體、载 電腦可從其中加以讀取的其它媒體。 儲存在任一個電腦可讀取媒體或其組合之太# 括用以控制電腦系統1201之軟體、用以驅動壯署^田本每月係包 本發明所需的裝置之軟體、及用以電實施 用者互動之軟體(例如處理系 自糸、、先1201而與人類使 限於此,裝置驅練體、ϋ彡'。$錄體包括,但並非僅 本發明之電腦碼裝置為任為分散的話)。 ,非僅限於此··可編譯程式、動態連結$ = 幾制^括但 式、及完全可執行程式。又,為了更佳的(^、爪唾級程 成本,可分散本發明之處理的零件。、此可罪度、及/或 在此,「電腦可讀取媒體1_詞# 器_加以執行的媒體。電腦可讀忿指令給處理 久性媒體、依電性媒體:及傳。’ ίί 2 1207或可移除之媒體驅動機⑽。依二如硬碟 11 ^ 17 1276167 光纖j括構成匯流排12〇2的配線。傳輸媒體亦可具有聲波或光 波之型,,例如在無線電波與紅外線資料通信期間所產生者。 在貝行個或更多之序列的一個或更多之指令而由處理器 1203 ^以執行時將涉及各種型態之電腦可讀取媒體。例如,一開 指令傳送到遠端電腦之磁碟之中。遠端電腦可遠距地將用以 貫施所有或一部份之本發明的指令載入到動態記憶體之中且利用 數據機通過電話線傳送指令。在電腦系統而之附近的數據機係 ,收電話線上的資料且利用紅外線發送器將資料轉變成紅外線信 耦合^匯流排1202的紅外線偵檢器係接收紅外線信號之中& 貧料士將資料放置於匯流排㈣之上。匯流排將資料送至 ^憶體1204,而處理器聰則從其中擷取並執行指令。在處理 之前或之後,可任意地將主記憶體12G4所接收的指 々儲存於儲存裝置12〇7或1208之中。 、上電腦系統1201亦包括耦合於匯流排12〇2的通信界面1213。 面1213係提供搞合至網路連結1214的雙向資料通信,而 路Ϊ2^^,丨Γ如,區域網路(LAN) 1215、或連接至另一通信網 附遥认/例如網際網路。例如,通信界面1213為網路界面卡,俾 二一封包交換LAN。就另一例子而言,通信界面1213為非 卡二;用^迴路(ADSL)卡、整體服務數位網路(ISDN) 與相對應型態之通信線路的資料通信連接。 在任一此種實施型態中,通信界面1213係 信號或各種資訊之數位資料流的電信號、電磁 料裝ίΞΐϊ、=4典型地經由—個或更多之網路而提供至其它資 (If ΛΪ Γ例如,網路連結1214係經由區域網路1215 路S而it,由f務供應商所操作的設備,其經由通信網 ϋίΐ ί服務,而提供至另一電腦的連接。區域網路 盆載,例如,電信號、電磁信號、或光信號, /、载k數位貝枓k ’與相關的實體層(例如,CAT5電纜、同轴電 18 1276167 境、光纖等等)。經由各種網 由通信界面12i3的伴,的^虎與網路連結1214之上且經 係實施成基頻信號/或載波從電腦系統1201, 脈衝加,位=== 藉由在ίίΐί:ΐ=。Γ利用數位資料來調變載波,例如 振幅^傳f某f而當作電磁波傳遞之 的丨通作營憎而合ΐ鍵L7虎。故,數位資料可經由「固線 載波而iX π未调變的基頻資料加以傳送及/或藉由調變 係經由網路1^5土鱼頻之頻帶之間加以傳送。電腦系統1201 二=二網rf :2r及通信界面1213而傳 電腦ϊί以的連接,例如個人數位助理⑽)、筆記型 中處H統=可用來執行本發明之方法而在薄膜去除系統之 特徵部^除薄超臨界c〇2系統而從微 中或有效地齡於超臨界①2薄膜去除系統的澄 ㈣ί然以上已詳細本發明之特^例示性實施例,但孰采太 i況ϊ之gd,解:只要在不脫離本發明之教示與優點的 之範圍係可本發明 【圖式簡單說明】 ,\為根據本發明之一實施例的包含具傷介 一部份之介賴的触歡渠溝賴躲部之糊㈣圖後息於 中的光之—實關的在去除w 1A之微特徵部之 甲的禾被盍的—部份之介電膜之後的橫剖面略圖。 19 1276167 圖ic為根據本發明之—實施例的在去除圖1B之 中的光阻敵制橫剖面略圖。 之叫试部之 圖2A為根據本發明之一實施例的薄膜去除系統之概略圖。 圖2B為根據本發明之另一實施例的薄膜去除系統之概略圖。 圖3A為根據本發明之_實施例的簡化之一連串的介電膜去 除處理之流程圖。 圖為根據本發明之另一實施例的簡化之一連串的介電膜 去除處理之流程圖。 圖4頦示用以實施本發明之各種實施例的泛用型電腦系統。 _【轉元件符號說明】 170 微特徵部 172 硬質遮罩 174 墊氮化膜 176 墊氧化膜 178 碎基板 18〇 渠溝 182 介電膜 183 侧壁 φ !84 光阻膜 186 局部 1201電腦系統 1202匯流排 ' 1203處理器 1204主記憶體 1205唯讀記憶體(ROM) 1206磁碟控制器 1207儲存裝置 1208可移除之媒體驅動機 20 1276167 1209顯示器控制器 1210 顯示器 1211 鍵盤 1212指向裝置 1213 通信界面 1214 網路連結 1215 區域網路(LAN) 1216 通信網路 ^ 1217可攜式裝置 200、201 薄膜去除系統 φ 204、220 加熱器 206 C02供應系統 208 循環迴路 210、218 泵浦 212 溶劑供應系統 214 分離瓜 216 C02 供應 m 217 液體/固體廢料收集皿 219 液化/純化系統 221、223、225 過濾器 * 222 ^ 224溶劑供應孤 226、228 高壓注入泵浦 230 C02管線 - 232 循環入口 234 循環出口 " 236、238 溶劑供應管 240 排氣管線 241,回氣管線 243 液態C02管線 21 1276167 250 基板腔室 260 基板夹具 265 基板 267 處理區 269 基板傳送系統 270 腔室箱 ^ 275 注入喷嘴 280 > 281 溼式處理系統 " 290 控制器 300、350 介電膜去除處理 步驟 φ 302、312、322、332、352、362、372、382
22

Claims (1)

1276167 申請專利範圍 板βίϊίΓ步驟’在細去料狀i板腔室之中1Α s微特徵nr特徵部包含:-介電以ί 膜,且,,於」;以二::覆蓋於-第-局部的介電 膜去之j基第— 第二薄膜去除處理的執行步;,第及 财财^含《下步驟 薄 薄 膜去除處理,俾去除光阻膜 及 其中第-j除後執行、 行步驟中之至少-個包含利去除處理的執 1項之薄臈蝴統中的基板處理方法,盆中 膜的抑、ί除處理的執彳了步㈣含使基板絲於_去除介带 超臨界C02流體與-第—溶劑。 …云除電 3申圍第2項之薄膜去除系統中的基板處理方法,直中 邊弟一洛劑係包含水溶液或ηρ:π比咬。 W其中 範圍第2項之薄膜去除系統中的基板處理方法,其中 〇Λ 專膜去除處理的執行步驟更包含以下步驟: ” 错由超臨界c〇2流體加壓基板腔室; 輪送超臨界c〇2流體中之第一溶劑; 使用新的超臨界C〇2流體沖洗基板腔室。 23 1276167 11第2項之細去除系統中的基域理方法,复中 二二勺去;:執行步驟係、包含將超臨界%流體加熱到 兮第一範圍第2項之薄膜去除系統中的基板處理方法,1中 Ϊ 4(TC至約^執行步獅包含將超臨界%流體加熱到 範圍第2項之薄膜去除系統中的基板處理方法,盆中 範圍第2項之薄膜去除系統中的基板處理方法,其中 =====刪纖個购啦至約 第2項之_去除系統巾的基板處理方法,其中 Γοοο細Ιϊΐΐ處理的執行步驟係包含提供約2,__至約 •3,UUUpsig之間的_c〇2壓力。 1 中範圍第2項之薄膜去除系統中的基板處理方法,其 财第—㈣德合的容積比例在 ΐϊ2ίίΐ32項之薄膜去除系統中的基板處理方法,其 m、、¥_、去除處理的執彳了步懸、包含使基板暴露於超臨界 C〇2肌體與弟—溶劑_ 10秒至約1200秒之間的一期間。 12·如申請專利範圍第2項之薄膜去除系統中的基板處理方法,其 24 1276167 二;亥d?去轉理職行倾係包含使基減露於超臨界 2/瓜體與弟一溶劑達約20移、至約600秒之間的一期間。 其 1 中ί1 2 3 4 5狀細去I魏㈣基祕理方法, αΤ、、續ίΐ去ΐ處理的執行步驟係包含使基板暴露於超臨界 2飢-,、弟一溶劑達約3〇秒至約18〇秒之間的一期間。 2項之薄膜去除系統中的基板處理方法,其 光^膜的執行步驟係包含使基板暴露於能夠去除 尤丨且胰的起Bs界C〇2流體與一第二溶劑。 1 中6 7ίΐ請圍第15項之薄膜去除系統中的基板處理方法,其 含甲醇、乙醇、異丙醇、苯甲醇、丙酮、碳酸 ΐίϋ旨、過氧化氫、過氧化苯、氧、臭氧、硝酸、 酉曰酉文、或曱酸、或其中兩者或更多之一組合。 25 1 中第14項之薄膜去除系統中的基板處理方法,其 2 ^第一厚膜去除處理的執行步驟更包含以下步驟·· 3 藉由超臨界co2流體加壓基板腔室; 4 輸送超臨界C〇2流體中之第二溶劑; 5 使基板暴絲超臨界C02流體與第二 6 的介電膜去除光阻膜為止;及 从弟局4 7 使用新的超臨界c〇2流體沖洗基板腔室。 1276167 其 1 中14項之細去_斜的基板處理方法,1 約的執行步驟包含將超臨界co⑹體加熱到 其 6,000pS1g 之間的一 c〇2 壓力。 u U 2中14項之薄膜去除系統中的基板處理方法,其 嫩議2娜_㈣ 2中3tfft利侧第14項之_去_統巾的基域理方法,直 約界CQ2域與帛二溶歉組合的容積比例在 24. 如申請專利範圍第14項之薄膜去除系統中的基板處理方法,直 中該^賴去除處理的執行步驟包含使基板暴露於超臨界c〇、 流體/、弟一溶劑達約10秒至約12〇〇秒之間的一期間。 25. 如申請專利範圍第14項之薄膜去除系統中的基板處理方法,其 26 丄 276167 驟包含使基板 600秒之間的一期間 暴露於超臨界C〇 2中14項之薄膜去除系統中的基板處理方法,立 流體與第二溶‘====,臨界⑺2 其 中該微特去除系統中的基板處理方法, 匕3 1佐小於約〇·2微米的渠溝。 中兮範圍第1項之薄膜去除系統中的基板處理方法,苴 亥雜_包含深度對錄之深寬_大於約⑻=溝其 中1項之薄膜去除系統中的基板處理方法,直 从特被雜包含深度對餘之深寬_大於約5G:丨的渠溝、。 3中基板處理方法,其 3ϋ申請ί利範圍第2項之薄膜去除系統中的基板處理方法,a ^弟一 /專膜去除處理的執行步驟包含利用溼式處理去除光阻 0 32>、申請專利範圍第31項之薄膜去除系統中的基板處理方法,其 中該溼式處理係利用硫酸與過氧化氫、HF/HN〇3、或氏〇/〇二 混合物水溶液。 3、 33.如^凊專利範圍第丨項之薄膜去除系統中的基板處理方法,其 中該弟一薄膜去除處理的執行步驟包含使基板暴露於能夠去除光 27 1276167 阻膜的一超臨界c〇2流體與一第二溶劑。 3二2 圍第3 3項之薄膜去除系統中的基板處理方法,其 或二齒化胺、或其中兩者或更多之組合。 土妝 圍第34項之薄膜去除系統中的基板處理方法,其 ΐΐ 包含T乙醇、異丙醇、苯曱醇、_、碳酸 曱苯、㈣氧、硝酸、 33項之薄膜去除系統中的基板處理方法,其 甲一溥膜去除處理的執行步驟更包含以下步驟: 藉由超臨界c〇2流體加壓基板腔室; 輸送超臨界c〇2流體中之第二溶劑; 除光露Γ臨界c〇2流體與第二溶劑,直到從介電膜去 使用新的超臨界c〇2流體沖洗基板腔室。 3中請ίΐη第33項之薄膜去除系統中的基板處理方法,其 3 Γ執行步驟包含將超臨界co顧加熱到 3中f33項之_紙钱巾的基域理方法,盆 二。的執打步驟包含將超臨界c〇2流體加_ 其 39·如申明專利範圍第%項之薄膜去除系統中的基板處理方法, 28 1276167 的執行步驟_超臨界吸趙加熱到 圍第33項之薄膜去除系統中的基板處理方法,其 一'、去除處理的執行步驟包含提供約至約 6,000psig之間的_ c〇2壓力。 圍第33項之薄膜去除系統中的基板處理方法,其 步職含細释ig至約 m睛、=^第33項之薄膜去除系統中的基板處理方法,其 Sir至=titGQ2崎㈣狀齡_比例在 4中 33項之薄膜去除系統中的基板處理方法,其 、、*i盗·W t地理的執行步驟包含使基板暴露於超臨界C02 I體/、弟一洛蜊達約10秒至約1200秒之間的一期間。 33項之薄膜去除系統中的基板處理方法,其 ^食第\ :=理的執行步驟包含使基板暴露於超臨界co2 級體,、弟—洛蜊達約2〇秒至約6〇〇秒之間的一期間。 4中ΐ第申Γ3項之_统魏㈣基域理方法,其 、、^鱼第’i理的執行步驟包含使基板暴露於超臨界co2 抓體/、乐—洛蜊達約30秒至約180秒之間的一期間。 目g 33項之細去味财的基板處理方法,豆 中以弟桃去除處理的執行麵包含利㈣式處理去除第二局 29 1276167 部的介電膜 47·如申请專利範圍第46項之薄膜去^ ώ 中該溼式處理利用HF水溶液、^f或理方法’其 48·-種^去除线巾的基板處财法,包含町 ❿ 局:二::覆蓋於-第-局部的“ 弟薄膜去除處理的執行步驟,藉由#美;異+ 在基板之上執行-第-薄膜去除處理; 後,ί 處理的執行步驟’在第一薄膜去除處理之 二溶“在i板SSS界Γ流體與-第 ㈣土界C。2流體之溫度與壓力的保持步驟,在第-盘第- 又且保持在約l,〇7〇pSlg至約6,_psig之間的—塵力。 4中9ί;^利f鮮48項之_去除纽巾的基減理方法,里 中顧特_包含直徑小於約〇 2微米的渠溝。*万沄/、 中,圍第48項之薄膜去除系統中的基板處理方法,立 中姻寺徵部包含深度對直徑之深寬比例大於約i〇 : ι的二:、 中域帛48項之舰去除祕巾的基域理方法,其 中该雜域部包含深度對直徑之深寬比例大_ 50 : i的渠^冓Γ 30 1276167 5ϋ!請專利範圍第48項之薄膜去除系統中的基板處理方法,並 中该介電膜包含摻砷的二氧化矽薄膜。 /、 利範㈣48項之薄膜去除系統中的基板處理方法,且 J := 一:劑包含HF水溶液或HF:如定,且其中該第二溶劑包含 中兩;Si組:異丙基胺、三異丙基胺、或二鹵化胺、或其 中;第4 8項之_去除系統巾的基板處理方法,其 品界C〇2流體保持在約40°C至約12CTC之間的一溫度。 5中5=細第48歡賊絲純巾絲域理方法,置 中將该超臨界C〇2流體保持在約机至約啊之間的—溫 其 5中,Γ8項之薄膜槪魏巾的基板處理方法,美 - C〇:J;界2流體保持在約2,〇〇〇PSig至約3,_晌之間的 組合的容積比例在約〇.1%至約现之間。4料—洛劑之 58.一種電腦可讀取媒體’包含供一處理器執 處理器執行該程式齡時,促使_去料、ϋ〗,而當 種電腦可讀取媒體,包含供一處理器 該程式指令時,促使薄膜去除_彳當 圍弟你項之賴去除系統中的基板處理方法中之步驟甲广專利耗 31 1276167 6α—種ίίί除系統,用以處理一基板,包含: - i板腔以】以if膜去Ϊ系統之内傳送基板; 介電膜之且tff支部,包含—介電膜與覆蓋於一部份的 -薄膜去除處理盘」第U=c〇2薄膜去除處理包括-第-膜去除處理你田、、f—溥膜去除處理的至少其中之一,而第一簿 除處理則接續於g 膜覆蓋的介電膜,且第二薄膜去 一 去除處理之後用以去除光阻膜; •約l〇70psig之^力的31°C之溫度且具有至少 -溶劑供廡界C〇2流體輸送到基板腔室,· 基板腔室;及…、、、赠—賴隨著雜界co2流體輸送到 -控制n ’用以控卿膜去除系統。 61·如申請專利範圍第6〇項之薄膜 用以提供溶劑對超臨界叫流體^齊g 該溶劑供應系統 O.l0/G至約33%之間。 ’、之、'且5的—容積比例在約 修62.如申請專利範圍第6〇項之薄膜去 持超臨界C〇2流體與溶劑接觸到基板的在、^控制器用以保 秒之間。 4間在約10秒至約1200 ' 63.如申請專利範圍第6〇項之 .=設置在_去_統之巾或猶合於式處理系 處式處理系統用以執行第一薄膜去‘或第二=除 溼式處理系統 64.如申請專利範圍第63項之薄膜去除系統,其中該 32 1276167 用以利用HF水溶液、HF:吡啶、或HF/NH4F執行第一薄膜去除處 理。 65.如申請專利範圍第63項之薄膜去除系統,其中溼式處理系統用 以利用硫酸與過氧化氫、hf/hno3、或h2o/o3的混合物水溶液執 行第二薄膜去除處理。 十一、圖式:
33
TW094121888A 2004-06-30 2005-06-29 A system and method for processing a substrate using supercritical carbon dioxide processing TWI276167B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/881,456 US7250374B2 (en) 2004-06-30 2004-06-30 System and method for processing a substrate using supercritical carbon dioxide processing

Publications (2)

Publication Number Publication Date
TW200610044A TW200610044A (en) 2006-03-16
TWI276167B true TWI276167B (en) 2007-03-11

Family

ID=34980085

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094121888A TWI276167B (en) 2004-06-30 2005-06-29 A system and method for processing a substrate using supercritical carbon dioxide processing

Country Status (4)

Country Link
US (1) US7250374B2 (zh)
JP (1) JP4293471B2 (zh)
TW (1) TWI276167B (zh)
WO (1) WO2006007005A1 (zh)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100693691B1 (ko) * 2000-04-25 2007-03-09 동경 엘렉트론 주식회사 금속 필름의 침착방법 및 초임계 건조/세척 모듈을포함하는 금속침착 복합공정장치
WO2003064065A1 (en) * 2002-01-25 2003-08-07 Supercritical Systems Inc. Method for reducing the formation of contaminants during supercritical carbon dioxide processes
EP1481284A4 (en) * 2002-03-04 2006-10-25 Tokyo Electron Ltd METHOD FOR PASSIVATING LOW DIELECTRIC MATERIALS IN WELDING PROCESSING
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
US20050227187A1 (en) * 2002-03-04 2005-10-13 Supercritical Systems Inc. Ionic fluid in supercritical fluid for semiconductor processing
CN1642665A (zh) * 2002-03-22 2005-07-20 东京毅力科创株式会社 用超临界工艺清除杂质
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US20040154647A1 (en) * 2003-02-07 2004-08-12 Supercritical Systems, Inc. Method and apparatus of utilizing a coating for enhanced holding of a semiconductor substrate during high pressure processing
US20040231707A1 (en) * 2003-05-20 2004-11-25 Paul Schilling Decontamination of supercritical wafer processing equipment
US7163380B2 (en) * 2003-07-29 2007-01-16 Tokyo Electron Limited Control of fluid flow in the processing of an object with a fluid
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102282A1 (en) * 2004-11-15 2006-05-18 Supercritical Systems, Inc. Method and apparatus for selectively filtering residue from a processing chamber
US7462561B2 (en) * 2005-01-27 2008-12-09 David Lu Contact structure formed using supercritical cleaning fluid and ALCVD
US20060185693A1 (en) * 2005-02-23 2006-08-24 Richard Brown Cleaning step in supercritical processing
US20060186088A1 (en) * 2005-02-23 2006-08-24 Gunilla Jacobson Etching and cleaning BPSG material using supercritical processing
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US7550075B2 (en) * 2005-03-23 2009-06-23 Tokyo Electron Ltd. Removal of contaminants from a fluid
US20060226117A1 (en) * 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7442636B2 (en) * 2005-03-30 2008-10-28 Tokyo Electron Limited Method of inhibiting copper corrosion during supercritical CO2 cleaning
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US20060225769A1 (en) * 2005-03-30 2006-10-12 Gentaro Goshi Isothermal control of a process chamber
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
US7399708B2 (en) * 2005-03-30 2008-07-15 Tokyo Electron Limited Method of treating a composite spin-on glass/anti-reflective material prior to cleaning
US7789971B2 (en) * 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
JP2007095863A (ja) * 2005-09-28 2007-04-12 Elpida Memory Inc 半導体シリコン基板の製造方法
DE102006015382A1 (de) 2006-04-03 2007-10-04 Robert Bosch Gmbh Verfahren zur Behandlung von nanoskalige Poren aufweisendem Material
US20070240740A1 (en) * 2006-04-13 2007-10-18 Mcdermott Wayne T Cleaning of contaminated articles by aqueous supercritical oxidation
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
US7651890B2 (en) * 2006-09-15 2010-01-26 International Business Machines Corporation Method and apparatus for prevention of solder corrosion
US20080067651A1 (en) * 2006-09-15 2008-03-20 International Business Machines Corporation Method and apparatus for prevention of solder corrosion utilizing forced air
US20080206949A1 (en) * 2007-02-28 2008-08-28 Semiconductor Technology Academic Research Center Apparatus for forming conductor, method for forming conductor, and method for manufacturing semiconductor device
JP4555881B2 (ja) * 2008-03-18 2010-10-06 株式会社日立国際電気 基板処理装置及び表示方法
US8153533B2 (en) * 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US8961701B2 (en) * 2008-09-24 2015-02-24 Lam Research Corporation Method and system of drying a microelectronic topography
US9620410B1 (en) 2009-01-20 2017-04-11 Lam Research Corporation Methods for preventing precipitation of etch byproducts during an etch process and/or subsequent rinse process
US20100184301A1 (en) * 2009-01-20 2010-07-22 Lam Research Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process
CN104025294A (zh) * 2011-10-07 2014-09-03 英特尔公司 金属互连当中dram电容器的形成
KR102030056B1 (ko) * 2017-05-02 2019-11-11 세메스 주식회사 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치
KR20190005741A (ko) * 2017-07-07 2019-01-16 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 금속 산화물 막의 형성 방법
JP7074956B2 (ja) * 2017-09-29 2022-05-25 東京エレクトロン株式会社 基板に流体をコーティングする方法及びシステム
JP7197396B2 (ja) * 2019-02-06 2022-12-27 東京エレクトロン株式会社 基板処理装置及び基板処理方法
CN112144042B (zh) * 2020-09-11 2021-09-24 大连理工大学 一种基于超临界流体脉冲的半导体薄膜可控生长系统
JP2022124622A (ja) * 2021-02-16 2022-08-26 株式会社Screenホールディングス 基板処理装置

Family Cites Families (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US3744660A (en) 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
US3968885A (en) 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) * 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
GB1594935A (en) 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
JPS5448172A (en) * 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4355937A (en) 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (de) 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf Druckluftgetriebene doppelmembran-pumpe
US4682937A (en) 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (de) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
US4522788A (en) 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
FR2536433A1 (fr) 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4626509A (en) 1983-07-11 1986-12-02 Data Packaging Corp. Culture media transfer assembly
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
GB8332394D0 (en) 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4778356A (en) 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4924892A (en) * 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) * 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4789077A (en) 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) * 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
CA2027550C (en) 1989-02-16 1995-12-26 Janusz B. Pawliszyn Apparatus and method for delivering supercritical fluid
US4879431A (en) 1989-03-09 1989-11-07 Biomedical Research And Development Laboratories, Inc. Tubeless cell harvester
US5169296A (en) 1989-03-10 1992-12-08 Wilden James K Air driven double diaphragm pump
US5213485A (en) * 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5062770A (en) 1989-08-11 1991-11-05 Systems Chemistry, Inc. Fluid pumping apparatus and system with leak detection and containment
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5226441A (en) * 1989-11-13 1993-07-13 Cmb Industries Backflow preventor with adjustable outflow direction
US5213619A (en) * 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
US5217043A (en) 1990-04-19 1993-06-08 Milic Novakovic Control valve
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (de) * 1990-06-08 1991-12-12 Ott Kg Lewa Membran fuer eine hydraulisch angetriebene membranpumpe
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5236669A (en) 1990-09-12 1993-08-17 E. I. Du Pont De Nemours And Company Pressure vessel
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
DE4106180A1 (de) 1990-10-08 1992-04-09 Dirk Dipl Ing Budde Doppel-membranpumpe
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
CH684402A5 (de) * 1991-03-04 1994-09-15 Xorella Ag Wettingen Vorrichtung zum Verschieben und Schwenken eines Behälter-Verschlusses.
US5195878A (en) * 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5730874A (en) * 1991-06-12 1998-03-24 Idaho Research Foundation, Inc. Extraction of metals using supercritical fluid and chelate forming legand
US5243821A (en) 1991-06-24 1993-09-14 Air Products And Chemicals, Inc. Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates
US5251776A (en) 1991-08-12 1993-10-12 H. William Morgan, Jr. Pressure vessel
JP3040212B2 (ja) 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
DE9112761U1 (zh) * 1991-10-14 1992-04-09 Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling, De
US5221019A (en) 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
KR930019861A (ko) * 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
DE69334213T2 (de) * 1992-03-27 2009-06-18 University Of North Carolina At Chapel Hill Verfahren zur Herstellung von Fluoropolymeren
US5240390A (en) 1992-03-27 1993-08-31 Graco Inc. Air valve actuator for reciprocable machine
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
JPH0613361A (ja) * 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
KR100304127B1 (ko) * 1992-07-29 2001-11-30 이노마다 시게오 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치
US5339844A (en) 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5456759A (en) 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5316591A (en) * 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5355901A (en) 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5328722A (en) 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5514220A (en) * 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
US5447294A (en) 1993-01-21 1995-09-05 Tokyo Electron Limited Vertical type heat treatment system
US5433334A (en) 1993-09-08 1995-07-18 Reneau; Raymond P. Closure member for pressure vessel
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5370740A (en) 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5872257A (en) * 1994-04-01 1999-02-16 University Of Pittsburgh Further extractions of metals in carbon dioxide and chelating agents therefor
EP0681317B1 (en) * 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
JP3320549B2 (ja) * 1994-04-26 2002-09-03 岩手東芝エレクトロニクス株式会社 被膜除去方法および被膜除去剤
KR0137841B1 (ko) * 1994-06-07 1998-04-27 문정환 식각잔류물 제거방법
US5501761A (en) * 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
US5556497A (en) * 1995-01-09 1996-09-17 Essef Corporation Fitting installation process
US5629918A (en) * 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
US5736425A (en) * 1995-11-16 1998-04-07 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric
US5726211A (en) * 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
JP3955340B2 (ja) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 高温高圧ガス処理装置
DK9600149U3 (da) * 1996-05-01 1997-09-12 Moerch & Soenner A S Dækselaggregat
US5618751A (en) * 1996-05-23 1997-04-08 International Business Machines Corporation Method of making single-step trenches using resist fill and recess
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
KR19980018262A (ko) * 1996-08-01 1998-06-05 윌리엄 비.켐플러 입출력포트 및 램 메모리 어드레스 지정기술
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5725987A (en) * 1996-11-01 1998-03-10 Xerox Corporation Supercritical processes
US5714299A (en) * 1996-11-04 1998-02-03 Xerox Corporation Processes for toner additives with liquid carbon dioxide
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5896870A (en) * 1997-03-11 1999-04-27 International Business Machines Corporation Method of removing slurry particles
JPH10261687A (ja) * 1997-03-18 1998-09-29 Furontetsuku:Kk 半導体等製造装置
US6149828A (en) * 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US5900354A (en) * 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
US5893756A (en) * 1997-08-26 1999-04-13 Lsi Logic Corporation Use of ethylene glycol as a corrosion inhibitor during cleaning after metal chemical mechanical polishing
JP3194036B2 (ja) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 乾燥処理装置及び乾燥処理方法
US6056008A (en) * 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US5872061A (en) * 1997-10-27 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma etch method for forming residue free fluorine containing plasma etched layers
US5904737A (en) * 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
SG81975A1 (en) * 1998-04-14 2001-07-24 Kaijo Kk Method and apparatus for drying washed objects
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
EP1024524A2 (en) * 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US6520767B1 (en) * 1999-04-26 2003-02-18 Supercritical Combusion Corporation Fuel delivery system for combusting fuel mixtures
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6180533B1 (en) * 1999-08-10 2001-01-30 Applied Materials, Inc. Method for etching a trench having rounded top corners in a silicon substrate
US6355072B1 (en) * 1999-10-15 2002-03-12 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
CA2387341A1 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
CN1246888C (zh) * 2000-08-14 2006-03-22 东京毅力科创株式会社 用超临界二氧化碳工艺从半导体上去除光致抗蚀剂和光致抗蚀残留物
CA2421536A1 (en) * 2000-09-07 2002-03-14 Cmb Industries, Inc. Short-length reduced-pressure backflow preventor
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
US6722642B1 (en) * 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US6913968B2 (en) * 2003-07-30 2005-07-05 International Business Machines Corporation Method and structure for vertical DRAM devices with self-aligned upper trench shaping
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film

Also Published As

Publication number Publication date
US7250374B2 (en) 2007-07-31
JP4293471B2 (ja) 2009-07-08
TW200610044A (en) 2006-03-16
US20060003592A1 (en) 2006-01-05
JP2008505484A (ja) 2008-02-21
WO2006007005A1 (en) 2006-01-19

Similar Documents

Publication Publication Date Title
TWI276167B (en) A system and method for processing a substrate using supercritical carbon dioxide processing
JP3185753B2 (ja) 半導体装置の製造方法
TW563195B (en) Method and apparatus for cleaning/drying hydrophobic wafers
JP6085423B2 (ja) 基板処理方法、基板処理装置および記憶媒体
JP6142059B2 (ja) 基板処理方法、基板処理システムおよび記憶媒体
TWI330864B (en) Enhanced wafer cleaning method
TW467767B (en) Electroless metal deposition of electronic components in an enclosable vessel
TW473852B (en) A single-operation method of cleaning semiconductors after final polishing
TW200913009A (en) Resist removing method, semiconductor manufacturing method, and resist removing apparatus
TW550630B (en) Method and apparatus for wet processing wafers
TWI230396B (en) System for substrate processing with meniscus, vacuum, IPA vapor, drying manifold
TW501196B (en) Cleaning device, cleaning system, treating device and cleaning method
TW200913047A (en) Method of cleaning substrates and substrate cleaner
TW200903603A (en) Semiconductor substrate cleaning method using bubble/chemical mixed cleaning liquid
TW200402825A (en) Substrate treating device and substrate treating method
JP2015149410A (ja) 基板処理方法、前処理装置、後処理装置、基板処理システムおよび記憶媒体
TW201117273A (en) Liquid processing apparatus, liquid processing method, and storage medium
TW200903634A (en) Method for reducing microloading in etching high aspect ratio structures
TW200913050A (en) In-situ photoresist strip during plasma etching of active hard mask
TWI267130B (en) Method of depositing thin film on wafer
TW200834697A (en) Reduction of entrance and exit marks left by a substrate-processing meniscus
TWI227920B (en) High pressure treating apparatus and high pressure treating method
TW201705191A (zh) 基板處理方法及基板處理裝置
TW200839873A (en) Methods and systems for low interfacial oxide contact between barrier and copper metallization
TW201131626A (en) System and method of preventing pattern collapse using low surface tension liquid

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees