JP2008530795A5 - - Google Patents

Download PDF

Info

Publication number
JP2008530795A5
JP2008530795A5 JP2007555091A JP2007555091A JP2008530795A5 JP 2008530795 A5 JP2008530795 A5 JP 2008530795A5 JP 2007555091 A JP2007555091 A JP 2007555091A JP 2007555091 A JP2007555091 A JP 2007555091A JP 2008530795 A5 JP2008530795 A5 JP 2008530795A5
Authority
JP
Japan
Prior art keywords
fluid
carbon dioxide
substrate
adjusting
introducing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007555091A
Other languages
English (en)
Other versions
JP2008530795A (ja
Filing date
Publication date
Priority claimed from US10/906,353 external-priority patent/US7291565B2/en
Application filed filed Critical
Publication of JP2008530795A publication Critical patent/JP2008530795A/ja
Publication of JP2008530795A5 publication Critical patent/JP2008530795A5/ja
Pending legal-status Critical Current

Links

Claims (20)

  1. 基板を処理する方法であって、
    高圧プロセスチャンバにおいて、前記基板を支持するよう構成されるプラッテンに基板を置く工程;
    流体の圧力を該流体の臨界圧力より高く調整し、前記流体の温度を該流体の臨界温度より高く調整して、該流体から超臨界流体を生成する工程;
    前記超臨界流体を前記高圧プロセスチャンバへ導入する工程;
    前記超臨界流体へフルオロケイ酸を有するプロセス成分を導入する工程;並びに
    前記超臨界流体および前記プロセス成分に前記基板を晒す工程、
    を有する方法。
  2. 前記プロセス成分を導入する工程は、溶剤、共溶剤、界面活性剤、酸、塩基、アルコール、またはエッチャントのうちの1又は2以上とともに、前記フルオロケイ酸を導入するステップを有する、請求項1に記載の方法。
  3. 前記プロセス成分を導入する工程が、N、N−ジメチルアセトアミド(DMAc)、ガンマ−ブチロラクトン(BLO)、ジメチルスルホキシド(DMSO)、エチレンカーボネート(EC)、ブチレンカーボネート(BC)、プロピレンカーボネート(PC)、N−メチルピロリドン(NMP)、ジメチルピペリドン、プロピレンカーボネート、メタノール(MeOH)、イソプロピルアルコール(IPA)、またはエタノールのうちの1又は2以上とともに、前記フルオロケイ酸を導入するステップを有する、請求項1に記載の方法。
  4. 前記基板を通り過ぎるように前記超臨界流体を循環させる工程を更に有する、請求項1に記載の方法。
  5. 前記超臨界流体を生成する工程が、二酸化炭素流体から超臨界流体二酸化炭素を生成するステップを有する、請求項1に記載の方法。
  6. 前記圧力を前記臨界圧力より高く調整することが、前記圧力を約1070psi(7.38MPa)から約10,000psi(68.9MPa)の範囲の圧力に調整することを含む、請求項5に記載の方法。
  7. 前記温度を前記臨界温度より高く調整することが、前記温度を約31℃より高く調整することを含む、請求項5に記載の方法。
  8. 前記温度を前記臨界温度より高く調整することが、前記温度を約40℃より高く調整することを含む、請求項1に記載の方法。
  9. 前記温度を前記臨界温度より高く調整することが、前記温度を約80℃より高く調整することを含む、請求項1に記載の方法。
  10. 前記温度を前記臨界温度より高く調整することが、前記温度を約100℃から約300℃までの範囲の温度に調整することを含む、請求項1に記載の方法。
  11. 前記プロセス成分を前記超臨界流体に導入するに先立って該プロセス成分を予加熱するステップを更に有する、請求項1に記載の方法。
  12. 前記プロセス成分を導入する工程が、有機ペロキシド若しくは無機ペロキシド又はこれらの組み合わせのいずれかを導入するステップを更に有する、請求項1に記載の方法。
  13. 前記圧力を前記臨界圧力より高く調整することが、前記圧力を約2000psi(13.8MPa)から約10,000psi(68.9MPa)までの範囲の圧力に調整することを含む、請求項1に記載の方法。
  14. 前記基板を晒す工程に引き続き、一連の減圧サイクルを行う工程;および
    前記高圧プロセスシステムを換気する工程、
    を更に有する、請求項1に記載の方法。
  15. 前記基板をオゾンに晒す工程を更に含む、請求項1に記載の方法。
  16. 前記基板を前記オゾンに晒す工程が、前記基板を前記超臨界流体に晒す工程に先行する、請求項15に記載の方法。
  17. 基板を処理する方法であって、
    金属表面を有する基板を高圧プロセスチャンバへ入れ、前記基板を支持するよう構成されるプラッテンに置く工程;
    二酸化炭素流体の圧力を該二酸化炭素流体の臨界圧力より高く調整し、前記二酸化炭素流体の温度を該二酸化炭素流体の臨界温度より高く調整することにより、前記二酸化炭素流体から超臨界二酸化炭素流体を生成する工程;
    前記超臨界二酸化炭素流体を前記高圧プロセスチャンバへ導入する工程;
    フルオロケイ酸とN−メチルピロリドン(NMP)とを有する第1のプロセス成分を前記超臨界二酸化炭素流体へ導入する工程;
    第1の期間に前記超臨界二酸化炭素流体および前記第1のプロセス成分に前記基板を晒す第1の晒す工程;
    その後、N−メチルピロリドンを有する第2のプロセス成分を前記超臨界二酸化炭素流体へ導入する工程;並びに
    第2の期間に前記超臨界二酸化炭素流体および前記第2のプロセス成分に前記基板を晒す第2の晒す工程、
    を有する方法。
  18. 前記第1の晒す工程を、1又は2以上の回数、反復する工程を更に含む、請求項17に記載の方法。
  19. 基板を処理する方法であって、
    金属表面を有する基板を高圧プロセスチャンバへ入れ、前記基板を支持するよう構成されるプラッテンに置く工程;
    二酸化炭素流体の圧力を前記二酸化炭素流体の臨界圧力より高く調整し、前記二酸化炭素流体の温度を前記二酸化炭素流体の臨界温度より高く調整することにより、前記二酸化炭素流体から超臨界二酸化炭素流体を生成する工程;
    前記超臨界二酸化炭素流体を前記高圧プロセスチャンバへ導入する工程;
    フルオロケイ酸とブチロラクトン(BLO)とを有する第1のプロセス成分を前記超臨界二酸化炭素流体へ導入する工程;
    第1の期間に前記超臨界二酸化炭素流体および前記第1のプロセス成分に前記基板を晒す第1の晒す工程;
    その後、フルオロケイ酸とイソプロピルアルコール(IPA)とを有する第2のプロセス成分を前記超臨界二酸化炭素へ導入する工程;
    第2の期間に前記超臨界二酸化炭素流体および前記第2のプロセス成分に前記基板を晒す第2の晒す工程;
    その後、メタノールおよび水の混合物、または2−ブタノンペロキシドを含む第3のプロセス成分を前記超臨界流体へ導入する工程;並びに
    第3の期間に前記超臨界二酸化炭素流体および前記第3のプロセス成分に前記基板を晒す第3の晒す工程、
    を有する方法。
  20. 前記第1の晒す工程、前記第2の晒す工程、または前記第3の晒す工程を1又は2以上の回数、反復する工程を更に有する、請求項19に記載の方法。
JP2007555091A 2005-02-15 2005-12-29 フルオロケイ酸を用いて高圧流体で基板を処理する方法およびシステム Pending JP2008530795A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/906,353 US7291565B2 (en) 2005-02-15 2005-02-15 Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
PCT/US2005/047343 WO2006088560A1 (en) 2005-02-15 2005-12-29 Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid

Publications (2)

Publication Number Publication Date
JP2008530795A JP2008530795A (ja) 2008-08-07
JP2008530795A5 true JP2008530795A5 (ja) 2009-02-19

Family

ID=36481218

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007555091A Pending JP2008530795A (ja) 2005-02-15 2005-12-29 フルオロケイ酸を用いて高圧流体で基板を処理する方法およびシステム

Country Status (4)

Country Link
US (1) US7291565B2 (ja)
JP (1) JP2008530795A (ja)
TW (1) TWI328252B (ja)
WO (1) WO2006088560A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7416370B2 (en) * 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
JP4555729B2 (ja) * 2005-05-17 2010-10-06 積水化学工業株式会社 レジスト除去方法及びレジスト除去装置
JP2007305676A (ja) * 2006-05-09 2007-11-22 Sony Corp 基板の処理方法及び処理装置
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
JP5060791B2 (ja) * 2007-01-26 2012-10-31 独立行政法人森林総合研究所 木材の乾燥方法、木材への薬剤浸透方法及び乾燥装置
US8153533B2 (en) * 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US8961701B2 (en) * 2008-09-24 2015-02-24 Lam Research Corporation Method and system of drying a microelectronic topography
US9620410B1 (en) 2009-01-20 2017-04-11 Lam Research Corporation Methods for preventing precipitation of etch byproducts during an etch process and/or subsequent rinse process
US20100184301A1 (en) * 2009-01-20 2010-07-22 Lam Research Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process
JP2012151398A (ja) * 2011-01-21 2012-08-09 Toshiba Corp 超臨界乾燥装置及び方法
JP6189650B2 (ja) * 2013-06-07 2017-08-30 昭和電工ガスプロダクツ株式会社 超臨界処理装置
US11515178B2 (en) 2020-03-16 2022-11-29 Tokyo Electron Limited System and methods for wafer drying

Family Cites Families (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2439689A (en) * 1948-04-13 Method of rendering glass
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US3642020A (en) * 1969-11-17 1972-02-15 Cameron Iron Works Inc Pressure operated{13 positive displacement shuttle valve
US3744660A (en) 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
FR2128426B1 (ja) 1971-03-02 1980-03-07 Cnen
US3890176A (en) 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US3968885A (en) 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
GB1594935A (en) 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
JPS5448172A (en) * 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4219333A (en) 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
US4349415A (en) 1979-09-28 1982-09-14 Critical Fluid Systems, Inc. Process for separating organic liquid solutes from their solvent mixtures
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4355937A (en) 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (de) 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf Druckluftgetriebene doppelmembran-pumpe
US4682937A (en) 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (de) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
US4522788A (en) 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
FR2536433A1 (fr) 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4626509A (en) 1983-07-11 1986-12-02 Data Packaging Corp. Culture media transfer assembly
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
US4475993A (en) 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
GB8332394D0 (en) 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4877530A (en) 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4778356A (en) 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4925790A (en) 1985-08-30 1990-05-15 The Regents Of The University Of California Method of producing products by enzyme-catalyzed reactions in supercritical fluids
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (ja) 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
DE3861050D1 (de) 1987-05-07 1990-12-20 Micafil Ag Verfahren und vorrichtung zum extrahieren von oel oder polychloriertem biphenyl aus impraegnierten elektrischen teilen mittels eines loesungsmittels sowie destillation des loesungsmittels.
US4924892A (en) 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) * 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
DE3887681T2 (de) 1987-11-27 1994-05-11 Battelle Memorial Institute Überkritische mizellentrennung in der flüssig-umkehrphase.
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US4789077A (en) 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) * 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
US5237824A (en) 1989-02-16 1993-08-24 Pawliszyn Janusz B Apparatus and method for delivering supercritical fluid
US4879431A (en) 1989-03-09 1989-11-07 Biomedical Research And Development Laboratories, Inc. Tubeless cell harvester
US5213485A (en) 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5169296A (en) 1989-03-10 1992-12-08 Wilden James K Air driven double diaphragm pump
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4923828A (en) 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
US5062770A (en) 1989-08-11 1991-11-05 Systems Chemistry, Inc. Fluid pumping apparatus and system with leak detection and containment
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5226441A (en) * 1989-11-13 1993-07-13 Cmb Industries Backflow preventor with adjustable outflow direction
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5196134A (en) * 1989-12-20 1993-03-23 Hughes Aircraft Company Peroxide composition for removing organic contaminants and method of using same
US5269850A (en) 1989-12-20 1993-12-14 Hughes Aircraft Company Method of removing organic flux using peroxide composition
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5217043A (en) 1990-04-19 1993-06-08 Milic Novakovic Control valve
US5370741A (en) * 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (de) * 1990-06-08 1991-12-12 Ott Kg Lewa Membran fuer eine hydraulisch angetriebene membranpumpe
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5236669A (en) 1990-09-12 1993-08-17 E. I. Du Pont De Nemours And Company Pressure vessel
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
DE4106180A1 (de) 1990-10-08 1992-04-09 Dirk Dipl Ing Budde Doppel-membranpumpe
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
DE69231971T2 (de) * 1991-01-24 2002-04-04 Wako Pure Chem Ind Ltd Lösungen zur Oberflächenbehandlung von Halbleitern
US5185058A (en) * 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) * 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
CH684402A5 (de) * 1991-03-04 1994-09-15 Xorella Ag Wettingen Vorrichtung zum Verschieben und Schwenken eines Behälter-Verschlusses.
EP0514337B1 (de) 1991-05-17 1995-11-22 Ciba-Geigy Ag Verfahren zum Färben von hydrophobem Textilmaterial mit Dispersionsfarbstoffen aus überkritischem CO2
US5195878A (en) * 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5274129A (en) 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5225173A (en) 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5730874A (en) * 1991-06-12 1998-03-24 Idaho Research Foundation, Inc. Extraction of metals using supercritical fluid and chelate forming legand
US5243821A (en) 1991-06-24 1993-09-14 Air Products And Chemicals, Inc. Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5251776A (en) 1991-08-12 1993-10-12 H. William Morgan, Jr. Pressure vessel
US5431843A (en) * 1991-09-04 1995-07-11 The Clorox Company Cleaning through perhydrolysis conducted in dense fluid medium
JP3040212B2 (ja) 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
GB2259525B (en) * 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
DE9112761U1 (ja) * 1991-10-14 1992-04-09 Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling, De
US5221019A (en) 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
EP0543779A1 (de) 1991-11-20 1993-05-26 Ciba-Geigy Ag Verfahren zum optischen Aufhellen von hydrophobem Textilmaterial mit dispersen optischen Aufhellern in überkritischem CO2
KR930019861A (ko) * 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5240390A (en) 1992-03-27 1993-08-31 Graco Inc. Air valve actuator for reciprocable machine
EP1172383B1 (en) * 1992-03-27 2008-04-16 University Of North Carolina At Chapel Hill Method of making fluoropolymers
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5401322A (en) * 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US6165282A (en) * 1992-06-30 2000-12-26 Southwest Research Institute Method for contaminant removal using natural convection flow and changes in solubility concentration by temperature
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
KR100304127B1 (ko) * 1992-07-29 2001-11-30 이노마다 시게오 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
US5294261A (en) * 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5403665A (en) * 1993-06-18 1995-04-04 Regents Of The University Of California Method of applying a monolayer lubricant to micromachines
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5872257A (en) * 1994-04-01 1999-02-16 University Of Pittsburgh Further extractions of metals in carbon dioxide and chelating agents therefor
DE69523208T2 (de) * 1994-04-08 2002-06-27 Texas Instruments Inc Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
KR0137841B1 (ko) * 1994-06-07 1998-04-27 문정환 식각잔류물 제거방법
US5482564A (en) * 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5501761A (en) * 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5736425A (en) * 1995-11-16 1998-04-07 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric
US6380105B1 (en) * 1996-11-14 2002-04-30 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
US5807607A (en) * 1995-11-16 1998-09-15 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
US5717178A (en) * 1996-02-06 1998-02-10 Eaton Corporation Locking mechanism for electrical switches
US5726211A (en) * 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
JP3955340B2 (ja) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 高温高圧ガス処理装置
DK9600149U3 (da) * 1996-05-01 1997-09-12 Moerch & Soenner A S Dækselaggregat
US5618751A (en) * 1996-05-23 1997-04-08 International Business Machines Corporation Method of making single-step trenches using resist fill and recess
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5868856A (en) * 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5868862A (en) * 1996-08-01 1999-02-09 Texas Instruments Incorporated Method of removing inorganic contamination by chemical alteration and extraction in a supercritical fluid media
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5725987A (en) * 1996-11-01 1998-03-10 Xerox Corporation Supercritical processes
US5714299A (en) * 1996-11-04 1998-02-03 Xerox Corporation Processes for toner additives with liquid carbon dioxide
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5896870A (en) * 1997-03-11 1999-04-27 International Business Machines Corporation Method of removing slurry particles
JPH10261687A (ja) * 1997-03-18 1998-09-29 Furontetsuku:Kk 半導体等製造装置
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6344243B1 (en) * 1997-05-30 2002-02-05 Micell Technologies, Inc. Surface treatment
US5893756A (en) * 1997-08-26 1999-04-13 Lsi Logic Corporation Use of ethylene glycol as a corrosion inhibitor during cleaning after metal chemical mechanical polishing
JP3194036B2 (ja) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 乾燥処理装置及び乾燥処理方法
US5872061A (en) * 1997-10-27 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma etch method for forming residue free fluorine containing plasma etched layers
US6846789B2 (en) * 1998-03-30 2005-01-25 The Regents Of The University Of California Composition and method for removing photoresist materials from electronic components
KR100452542B1 (ko) * 1998-04-14 2004-10-12 가부시끼가이샤가이죠 세정물 건조장치 및 건조방법
US6200943B1 (en) * 1998-05-28 2001-03-13 Micell Technologies, Inc. Combination surfactant systems for use in carbon dioxide-based cleaning formulations
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6358673B1 (en) * 1998-09-09 2002-03-19 Nippon Telegraph And Telephone Corporation Pattern formation method and apparatus
US6492277B1 (en) * 1999-09-10 2002-12-10 Hitachi, Ltd. Specimen surface processing method and apparatus
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
EP1024524A2 (en) * 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6355072B1 (en) * 1999-10-15 2002-03-12 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
US6361696B1 (en) * 2000-01-19 2002-03-26 Aeronex, Inc. Self-regenerative process for contaminant removal from liquid and supercritical CO2 fluid streams
JP3591827B2 (ja) * 2000-08-11 2004-11-24 株式会社東芝 微細構造を有する成形体の製造方法
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
US6685903B2 (en) * 2001-03-01 2004-02-03 Praxair Technology, Inc. Method of purifying and recycling argon
US6503837B2 (en) * 2001-03-29 2003-01-07 Macronix International Co. Ltd. Method of rinsing residual etching reactants/products on a semiconductor wafer
US20030116176A1 (en) * 2001-04-18 2003-06-26 Rothman Laura B. Supercritical fluid processes with megasonics
US6509136B1 (en) * 2001-06-27 2003-01-21 International Business Machines Corporation Process of drying a cast polymeric film disposed on a workpiece
US6890855B2 (en) * 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
US6838015B2 (en) * 2001-09-04 2005-01-04 International Business Machines Corporation Liquid or supercritical carbon dioxide composition
US20040003828A1 (en) * 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
TW200417628A (en) * 2002-09-09 2004-09-16 Shipley Co Llc Improved cleaning composition
US20040055621A1 (en) * 2002-09-24 2004-03-25 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US6953041B2 (en) * 2002-10-09 2005-10-11 Micell Technologies, Inc. Compositions of transition metal species in dense phase carbon dioxide and methods of use thereof
JP2004141704A (ja) * 2002-10-22 2004-05-20 Sony Corp 洗浄装置および洗浄方法
US6997197B2 (en) * 2002-12-13 2006-02-14 International Business Machines Corporation Apparatus and method for rapid thermal control of a workpiece in liquid or dense phase fluid
US8017568B2 (en) * 2003-02-28 2011-09-13 Intel Corporation Cleaning residues from semiconductor structures
WO2004100245A1 (en) * 2003-05-02 2004-11-18 Ekc Technology, Inc. Removal of post-etch residues in semiconductor processing
US7119052B2 (en) * 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US20050006310A1 (en) * 2003-07-10 2005-01-13 Rajat Agrawal Purification and recovery of fluids in processing applications

Similar Documents

Publication Publication Date Title
JP2008530795A5 (ja)
CN100338530C (zh) 剥离抗蚀剂的方法
JP2008505484A5 (ja)
US20060065294A1 (en) Compositions and methods for drying patterned wafers during manufacture of integrated circuitry products
US9484218B2 (en) Post ion implant stripper for advanced semiconductor application
TW200718775A (en) Composition and method for removing thick film photoresist
US20030125225A1 (en) Supercritical fluid cleaning of semiconductor substrates
JP2006201809A5 (ja)
NO20075542L (no) Sammensetning for rensing av ioneimplantert fotoresist i innledende del av produksjonslinjer
JP6005702B2 (ja) 半導体基板の超臨界乾燥方法および基板処理装置
SG164385A1 (en) Composition useful for removal of post-etch photoresist and bottom anti- reflection coatings
JP2012231116A5 (ja)
KR20050074511A (ko) 포토레지스트를 제거하기 위한 초임계 이산화탄소/케미컬조성물
JP2007526653A5 (ja)
JP2012109301A (ja) 半導体基板の超臨界乾燥方法
JP2009500647A5 (ja)
JP2008530795A (ja) フルオロケイ酸を用いて高圧流体で基板を処理する方法およびシステム
JP2005522737A5 (ja)
CN108803263A (zh) 一种碱性光刻胶剥离液
JP2015537372A5 (ja)
JP2006156995A5 (ja)
JP2006003684A (ja) 基板レスフィルタの製造方法
JP2008530796A (ja) 開始剤とともに過酸化物ベースプロセス成分を用いて高圧流体で基板を処理する方法およびシステム
JP2007536730A (ja) 集積回路製品を製造する間にパターン形成されたウエハーを乾燥させるための組成物及び方法
Kim et al. The effect of ultrasonic agitation on the stripping of photoresist using supercritical CO2 and co-solvent formulation