JP2008263177A - 集積基板処理システムのソフトウェアシーケンサー - Google Patents

集積基板処理システムのソフトウェアシーケンサー Download PDF

Info

Publication number
JP2008263177A
JP2008263177A JP2008052401A JP2008052401A JP2008263177A JP 2008263177 A JP2008263177 A JP 2008263177A JP 2008052401 A JP2008052401 A JP 2008052401A JP 2008052401 A JP2008052401 A JP 2008052401A JP 2008263177 A JP2008263177 A JP 2008263177A
Authority
JP
Japan
Prior art keywords
schedule
resource
time
period
collision
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008052401A
Other languages
English (en)
Inventor
Shyam Emani
エマニ シャム
Chongyang Wang
ワン チョンギャン
Stephen Hickerson
ヒカーソン スティーブン
Johanes Swenberg
スウェンバーグ ヨハネス
Jacob Newman
ニューマン ジェイコブ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008263177A publication Critical patent/JP2008263177A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/34Director, elements to supervisory
    • G05B2219/34418Scheduler for sequential control, task planning, control sequence
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • General Factory Administration (AREA)

Abstract

【課題】1セットの制約を有するクラスターツールの最大スループットおよびプロセス一貫性を達成するようにプロセスシーケンスをスケジューリングするための装置および方法を提供する。
【解決手段】プロセスシーケンスを実行するためにリソースを割り当てることによって初期の個別スケジュールを判断するステップと、基本周期を算出するステップと、該個別スケジュールおよび該基本周期から生成されたスケジュールのリソース衝突を検出するステップと、該リソース衝突を除去するように該個別スケジュールを調整するステップと、を備えるプロセスシーケンススケジューリング方法を提供する。
【選択図】図6

Description

発明の背景
発明の分野
[0001]本発明の実施形態は概して、集積処理システムにおいて半導体基板を移送するための装置および方法に関する。より具体的には、本発明の実施形態は、基板間タイミング一貫性を提供するソフトウェアシーケンサーを有する集積基板処理システムに関する。
関連技術の説明
[0002]現在の半導体処理において、多数の処理ステップを有する特定の処理レシピを使用して多層部材が半導体基板上に製作されている。クラスターツールは、処理環境、普通はコントロールされている環境から基板を除去せずにプロセスシーケンスを実行するための多数のプロセスチャンバを集積しており、概して半導体基板を処理する際に使用される。プロセスシーケンスは概して、クラスターツールの1つ以上の処理チャンバにおいて完了されるデバイス製作ステップやプロセスレシピステップのシーケンスとして画成される。プロセスシーケンスは概して、種々の基板(つまりウェーハ)の電子デバイス製作処理ステップを含有することもある。
[0003]長年にわたって、半導体デバイス製造業者は、競争力を保つためにシステムスループットとプロセス一貫性とのジレンマに直面していた。
[0004]一方では、基板製作プロセスの有効性はデバイス製造業者の競争力に直接影響を及ぼす。他方、半導体デバイスの部材サイズの低減は、プロセス一貫性および反復性に対するより厳密な要件を有する半導体製造仕様をもたらした。
[0005]基板製作プロセスの有効性はしばしば、デバイス歩留まりおよび所有コスト(CoO)という2つの関連する重要な要因によって測定される。CoOは多数の要因に影響されるが、システムおよびチャンバスループットによって、あるいは単に所望の処理シーケンスを使用する1時間当たりの処理基板数によって大きく影響される。
[0006]CoOを低減する試みにおいて、電子デバイス製造業者はしばしば、プロセスシーケンスおよびチャンバ処理時間を最適化して、クラスターツールアーキテクチャ制限およびチャンバ処理時間に鑑みて可能な最大基板スループットを達成しようとして多くの時間を費やす。システムスループットは、チャンバ制限および/またはロボット制限を短縮することによって増大されることもある。チャンバ制限は処理シーケンスの最長プロセスレシピステップが消費する時間によって判定される。ロボット制限は、ロボットによる基板の移送に費やされる時間によって判定される。
[0007]熱処理およびウェット処理などの一部の処理シーケンスについて、プロセス一貫性および反復性はタイミング一貫性に緊密に関連している。時間一貫性は、チャンバ間の基板移送時間と、レシピステップ後に基板がチャンバに残っている時間である基板キュー時間とに対する良好なコントロールによって達成可能である。
[0008]半導体製造は、スループットとプロセス一貫性のトレードオフの判断を必要とすることもある。例えば、一方では、処理ステップ間のキュー時間感度制限内で基板キュー時間を付加することは、プロセス一貫性および反復性を達成する効果的な方法である。他方で、基板キュー時間の付加は、システムスループットの減少をもたらすチャンバ制限/ロボット制限を増大させることもある。
[0009]均一な基板表面特性を保証するために、すべての基板がプロセスシーケンスの各ステップで一貫したタイミングを有することが望ましい。最新のシステムにおいては、制限先行アルゴリズムが、デッドロックを防止するために基板スケジューリングで使用されている。制限先行アルゴリズムはシステムを最大スループット値に安定化することができる。定常状態に達した後、すべての基板は各ステップで同一のキュー時間を有している。しかしながら、定常状態に達するまで、異なる基板が、最新のシステムに基づいて異なる挙動を呈する。例えば、すべてのリソースがこの時点では自由であるため、第1の基板は待ち時間を有することはない。しかし、後続の基板は一部のステップで待機しなければならない。加えて、基板キュー時間は定常状態によって判定されて、キュー時間を制約する方法はない。従って、キュー時間感度が高い一部の処理ステップは定常状態で妥協されることがある。
[0010]従って、最適なスループットおよびプロセス一貫性を判断するための装置および方法用のクラスターツールが必要である。
発明の概要
[0011]本発明の実施形態は概して、1セットの制約を有するクラスターツールにおいて最大スループットおよびプロセス一貫性を達成するためにプロセスシーケンスをスケジューリングする装置および方法を提供する。
[0012]本発明の一実施形態は、プロセスシーケンスを実行するためにリソースを割り当てることによって個別スケジュールを判断するステップであって、該個別スケジュールが該プロセスシーケンスの複数のプロセスステップの各々を個別基板が開始する開始時間を備えているステップと、基本周期を算出するステップであって、該基本周期が2つの連続基板の開始時間の間の時間分として画成されるステップと、該個別スケジュールおよび該基本周期から生成されたスケジュールのリソース衝突を検出するステップと、検出されたリソース衝突を除去するように該個別スケジュールを調整するステップと、を備えるプロセスシーケンスをスケジューリングする方法を提供する。
[0013]本発明の別の実施形態は、プロセスによって実行される場合に、プロセスシーケンスを実行するためにリソースを割り当てることによって個別スケジュールを判断するステップであって、該個別スケジュールが該プロセスシーケンスの複数のプロセスステップの各々を個別基板が開始する開始時間を備えているステップと、基本周期を算出するステップであって、該基本周期が2つの連続基板の開始時間の間の時間分として画成されるステップと、該個別スケジュールおよび該基本周期から生成されたスケジュールのリソース衝突を検出するステップと、検出されたリソース衝突を除去するように該個別スケジュールを調整するステップと、を備えるオペレーションを実行するプロセスシーケンスをスケジューリングするコンピュータプログラムを含有するコンピュータ読み取り可能な媒体を提供する。
[0014]本発明のさらに別の実施形態は、処理スケジュールを生成するステップであって、処理シーケンスの複数の処理ステップの各々について待機時間がないステップと、ボトルネックリソースの使用期間に従って基本周期を判断するステップと、該基本周期に基づいて該処理スケジュールのリソース衝突を検出するステップと、該検出されたリソース衝突を除去するために該処理スケジュールおよび該基本周期のうちの少なくとも一方を調整するステップと、を備える処理シーケンススケジューリング方法を提供する。
[0015]本発明の上記引用された特徴が詳細に理解されるように、上記簡潔に要約された本発明のさらに具体的な説明が実施形態を参照してなされてもよく、この一部は添付の図面に図示されている。しかしながら、添付の図面は本発明の通常の実施形態のみを図示しており、従って、本発明は他の等しく効果的な実施形態を認めてもよいため、この範囲を制限するとみなされるべきではない点に注目すべきである。
好ましい実施形態の詳細な説明
[0025]本発明の実施形態は概して、マルチチャンバ処理システムを使用して基板を処理するための装置および方法を提供する。より具体的には、本発明の実施形態は、所与のプロセスシーケンスをスケジューリングするための方法を提供する。本発明のスケジューリング方法によって、システムにおけるすべての基板は、プロセスシーケンスの各ステップで一貫したキュー時間を有して均一な基板特性を保証することができる。本発明のスケジューリング方法は、所与のプロセスシーケンスを実行するためにクラスターツールにリソースを割り当てることによってスケジュールを判断するステップと、ボトルネックプロセスステップの長さや移送運動に従って、基本周期、クラスターツールに2つの連続基板を送る間の時間を判断するステップと、を備える。本方法はさらに、判断された基本周期を使用して判断済みスケジュールのリソース衝突をチェックするステップと、スケジュールにキュー時間を付加して、および/または基本周期を延長してリソース衝突を除去するステップとを備える。
[0026]リソース衝突は、定期システムの問題を低減し、かつ1セットの式を(0,T)の時間インターバル内に解くことによって検出および除去され、ここでTは所定の基本周期を示している。一実施形態では、ゲームツリーアルゴリズムがリソース衝突を解決するために使用される。一実施形態では、ゲームツリータイミングの効率的な方法が、第1の実行可能な解決策を見つけるために使用される。
[0027]本発明の実施形態は、ポリシリコン生成シーケンスに従ってここに説明されている。図1は、本発明の一実施形態に従った半導体処理用クラスターツール100を概略的に図示している。ここに説明されている方法は、プロセスシーケンスを実行するように構成されている他のツールで実践されることが想定されている。
[0028]クラスターツール100は真空気密処理プラットフォーム101およびファクトリインタフェース102を含んでいる。プラットフォーム101は複数の処理チャンバ110、108、114、112、118、116と少なくとも1つのロードロックチャンバ120とを備えており、これらは真空基板移送チャンバ103、104に結合されている。ファクトリインタフェース102はロードロックチャンバ120によって移送チャンバ104に結合されている。
[0029]一実施形態では、ファクトリインタフェース102は少なくとも1つのドッキングステーションと、少なくとも1つの基板移送ロボット138と、少なくとも1つの基板整列器140とを備えている。ドッキングステーションは、1つ以上のフロントオープニングユニファイドポッド128(FOUP)を受容するように構成されている。2つのFOUP128A、128Bが図1の実施形態に示されている。基板移送ロボット138は、基板をファクトリインタフェース102からロードロックチャンバ120に移送するように構成されている。
[0030]ロードロックチャンバ120は、ファクトリインタフェース102に結合されている第1のポートと、第1の移送チャンバ104に結合されている第2のポートとを有している。ロードロックチャンバ120は、移送チャンバ104の真空環境とファクトリインタフェース102の実質的な周囲(例えば、大気)環境間の基板の通過を容易にするのに必要とされるように、チャンバ120をポンプダウンおよび換気する圧力コントロールシステムに結合されている。
[0031]第1の移送チャンバ104および第2の移送チャンバ103はそれぞれ、第1のロボット107および第2のロボット105をその中に配置している。2つの基板移送プラットフォーム106A、106Bは移送チャンバ104に配置されており、ロボット105、107間の基板の移送を容易にする。プラットフォーム106A、106Bは移送チャンバ103、104に対して開いていても、あるいは選択的に移送チャンバ103、104から隔離(つまりシール)されていてもよく、異なる動作圧力が移送チャンバ103、104の各々で維持されるようにする。
[0032]第1の移送チャンバ104に配置されているロボット107は、ロードロックチャンバ120と、処理チャンバ116、118と基板移送プラットフォーム106A、106B間で基板を移送することができる。第2の移送チャンバ103に配置されているロボット105は、基板移送プラットフォーム106A、106Bと処理チャンバ112、114、110、108間で基板を移送することができる。
[0033]図2は、上記のクラスターツール100などの集積クラスターツールにおいて基板上に誘電層を堆積するためのプロセスシーケンス200の一実施形態のフローチャートを図示している。
[0034]プロセスシーケンス200は、基板をクラスターツールに位置決めするステップ202で始まる。
[0035]ステップ204では、誘電層が基板上に堆積される。誘電層は金属酸化物であってもよく、またALDプロセス、MOCVDプロセス、従来のCVDプロセスまたはPVDプロセスによって堆積されてもよい。
[0036]堆積プロセスに続いて、基板はステップ205で堆積後アニーリング(PDA)プロセスに暴露されてもよい。PDAプロセスは、RADIANCETMRTPチャンバなどの高速アニーリングチャンバで実行されてもよい。
[0037]ステップ206では、誘電層は不活性プラズマプロセスに暴露されて、誘電性材料の密度を高くしてプラズマ処置層を形成する。不活性プラズマプロセスは、不活性ガスを減結合プラズマ窒化(DPN)チャンバに流すことによって実行される減結合不活性ガスプラズマプロセスを含むことがある。
[0038]ステップ208では、基板に堆積されているプラズマ処置層は熱アニーリングプロセスに暴露される。
[0039]ステップ210では、ゲート電極層がアニーリング済み誘電層に堆積される。ゲート電極層は、LPCVDチャンバを使用して堆積された多結晶Si、アモルファスSiまたは他の適切な材料であってもよい。
[0040]表1は、シーケンス200の各ステップのレシピ時間およびチャンバ要件を図示している。
Figure 2008263177
[0041]本発明の方法は、基板一貫性を達成し、リソース制約内にとどまり、かつスループットを最大化するプロセススケジュールを判断するステップに関する。
[0042]本発明のプロセススケジュールは、個別基板のスケジュール(以後、個別スケジュール)と、連続基板間の基本周期とを含んでもよい。個別スケジュールは、基板の最初の移動の開始時間に対する基板の各プロセスステップの開始時間および終了時間を含んでいる。基本周期は、基板がクラスターツールに送られるレートを画成する。具体的に、基本周期は2つの連側基板間の時間インターバルである。
[0043]プロセススケジュールに影響する要因は、実行されるプロセスシーケンスと、各レシピステップを実行するのにかかる時間と、各ステップでの基板キュー時間制約と、異なるチャンバ間の移送時間とを含むことがある。基板キュー時間制約は通常、プロセスレシピが完了した後に所与のチャンバで所与のプロセスステップを基板が待機することができる最大時間を画成するシーケンスの一部である。表2は、プロセスシーケンス200を実行するのに使用可能な関連チャンバの例示的基板キュー時間制約を図示している。各レシピステップを実行するのにかかる時間は概して、洗浄および定期洗浄プロセスに関するタイミング情報を含んでいる。簡単な時間ベースレシピについて、各レシピステップを実行するのにかかる時間は、レシピステップを解析することによって算出されてもよい。エンドポイントベースレシピについて、かかる平均時間などの統計的情報がスケジュール作成に使用されてもよい。移送時間は、移送自体の一部として実行される他のレシピに関する実際のロボットの運動時間およびオーバーヘッドである。
[0044]表2を参照すると、総レシピ時間範囲は、プロセスシーケンス200の場合には、所与のプロセスシーケンスに従って対応するチャンバで基板を処理する時間範囲を示している。キュー時間感度は、プロセスステップがチャンバで完了した後に対応するチャンバに基板が有する最大待機周期を示している。基板取り扱い変動制限は、所望のプロセス一貫性を取得するために基板間のキュー時間の最大変動を示している。洗浄頻度は、対応するチャンバが洗浄を必要とする頻度を示している。洗浄時間は、洗浄プロセスを完了するのに必要な時間に関する。定期洗浄を必要とするチャンバについて、洗浄プロセスは、洗浄要件の頻度および長さに従って取り扱われてもよい。DPN+(A)およびDPN+(B)などの各基板後に洗浄を必要とするチャンバについて、洗浄時間は概してプロセス時間に付加される。さらなる洗浄検討事項が図8に説明されている。
Figure 2008263177
[0045]本発明の一実施形態では、本発明のプロセススケジュールが以下のステップ、所与のプロセスシーケンスを実行して初期の個別スケジュールを判断するためにリソースを割り当てるステップと、初期の基本周期を判断するステップと、初期の個別スケジュールおよび初期の基本周期のリソース衝突をチェックするステップと、基板キュー時間を個別スケジュールに付加することによってリソース衝突を除去するステップとによって判断されてもよい。本発明の一実施形態では、プロセススケジュールを判断するステップは、リソース衝突を除去するために初期の基本周期を延長する工程を備えてもよい。
リソースの割り当ておよび初期の個別スケジュールの判断
[0046]リソースの割り当ては概して、クラスターツールのチャンバ配列をセットアップするステップと、配列されたチャンバ間で基板を移送するためにロボットを割り当てるステップと、を備えている。
[0047]チャンバ配列は、プロセスステップのチャンバ位置およびチャンバ数を画成するステップを含むことがある。チャンバ配列は、実行されるプロセスシーケンスと、各レシピステップを実行するのにかかる時間と、各ステップでの基板キュー時間制約とに影響されることがある。
[0048]例えば、クラスターツール100は、プロセスシーケンス200を実行するように構成されてもよい。プロセスシーケンス200を容易にするために、適切なチャンバがチャンバ108、110、112、114、116、118に選択されてもよい。例えば、チャンバ116、118は、多結晶シリコン(POLY)を堆積するように構成されている化学気相堆積(CVD)チャンバであってもよい。適切なチャンバの1つは、Applied Materials,Incから入手可能なPOLYGenチャンバである。チャンバ108、114は減結合プラズマ窒化(DPN)チャンバであってもよい。チャンバ110、112は高速熱プロセス(RTP)チャンバであってもよい。1つ以上の冷却チャンバは基板移送プラットフォーム106A、106Bの上方に位置決めされてもよい。
[0049]クラスターツール100におけるチャンバの配列を判断する際に、チャンバ、ロードロックおよびロボットを含むリソースが、各プロセスステップおよびステップ間の推移について割り当てられてもよい。
[0050]図3Aは、本発明の一実施形態に従った例示的プロセスシーケンスのフローチャートを概略的に図示している。図3Bは、図1のクラスターツール100において図3Aのプロセスシーケンスで処理される基板のルートを概略的に図示している。図3Aを参照すると、ステップS1〜S13は、プロセスチャンバ、移送チャンバまたはロードロックにとどまる基板を表している。移動m1〜m12は、ロボットによって搬送されるチャンバ間の基板の動きを表している。移動m1〜m12は図3Bの矢印でさらに図示されている。
[0051]表3はプロセスシーケンス200の個別スケジュールを図示している。プロセス時間は、基板がリソース、チャンバまたはロボットを占有する総時間分を示している。開始は、基板に対するリソースを占有する基板が最初にクラスターツールに入る時間をマークする。終了は、基板に対してリソースを解放する基板がクラスターツールに入る時間をマークする。初期の個別スケジュールではいずれのステップにもキュー時間は付加されない。基板がクラスターツールにない場合には基板はこのスケジュールに従うことができる。表3に示されているように、基板がプロセスシーケンス200を完了するのに1233秒かかる。1つの基板のみがクラスターツールにある場合、残りのリソースがアイドルである間最大2つのリソースが所与の時間に占有される。アイドル時間を低減して、スループットを増大させるために、第1の基板がクラスターツールを出る前に第2の基板がクラスターツールに供給されてもよい。2つの基板を送る間の時間分、つまり基本周期は、スループットを最大化するように最小化されてもよい。
Figure 2008263177
初期の基本周期の判断
[0052]本発明の一実施形態では、初期の基本周期は、クラスターツールにおける全リソース間の最長使用期間に従って判断されてもよい。リソースの使用期間は、信号基板についてプロセスシーケンスの全ステップ/移動を実行するのにかかる総時間によって画成されてもよい。
[0053]一実施形態では、各リソースの使用期間は、プロセスシーケンスの全プロセスステップを反復して、また各プロセスステップを、ロード時間、アンロード時間、プロセスレシピ時間および洗浄時間を含むサブパートに分けることによって算出されてもよい。サブパートの各々は次いで、サブパートに必要な1つのリソース(または複数のリソース)に割り当てられる。
[0054]チャンバについて、チャンバがプロセスシーケンスで使用される全ステップに必要ならば、使用期間は、ロード時間、プロセスレシピ時間、アンロード時間および洗浄時間を含んでもよい。1つのステップを実行するために少なくとも2つのチャンバが配列される場合、使用期間はチャンバ数で分割されてもよい。一実施形態は、1つのチャンバの使用期間は、以下の式を使用して算出可能である:
Figure 2008263177
ここでD[i]はチャンバiの使用期間を示しており、kは、チャンバiが使用されるプロセスステップを示しており、P[k]はステップkのプロセス時間を示しており、L[k]はステップkのロード時間を示しており、U[k]はステップkのアンロード時間を示しており、C[k]はステップkの洗浄時間を示しており、nはチャンバiの数を示している。合計は、チャンバiで実行される全ステップに対するものである。
[0055]ロボットについて、使用期間は、ロボットが使用される全移動のピックアップ時間、移送時間およびドロップ時間を含んでもよい。一実施形態では、1つのロボットの使用期間は以下の式を使用して算出されてもよい:
Figure 2008263177
ここでD[j]はロボットjの使用期間を示しており、lは、ロボットjが使用される移動を示しており、Pk[l]は移動lのピックアップ時間を示しており、Tr[l]は移動lの移送時間を示しており、Dr[l]は移動lのドロップ時間を示している。合計は、ロボットjによって実行される全移動に対するものである。
[0056]一実施形態では、初期の基本周期は、チャンバおよびロボットを含む全リソースの最大使用期間に設定されてもよい。
[0057]概して、ロボットの移動のピックアップ時間は先行ステップのアンロード時間に重複し、ロボットの移動のドロップ時間は後続ステップのロード時間に重複する。従って、チャンバのステップのロード時間は先行移動のドロップ時間に重複し、チャンバのステップのアンロード時間は後続移動のピックアップ時間に重複する。チャンバの期間算出を簡略化するために、チャンバ使用期間は、先行移動に必要な時間と、後続移動に必要な時間と、プロセス時間と、必要ならば洗浄時間とを含んでもよい。表4は、プロセスシーケンス200を実行するためのクラスターツール100のリソースの使用期間の算出を列挙している。表4に示されているように、最長使用期間は240秒であり、これはRTOチャンバに属する。従って、初期の基本周期は、本発明の実施形態に従って240秒に設定されてもよい。
Figure 2008263177
リソース衝突のチェック
[0058]リソース衝突とは、同時に2つ以上のステップまたは移動によって1つのリソースが必要とされる状況のことである。リソース衝突は、2つ以上の基板がクラスターツールにある場合、および1つ以上のリソースが2つ以上のステップまたは移動で使用される場合に生成することがある。概して、ロボットは普通プロセススケジュールの複数の移動について使用されるため、ロボット衝突は共通である。しかしリソース衝突は、リソースがプロセスシーケンスの2つ以上のステップでスケジューリングされている場合にプロセスチャンバ、ロードロックおよび/または移送チャンバに生じることがある。
[0059]本発明の一実施形態では、所与の個別スケジュールおよび基本周期に対応するリソース衝突が、1周期におけるステップ/移動ごとに相対的開始時間および相対的終了時間を算出することによってチェックされてもよい。
[0060]一実施形態では、N番目の基板のステップiの相対的開始時間SRelative[i,N]および相対的終了時間ERelative[i,N]は、
Figure 2008263177
Figure 2008263177
によって算出されてもよい。ここでiはステップ/移動数を示しており、Nは基板シーケンス番号を示しており、FPは基本周期を示しており、S[i,N]はN番目の基板のステップiの絶対的開始時間を示しており、E[i,N]はN番目の基板のステップiの絶対的終了時間を示している。S[i,N]およびE[i,N]は以下の式によって算出されてもよい:
Figure 2008263177
Figure 2008263177
ここでiはステップ/移動数を示しており、Nは基板シーケンス番号を示しており、FPは基本周期を示しており、D[j]はi番目のステップ/移動の使用期間を示している。
[0061]一実施形態では、リソース衝突は、異なるステップ/移動の相対的開始時間および相対的終了時間のインターバルの重複を検出することによって検出されてもよい。例えば、ステップiおよびkが同じリソースを必要とする場合、インターバル(SRelative[i,N],ERelative[i,N])および(SRelative[k,N],ERelative[k,N])の重複はリソース衝突を示している。
[0062]表5は、240秒の基本周期の間の表4の初期の個別スケジュールの例示的リソース衝突結果を列挙している。表5に示されているように、リアロボットのM9はM5およびM6と衝突し、FIロボットのM12はM1およびM2と衝突する。
Figure 2008263177
[0063]図4は、表5のスケジュールテーブルのレシピ図を概略的に図示している。図4に示されているように、6つの基板がシステムで処理される。各基板は基本周期で別々にシステムに送られる。第1の基板のM9および第3の基板のM6は、衝突1を招く時にリアロボットを必要とする、第1の基板のM9および第5の基板のM5は、衝突2を招く時にリアロボットを必要とする、第1の基板のM12および第5の基板のM1は、衝突3を招く時にFIロボットを必要とする。第1の基板のM12および第5の基板のM2は、衝突4を招く時にFIロボットを必要とする。
リソース衝突の除去
[0064]本発明の一実施形態では、リソース衝突は、衝突に伴う2つのステップのうちの一方を遅延させるためにキュー時間を付加することによって除去可能である。一実施形態では、キュー時間は2つの衝突ステップのうちの後のステップを遅延させるために付加されてもよい。
[0065]表6に示されているように、M9とM5間、およびM9とM6間のリアロボットの衝突は、25秒のキュー時間をステップS9に付加することによって除去される。M9は25秒遅延され、各基板は、キュー時間の前の1233秒と比較して、1258秒間システムにとどまるようにスケジューリングされる。しかしながら、基本周期は240秒のままであるため、システムスループットは遅延ゆえに低減されない。
[0066]図5は、表6に列挙されている更新済みスケジュールテーブルのレシピ図を概略的に図示している。
Figure 2008263177
[0067]場合によっては、新たなリソース衝突が付加されたキューから作成されることもある。図5に示されているように、M10とM3間、およびM12とM2間の新たな衝突が付加されたキュー時間の結果として生成される。本発明の一実施形態では、更新済みスケジュールテーブルが生成されることもあり、リソース衝突が更新済みスケジュールテーブルについてチェックされることもあり、またさらなるキュー時間が、キュー時間の付加後の新たな衝突を除去するように導入されてもよい。一実施形態では、キュー時間は、リソース衝突がなくなるまで、個別スケジュールに付加されてもよい。しかしながら、場合によっては、リソース衝突はキュー時間を付加することによって除去されないこともあり、あるいは付加されたキュー時間は(表2に示されている制約などの)リソースのキュー時間感度制約外であることもある。キュー時間を処理ステップに付加することによってはリソース衝突が除去不可能である場合、基本周期は延長されることもあり、またリソース衝突は、延長された基本周期に基づいて初期の個別スケジュールについてチェックおよび除去されることもある。
[0068]図6は、本発明の一実施形態に従ったスケジューリング方法400のフローチャートを図示している。スケジューリング方法400はプロセスシーケンスのスケジュールを見つけるように構成されている。スケジュールは、基板間に一貫性のある最大スループットを保証し、キュー時間感度などのリソースの制約を観察する。スケジューリング方法400について得られるスケジュールは個別スケジュールおよび基本周期を備えており、個別スケジュールは、プロセスシーケンス全体でのクラスターツールの個別基板のタイムテーブルを示しており、また基本周期は2つの連続基板の開始時間の間の時間インターバルを示している。例示的スケジュールが表3に示されている。
[0069]スケジューリング方法400のステップ410において、初期の個別スケジュールはプロセスシーケンスについて判断されてもよい。初期の個別スケジュールは、いずれのステップおよび移動においても待機時間がないクラスターツールにおいて基板のタイムテーブルを備えている、初期の個別スケジュールは概してプロセスシーケンスと、基板が処理されるクラスターツールのトポロジーとによって判断される。
[0070]ステップ420において、初期の基本周期が判断されてもよい。一実施形態では、初期の基本周期は、チャンバやロボットなどのボトルネックリソースの使用期間として設定される。使用期間を算出する実施形態は上記のとおりである。ボトルネックリソースの使用期間として初期の基本周期を設定することは、最高スループットから可能なスケジュール開始を検索することを保証する。
[0071]ステップ430において、スケジュールテーブルは初期の個別スケジュールおよび初期の基本周期に基づいて生成されてもよい。一実施形態では、スケジュールテーブルは、リソースごとに、基本周期以内のタイムテーブルを含んでもよい。例えば、表4に関連するスケジュールテーブルにおいて、各基本周期(0,240)内では、FIロボットが、(0,22)時にM1を、(27,49)時にM2を、(15,33)時にM12を実行する必要がある。
[0072]ステップ430において、リソース衝突は生成されたスケジュールテーブルについてチェックされる。一実施形態では、リソース衝突は、基本周期以内の各リソースのタイムテーブルの重複をチェックすることによって判断されてもよい。例えば、表4のFIロボットのタイムテーブルはM1/M12とM2/M12の重複を有する。一実施形態では、リソース衝突チェックは、プロセスシーケンス中に少なくとも2つのステップおよび/または移動によって必要とされるリソースについて実行されてもよい。
[0073]リソース衝突がクラスターツールの全リソースのスケジュールテーブルで見つかった場合、スケジュールテーブルに関する個別スケジュールおよび基本周期はこの問題の受容可能な解決策であり、この方法はステップ470に移って、プロセスの現在の個別スケジュールおよび基本周期を出力する。
[0074]スケジュールテーブルにリソース衝突がある場合、リソース衝突は、ステップ450で個別スケジュールを調整することによって除去されることもある。一実施形態では、リソース衝突は、キュー時間を個別スケジュールに付加することによって除去されることもある。一実施形態では、キュー時間は、リソース衝突をもたらすステップのうちの1つを遅延させるために付加されてもよい。一実施形態では、ゲームツリーアルゴリズムがリソース衝突を除去するために使用されてもよい。一実施形態では、リソース制約は、キュー時間を個別スケジュールに付加する場合に考慮される。リソース衝突を除去するための詳細な方法が図7に従って説明される。
[0075]ステップ450は結果を出力する。ステップ460において、ステップ450からの出力が検証される。個別スケジュールを調整することによってリソース衝突が除去される場合、スケジューリング方法はステップ470に移り、更新済み個別スケジュールおよび現在の基本周期を出力する。しかしながら、個別スケジュールを調整することによってはリソース衝突が除去不可能である場合、スケジューリング方法はステップ480に進む。
[0076]ステップ480において、現在の基本周期が延長される。一実施形態では、基本周期は所定のインクリメントで延長されてもよい。基本周期を延長することによって、スケジューリング方法は、スループットが低下した領域において可能な解決策を検索する。
[0077]ステップ490において、更新済みスケジュールテーブルが延長された基本周期および初期の個別スケジュールから生成されて、この場合キュー時間は付加されない。そしてスケジューリング方法はステップ440に進みリソース衝突をチェックする。
[0078]従って、スケジューリング方法400は、所与のプロセスシーケンスについて、基板間一貫性および最大スループットを具備するスケジュールを提供する。
ゲームツリーアルゴリズム
[0079]本発明の一実施形態では、ゲームツリーアルゴリズムは、スケジュールテーブルの衝突を除去するために、スケジューリング方法400などのスケジューラーで使用されてもよい。
[0080]ゲームツリーのコンセプトは、システムが所与のゲームに勝つことができる最も可能性の高い移動を判断するためにゲームセオリーで使用される。ゲームツリーは有向性非周期グラフであり、ここでは非周期グラフの各ノードはシステムの状態、例えば個別スケジュールおよび基本周期であり、各縁部は移動、例えば個別スケジュールまたは基本周期への変化を表している。1対のノードと、この1対のノードを接続する縁部は、移動が実行される場合にシステムで生じる差分変化とみなされることが可能である。ゲームの各ステップにおいて、最も可能性の高い移動が、ゲームツリーを検索することによって選択される。
[0081]ゲームツリーは、結合的問題を解決するのに使用されるデータ構造とみなされることもある。この特定の場合のスケジューリングにおいて、ロボットなどのリソースの衝突は、2つ以上のステップが重複する場合に1つのステップの開始時間および終了時間を再配列することによって解決可能である。システムが解決に向かうべき次の移動は、リソース衝突を最小化するために最良の再配列順序を選択される。しかしながら、このアルゴリズムは階乗順であるため、必要な計算リソースは、多数の移動が考慮される場合には非常に大きい。ゆえに考慮すべき可能性の数を低減するための効率的な方法が、この解決策を実行可能にするために必要である。考慮すべき可能性の数を低減することはゲームツリーのトリミングと称される。本発明の一実施形態では、ツリーのトリミングは、最大キュー時間制約を使用して多数の可能性を排除することによって達成されることもある。最大キュー時間制約は所定の時間であってもよく、またターゲットプロセスシーケンスによって与えられてもよい。最大キュー時間はまた、所定でなければ、基本周期に基づいてスケジューラーによって選択されてもよい。所与のリソースについて、最大キュー時間は以下の式を使用して選択されてもよい:
最大キュー時間=(ボトルネックリソースの使用期間−(対象リソースの使用期間)、シーケンスのユーザー画成値)の最大値
[0082]本発明の一実施形態では、ゲームツリーは、第1の実行可能な解決策を見つけるために使用される。最良の解決策ではなく第1の実行可能な解決策を選択することによって、この問題は大きく簡略化される。スケジューラーの目的はスループットを最大化することである。基本周期が設定されると、キュー時間は上記の最大キュー時間の式によって制約されるため付加されたキュー時間はボトルネック期間を変更しないため、キュー時間を各ステップに付加することによってはスループット値は変更できない。従って、リソース衝突を解決するという問題は、スループットの最大化と対抗する。最良の解決策は、これが検索された場合には、各ステップで必要とされるキュー時間を最小化する解決策であろう。しかしこれは、長期の稼動生産バッチに対してごく少量の利得につながるだけである。効率については、第1の実行可能な解決策が、もし見つかったならば、検索の終了を判断する。
[0083]上記の簡略化によって、アルゴリズムは完全な検索ツリーを構築する必要がない。本発明の方法は、検索ツリーの構築を開始して、ブランチが巡回依存性、つまりリソース衝突の再発につながる場合には、最大キュー時間制約を侵害する一部のブランチを拒絶する。全衝突を解決する検索ツリーの第1のブランチが見つかった場合、アルゴリズムは終了して、これを解決策として使用する。
[0084]本発明に従ったゲームツリーは深さを優先して作成されることもある。スケジューラーは全処理ステップ/移動を反復して、各ステップ/移動の実行についてリソースを割り当てる。複数のリソースがステップの実行に利用可能である場合、スケジューラーは使用可能なリソースを多重化して、均一な負荷分布を達成する、リソースを割り当てた後、スケジューラーはリソース衝突があるか否かをチェックする。再発するリソース衝突を識別するために、スケジューラーはまた、これが解決した全リソース衝突の履歴を維持する。任意のステップを遅延させる前に、スケジューラーは衝突履歴テーブルに問い合わせて、同じリソース衝突が過去に解決されているか否かを調べる。リソース衝突が過去に解決されていれば、スケジューラーは変更を拒絶して、もう1つの実行可能な解決策を見つけようと試みる。ゲームツリーをトリミングするために、算出された遅延は、所与のステップの最大キュー時間制約と比較される。このステップは、制約条件が侵害されなければ遅延されるにすぎない。
[0085]ステップIとKのリソース衝突を解決するために、スケジューラーは、このリソース衝突を除去するための2つの方法、つまりステップIの遅延およびステップKの遅延を有している。この2つの解決策はゲームツリーの2つの異なるブランチにつながる。本発明の一実施形態では、スケジューラーはまず、より早い相対的開始時間を有するステップを遅延させようと試みる。ステップKがステップIより早い相対的開始時間を有している場合、スケジューラーはステップKを最初に遅延させるためにキュー時間を付加しようと試みる。衝突履歴テーブルが同じ衝突を有しておらず、また最大キュー時間制約が満たされる場合、新たな子ノードが作成されて、スケジュールテーブルが、ステップKで新たな遅延を反映するように調整される。ステップKがΔ秒遅延される場合、ステップK+1〜ステップN(ここで、Nはステップ総数)であるステップKに続く全ステップの開始時間もまた同じΔだけ増大される。
[0086]リソース衝突を解決した後、スケジューラーは、キュー時間が付加された後の更新済みスケジュールテーブルのリソース衝突を検索する。リソース衝突は、リソース衝突を除去するために導入される遅延ゆえに作成されることもあり、あるいは既に存在していることもある。
[0087]ステップKが任意の制約侵害ゆえに遅延不可能である場合、スケジューラーは、ステップIの遅延を試みることによって次のブランチに移動する。これは衝突反転と称されることもある。ステップKの遅延およびステップIの遅延という両方の可能性が拒絶される場合、スケジューラーはゲームツリーを上に移動させて、親ノードの衝突を反転する。各リソース衝突は正確に2つの方法で解決可能であるため、衝突を解決しようとする試みの回数カウントが使用されることもある。カウントが2を超えると、現在のノードには解決策がなく、スケジューラーはゲームツリーを上に移動させ、ブランチにおける他の衝突を試み、反転する。
[0088]全ステップにリソースが割り当てられており、かつ衝突がない場合、解決策が受容され、各ステップの遅延がスケジューラーによって使用される。
[0089]場合によっては、1セットのリソース衝突の解決策はない。ゲームツリー方法は解決策を送り返さず、スケジューラーは次いで、わずかな差分だけ基本周期を増大させて、延長基本周期を使用するスケジューラーテーブルにおいて相対的時間を再作成する。
[0090]図7は、本発明の一実施形態に従ったリソース衝突除去方法500のフローチャートを図示している。この方法500は、第1の実行可能な解決策を検索して、所与のプロセスシーケンスおよび所与の基本周期のリソース衝突を除去するように構成されている。上述のゲームツリーセオリーが方法500で使用される。方法500は、図6のスケジューリング方法400のステップ450で使用されてもよい。
[0091]ステップ502において、個別スケジュールおよび基本周期に基づいたスケジュールが提供される。スケジュールはリソース衝突を有している。一実施形態では、リソース衝突がスケジュールから検出されることもある。
[0092]ステップ504において、現在の衝突は、除去されるべきリソース衝突であり、スケジュールの第1のリソース衝突に設定される。一実施形態では、第1のリソース衝突が個別スケジュールのタイムテーブルにおける第1の衝突接触(encounter)によって画成される。例えば、図4の衝突1。
[0093]ステップ506において、衝突履歴が、現在の衝突がすでに解決されているか否かをチェックするために検索されることもある。
[0094]現在の衝突が衝突履歴にない場合、現在の衝突はステップ508において衝突履歴に付加される。
[0095]ステップ510において、現在の衝突を除去するための第1の解決策が試みられる。ステップ510は、現在の衝突を除去するために開始時間がより早いステップを遅延するのに必要なキュー時間の算出を含んでもよい。一実施形態では、現在の衝突に関するカウンターが1に設定されて、現在の衝突を解決するためになされる試みの回数をマークする。
[0096]ステップ512において、算出されたキュー時間は最大キュー時間制約と比較されることもある。一実施形態では、リソースの最大キュー時間制約が、ユーザー画成制約の最小値と、ボトルネックリソースの使用期間とリソースの使用期間の差とによって画成されてもよい。
[0097]算出されたキュー時間が最大キュー時間制約以内の場合、現在の個別スケジュールは、ステップ514に示されているように現在の衝突の開始時間がより早いステップを遅延させるために、算出されたキュー時間を付加することによって更新されてもよい。
[0098]ステップ516において、衝突は、更新済みの個別スケジュールおよび現在の基本周期についてチェックされてもよい。衝突が存在しない場合、方法500は解決策を見つけたのである。現在の個別スケジュールおよび現在の基本周期はステップ520で解決策として出力されてもよい。しかしながら、衝突がステップ516で検出されると、方法はステップ518に進み、ここで現在の衝突は更新済みスケジュールの第1の衝突に設定される。方法は次いでステップ506に移って戻る。
[0099]ステップ512を再度参照すると、算出されたキュー時間が最大キュー時間制約の要件を満たさない場合、アルゴリズムはステップ522に移る。
[0100]ステップ522において、現在の衝突が反転され、この場合、開始時間が遅いステップを遅延させて現在の衝突を除去するのに必要なキュー時間が算出される。一実施形態では、現在の衝突に関するカウンターが2に設定されて、現在の衝突を解決するためになされる試みの回数をマークする。
[0101]ステップ524において、ステップ522から算出されたキュー時間は最大キュー時間制約と比較される。算出されたキュー時間が最大キュー時間制約を満たす場合、この方法はステップ514に移って、現在の個別スケジュールを更新する。しかしながら、算出されたキュー時間が最大キュー時間制約を満たさない場合、現在の衝突はステップ526において衝突履歴から除去される。
[0102]ステップ528において、衝突履歴がチェックされる。衝突履歴が空の場合、この方法はステップ532に移る。ステップ532において、現在の基本周期は拒絶されて、この方法は、リソース衝突を除去するための解決策を送り返さない。
[0103]衝突履歴が空でない場合、方法はステップ530に進み、ここでは現在の衝突がロールバックされて、衝突履歴に記憶されている最後に除去された衝突に設定される。方法はステップ521に移る。
[0104]ステップ521において、現在の衝突と関連したカウンターがチェックされる。カウンター=2は、現在の衝突が2回解決されている、つまりフォワード解決策(早いステップ遅延)およびリバース解決策(遅いステップ遅延)であることを示している。カウンターは、フォワード解決策のみが試みられたことを示すものである。ステップ521において、カウンターが2の場合、方法はステップ526に移って、現在の衝突を衝突履歴から除去して、もう一度ステップをロールバックする。カウンターが1の場合、方法はステップ522に進み、現在の衝突を反転するように試みる。
[0105]ステップ506を再度参照すると、現在の衝突が衝突履歴にある場合、方法はステップ521に移って、フォワードおよびリバース両方の解決策が試みられたか否かを判定する。
定期洗浄
[0106]定期洗浄は、プロセスシーケンスのうち1つのステップでW個の基板ごとに稼動されるレシピである。定期洗浄は基板ごとには実行されないため、正常なスケジュールプロセスには含まれることはない。
[0107]本発明の一実施形態では、定期洗浄はスケジューリングの特殊な場合として取り扱われる。定期洗浄は、基本周期の算出に使用されるのみである。ボトルネックリソースや基本周期の使用期間は、2つの後続基板の開始時間の間の経過時間とみなされることが可能である。算出された基本周期は基板をクラスターツールに供給するのに使用されるため、(単一のステップに割り当てられ、かつこの単一ステップの唯一のチャンバである)各チャンバは算出された基本周期ごとに基板を受け取る。チャンバが算出された基本周期以内で基板処理を完了できる場合、チャンバの衝突はない。チャンバにおける基板処理は概して、レシピ時間と、基板移送時間と、チャンバが必要とする事後処理とを含んでいる。一実施形態では、スケジューラーは基本周期を算出するための定期洗浄レシピ時間を含んでいるため、定期洗浄もまた、次の基板が到着する前にチャンバにおいて完了可能である。
[0108]本発明の一実施形態では、定期洗浄は、基本周期を算出する場合には考慮されない。そしてスケジューラーは定期洗浄要件を有するシステムの全チャンバで反復して、基板プロセス時間、基板移送時間および任意の付加キュー時間に加えて定期洗浄が算出された基本周期以内で完了可能であるか否かをテストする。次の基板が到着する前に定期洗浄が完了可能である場合、算出された基本周期はスケジューリングに使用されてもよい。
[0109]次の基板が到着する前に定期洗浄が完了できない場合、定期洗浄が増大された基本周期以内で完了できるように基本周期が増大される。
[0110]このステップで使用されるチャンバが2つ以上ある場合、スケジューラーは、このステップにおけるチャンバの使用を交互させることを考慮する。所与の時点では、定期洗浄が起動されるまで、1つのチャンバのみが全基板に対して使用される。定期洗浄条件に達すると、シーケンサーはグループ内の次のチャンバに基板を送り始めるのに対して、第1のチャンバは定期洗浄レシピを稼動する。従って、N個のチャンバが使用されるステップにおいて、所与の時点では、チャンバのうちの1つが基板を処理している間に(N−1)個のチャンバが定期洗浄を実行している。これはストールフリー実行を保証する。しかしながら、定期洗浄レシピが、基本周期のN倍以内に完了できないほど長い場合、定期洗浄はシステムをストールさせる。ストール時間は、
ストール時間=(定期洗浄レシピ時間)−N基本周期に等しい。スケジューラーは、N+1個の基板ごとに算出されたストール時間の期間ストールする。
[0111]図8は、本発明の一実施形態に従った定期洗浄スケジュールの判断方法600のフローチャートを図示している。
[0112]ステップ602において、定期チャンバ洗浄を必要とするステップごとに、方法600は、2つ以上のチャンバが使用されているか否かをチェックする。
[0113]定期洗浄を必要とするステップのチャンバが1つだけの場合、この方法は、ステップ604において基板プロセス時間、基板移送時間および任意の付加キュー時間に加えて定期洗浄が基本周期以内で完了可能であるか否かをテストする。
[0114]プロセス時間、移送時間および付加キュー時間に加えて定期洗浄が基本周期以内で完了可能である場合、スケジューラーは、ステップ606に示されているように、定期洗浄を基本周期以内で実行されるように設定する。
[0115]しかしながら、プロセス時間、移送時間および付加キュー時間に加えて定期洗浄が基本周期以内で完了できない場合、定期洗浄が、ステップ608に示されているように、基本周期以内で実行されるように、スケジューラーは基本周期を延長する。
[0116]ステップ602を再度参照すると、定期洗浄を必要とするステップについて2つ以上のチャンバがある場合、スケジューラーはステップ612に移って、洗浄時間が基本周期のN倍より長いか否かを判断し、ここでNはこのステップを実行するのに使用可能なチャンバ数である。
[0117]洗浄時間が基本周期のN倍より短い場合、定期洗浄は現在の基本周期を使用して配列されてもよい。
[0118]洗浄時間が基本周期のN倍より長い場合、スケジューラーはステップ614に移って、定期洗浄を実行するためにN個の基板ごとにストール時間を算出する。
ランタイム変動および動的スケジュール調整
[0119]本発明の一実施形態では、静的スケジュールが(クラスターツールのプロセスシーケンスをコントロールする)シーケンサーが開始する前に作成されて、クラスターツールの動きを判定するための入力として使用される。任意の基板移送ジョブを開始する前に、シーケンサーはスケジューラーに、衝突を回避するためにさらなる遅延が必要か否かを調べるように問い合わせる。しかしながら、レシピを実行するのにかかる実際の時間は、特にエンドポイントベースレシピにおいて変化することもあるため、スケジューラーはまた、プロセスシーケンスが実行されている間のシステムを監視する。スケジューラーはそして、実際の時間に基づいて静的スケジュールで算出された遅延を調整する。例えば、ステップkの開始時間は100秒の時点であり、このステップ後の遅延は静的スケジュールにおいては30秒であった。基板移送時間変動ゆえに基板が102秒の時点でチャンバに到着すると、スケジューラーは、基板がレシピを完了させた後に28秒だけ待機するようにシーケンサーを調整する。
実施例
[0120]プロセスシーケンスをスケジューリングするための本発明の方法を使用する簡単な実施例が提供される。3つのチャンバCH1、CH2、CH3を有する単一のクラスターツールは、チャンバCH1、CH2、CH3間での全基板移送を実行するための単一ブレードロボットRIを具備している。2つのロードロックLLA、LLBは、単一のクラスターツールの内外に基板を移動させるために使用される。単一のブレードファクトリインタフェースロボットFIは、カセットとロードロックLLA、LLB間で移送するために使用される。
[0121]入力シーケンスおよびレシピ時間が表7に示されている。表8は、相対的な開始および終了時間の算出と、ロボットR1の移動の衝突とを示している。
Figure 2008263177
Figure 2008263177
Figure 2008263177
[0122]表8の相対的時間は表9で算出された基本周期に基づいて算出される。「備考」欄に描かれているように、スケジュールを完了させるために解決されるべき2つの衝突がある。解決策が見つけられて、表10に示されている。
Figure 2008263177
[0123]本発明の実施形態は、プロセスシーケンスを実行するように構成されているクラスターツールをコントロールするためのコンピュータシステムと併用するためのプログラム製品として具現化可能である。プログラム製品の(複数の)プログラムは本発明の実施形態の機能を画成して、多様な信号担持媒体に含有されることが可能である。事例的信号担持媒体は(i)書き込みできない記憶媒体(例えば、CD−ROMドライブによって読み取り可能なCD−ROMディスクなどのコンピュータ内のリードオンリーメモリデバイス)に永続的に記憶されている情報、(ii)書き込み可能な記憶媒体(例えば、ディスケットドライブやハードディスクドライブ内のフロッピーディスク)に記憶されている変更可能な情報、あるいは(iii)例えば無線通信を含むコンピュータや電話ネットワークを介する通信媒体によってコンピュータに伝えられる情報を含んでいるが、これらに制限されない。後者の実施形態は特に、インターネットおよび他のネットワークからダウンロード可能な情報を含んでいる。このような信号担持媒体は、本発明の機能に指示を与えるコンピュータ読み取り可能な命令を搬送する場合に、本発明の実施形態を表す。
[0124]一般的に、本発明の実施形態を具現化するために実行されるルーチンは、オペレーティングシステムや特定用途、コンポーネント、プログラム、モジュール、オブジェクトあるいは命令シーケンスの一部であってもよい。より特定的には、本発明の実施形態を具現化するために実行されるルーチンは、例えば初期プログラムロード(IPL)時に起動される自動スクリプトの一部であってもよい。本発明のコンピュータプログラムは通常、ネイティブコンピュータによってマシーン読み取り可能なフォーマットに変換される複数の命令、ゆえに実行可能な命令を備えている。また、プログラムは、プログラムに対してローカルに常駐しているか、メモリや記憶デバイスに見られる変数およびデータ構造を備えている。加えて、ここに説明されている種々のプログラムは、本発明の特定の実施形態で具現化される用途に基づいて識別されてもよい。しかしながら、以下の具体的なプログラム用語は便宜的に使用されるにすぎず、従って本発明は、このような用語によって識別および/または示唆される特定の用途でのみ使用することに制限されるべきではない。
[0125]ゲートポリシリコンを生成するためのクラスターツールのみが本出願で説明されているとしても、本発明は、処理ステップシーケンスが実行可能な他の処理ツールに適合可能である。当業者は本発明を適用可能な環境で適合させることができる。
[0126]上記は本発明の実施形態を目的としているが、本発明の他のさらなる実施形態が、この基本的範囲から逸脱することなく考案されてもよく、またこの範囲は以下の請求項によって判定される。
図1は、本発明の一実施形態に従った半導体処理用クラスターツールを概略的に図示している。 図2は、ゲートスタックを堆積するためのプロセスシーケンスのフローチャートを図示している。 図3Aは、本発明の一実施形態に従った例示的プロセスシーケンスのフローチャートを概略的に図示している。 図3Bは、図1のクラスターツールにおいて図3Aのプロセスシーケンスで処理される基板のルートを概略的に図示している。 図4は、キュー時間のない図3Aのプロセスシーケンスのスケジュールテーブルのレシピ図を概略的に図示している。 図5は、本発明の一実施形態に従った図4の更新済みスケジュールテーブルのレシピ図を概略的に図示している。 図6は、本発明の一実施形態に従ったスケジューリング方法のフローチャートを図示している。 図7は、本発明の一実施形態に従ったリソース衝突除去方法のフローチャートを図示している。 図8は、本発明の一実施形態に従った定期洗浄スケジュール判断方法のフローチャートを図示している。
符号の説明
100…クラスターツール、101…真空気密処理プラットフォーム、102…ファクトリインタフェース、103、104…真空基板移送チャンバ、105、107…ロボット、106A、106B…基板移送プラットフォーム、108、110、112、114、116、118…処理チャンバ、120…ロードロックチャンバ、128…フロントオープニングユニファイドポッド、128A、128B…FOUP、138…基板移送ロボット、140…基板整列器、200…プロセスシーケンス、202、204、205、206、208、210、410、420、430、440、450、460、470、480、490、502、504、506、508、510、512、514、516、518、520、521、522、524、526、528、530、532、602、604、606、608、612、614…ステップ、400…スケジューリング方法、500…リソース衝突除去方法、600…定期洗浄スケジュールの判断方法

Claims (15)

  1. プロセスシーケンスをスケジューリングするための方法であって、
    前記プロセスシーケンスを実行するためにリソースを割り当てることによって個別スケジュールを判断するステップであって、前記個別スケジュールが、個別基板が前記プロセスシーケンスにおける複数のプロセスステップを開始する時間を示す開始時間を備えるステップと、
    基本周期を算出するステップであって、前記基本周期が2つの連続基板の開始時間の間の期間分として画成されるステップと、
    前記個別スケジュールおよび前記基本周期から生成されたスケジュールのリソース衝突を検出するステップと、
    検出されたリソース衝突を除去するように前記個別スケジュールを調整するステップと、を備える方法。
  2. リソース衝突を検出する前記ステップおよび前記個別スケジュールを調整する前記ステップが、リソース衝突が検出されなくなるまで反復される、請求項1に記載の方法。
  3. 前記個別スケジュールを調整するステップが、除去されるべき前記検出されたリソース衝突と関連したプロセスステップを遅延させるためにキュー時間を付加する工程を備える、請求項1に記載の方法。
  4. 遅延中の前記プロセスステップが、除去されるべき前記リソース衝突と関連した別のプロセスステップと比較して早い開始時間を有する、請求項2に記載の方法。
  5. 個別スケジュールを調整するステップがさらに、キュー時間制約以内に前記キュー時間を抑制する工程を備える、請求項3に記載の方法。
  6. 前記基本周期を判断するステップが、
    前記プロセスシーケンスを実行するために割り当てられたすべての前記リソースの使用期間を算出する工程と、
    前記プロセスシーケンスを実行するために割り当てられたすべての前記リソースの最長使用期間に従って前記基本周期を設定する工程と、
    を備える、請求項1に記載の方法。
  7. 前記個別スケジュールを調整することによってリソース衝突を除去できない場合に前記基本周期を延長するステップと、
    前記個別スケジュールおよび前記延長された基本周期から生成された更新済みスケジュールのリソース衝突を検出するステップと、
    前記更新済みスケジュールの前記リソース衝突を除去するように前記個別スケジュールを調整するステップと、
    をさらに備える、請求項1に記載の方法。
  8. プロセスによって実行される場合にオペレーションを実行するプロセスシーケンスをスケジューリングするためのコンピュータプログラムを含有するコンピュータ読み取り可能な媒体であって、
    前記プロセスシーケンスを実行するためにリソースを割り当てることによって個別スケジュールを判断するステップであって、前記個別スケジュールが、個別基板が前記プロセスシーケンスにおける複数のプロセスステップを開始する時間を示す開始時間を備えるステップと、
    基本周期を算出するステップであって、前記基本周期が2つの連続基板の開始時間の間の期間分として画成されるステップと、
    前記個別スケジュールおよび前記基本周期から生成されたスケジュールのリソース衝突を検出するステップと、
    検出されたリソース衝突を除去するように前記個別スケジュールを調整するステップと、
    を備えるコンピュータ読み取り可能な媒体。
  9. リソース衝突を検出する前記ステップおよび前記個別スケジュールを調整する前記ステップが、リソース衝突が検出されなくなるまで反復され、前記個別スケジュールを調整するステップが、除去されるべき前記検出されたリソース衝突と関連したプロセスステップを遅延させるためにキュー時間を付加する工程を備える、請求項8に記載のコンピュータ読み取り可能な媒体。
  10. 前記基本周期を判断するステップが、
    前記プロセスシーケンスを実行するように割り当てられたすべての前記リソースの使用期間を算出する工程と、
    前記プロセスシーケンスを実行するように割り当てられたすべての前記リソースの最長使用期間に従って前記基本周期を設定する工程と、
    を備える、請求項8に記載のコンピュータ読み取り可能な媒体。
  11. 前記個別スケジュールを調整することによってリソース衝突を除去できない場合に前記基本周期を延長するステップと、
    前記個別スケジュールおよび前記延長された基本周期から生成された更新済みスケジュールのリソース衝突を検出するステップと、
    前記更新済みスケジュールの前記リソース衝突を除去するように前記個別スケジュールを調整するステップと、
    をさらに備える、請求項8に記載のコンピュータ読み取り可能な媒体。
  12. 処理シーケンスをスケジューリングするための方法であって、
    処理スケジュールを生成するステップであって、前記処理シーケンスにおける複数の処理ステップの各々について待機期間がないステップと、
    ボトルネックリソースの使用期間に従って基本周期を判断するステップと、
    前記基本周期に基づいて前記処理スケジュールのリソース衝突を検出するステップと、
    前記検出されたリソース衝突を除去するように前記処理スケジュールおよび前記基本周期の少なくとも一方を調整するステップと、
    を備える方法。
  13. リソース衝突を検出するステップが、前記処理シーケンスにおける2つ以上のステップによって占有されているリソースの衝突を検出する工程を備える、請求項12に記載の方法。
  14. 調整ステップが、除去されるべきリソース衝突と関連したステップを遅延させるためにキュー時間を挿入する工程を備える、請求項12に記載の方法。
  15. 調整ステップが、
    前記検出されたリソース衝突を除去するために1つ以上の処理ステップにキュー時間を挿入する工程と、
    前記基本周期に基づいて前記調整された処理スケジュールのリソース衝突を検出する工程と、
    前記検出された衝突が再発する場合に前記基本周期を延長する工程と、
    を備える、請求項12に記載の方法。
JP2008052401A 2007-03-02 2008-03-03 集積基板処理システムのソフトウェアシーケンサー Pending JP2008263177A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/681,394 US20080216077A1 (en) 2007-03-02 2007-03-02 Software sequencer for integrated substrate processing system

Publications (1)

Publication Number Publication Date
JP2008263177A true JP2008263177A (ja) 2008-10-30

Family

ID=39523628

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008052401A Pending JP2008263177A (ja) 2007-03-02 2008-03-03 集積基板処理システムのソフトウェアシーケンサー

Country Status (5)

Country Link
US (1) US20080216077A1 (ja)
EP (1) EP1965282A2 (ja)
JP (1) JP2008263177A (ja)
KR (1) KR100978974B1 (ja)
TW (1) TW200843012A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8655472B2 (en) 2010-01-12 2014-02-18 Ebara Corporation Scheduler, substrate processing apparatus, and method of transferring substrates in substrate processing apparatus
JP2014107570A (ja) * 2012-11-29 2014-06-09 Asm Ip Holding B V 処理システムのためのスケジューラ
JP2019153787A (ja) * 2018-03-01 2019-09-12 株式会社荏原製作所 スケジューラ、基板処理装置、及び基板搬送方法
CN111316416A (zh) * 2017-09-15 2020-06-19 应用材料公司 基板路由与产量建模
JP2021522695A (ja) * 2018-06-22 2021-08-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用

Families Citing this family (370)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US9723070B2 (en) * 2008-01-31 2017-08-01 International Business Machines Corporation System to improve cluster machine processing and associated methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9003414B2 (en) 2010-10-08 2015-04-07 Hitachi, Ltd. Storage management computer and method for avoiding conflict by adjusting the task starting time and switching the order of task execution
US9495477B1 (en) 2011-04-20 2016-11-15 Google Inc. Data storage in a graph processing system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5852908B2 (ja) * 2011-09-16 2016-02-03 株式会社Screenホールディングス 基板処理装置のためのスケジュール作成方法およびスケジュール作成プログラム
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9798947B2 (en) * 2011-10-31 2017-10-24 Applied Materials, Inc. Method and system for splitting scheduling problems into sub-problems
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
JP6002532B2 (ja) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9671779B2 (en) * 2013-03-15 2017-06-06 Applied Materials, Inc. Method and system for filtering lot schedules using a previous schedule
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9740529B1 (en) * 2013-12-05 2017-08-22 The Mathworks, Inc. High throughput synchronous resource-constrained scheduling for model-based design
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
WO2016099865A1 (en) * 2014-12-19 2016-06-23 Thomson Licensing Program sequencer for multi-display environment
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
CN107871194B (zh) * 2016-09-28 2020-10-16 北京北方华创微电子装备有限公司 一种生产线设备的调度方法和装置
US10438828B2 (en) * 2016-10-03 2019-10-08 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10043693B1 (en) 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
TWI633504B (zh) * 2017-11-16 2018-08-21 財團法人工業技術研究院 基於樹狀搜尋的排程方法與使用此方法的電子裝置
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
DE102017130551A1 (de) * 2017-12-19 2019-06-19 Aixtron Se Vorrichtung und Verfahren zur Gewinnnung von Informationen über in einem CVD-Verfahren abgeschiedener Schichten
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10504762B2 (en) 2018-02-06 2019-12-10 Applied Materials, Inc. Bridging front opening unified pod (FOUP)
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP6730355B2 (ja) * 2018-03-27 2020-07-29 ファナック株式会社 操作性を向上した統合シミュレーションシステム
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11099778B2 (en) * 2018-08-08 2021-08-24 Micron Technology, Inc. Controller command scheduling in a memory system to increase command bus utilization
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10841369B2 (en) * 2018-11-26 2020-11-17 International Business Machines Corporation Determining allocatable host system resources to remove from a cluster and return to a host service provider
US10877814B2 (en) 2018-11-26 2020-12-29 International Business Machines Corporation Profiling workloads in host systems allocated to a cluster to determine adjustments to allocation of host systems to the cluster
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10956221B2 (en) 2018-11-26 2021-03-23 International Business Machines Corporation Estimating resource requests for workloads to offload to host systems in a computing environment
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11385628B2 (en) 2020-06-24 2022-07-12 Applied Materials, Inc. Scheduling substrate routing and processing
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117836919A (zh) * 2021-11-19 2024-04-05 应用材料公司 用于减少基板冷却时间的设备及方法
CN116774603B (zh) * 2023-04-18 2024-01-30 湖南大学 多agv协同调度仿真平台及仿真方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002534789A (ja) * 1998-12-31 2002-10-15 シリコン ヴァレイ グループ インコーポレイテッド 基板処理システムを同期化させるための方法及び装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3654684B2 (ja) * 1995-05-01 2005-06-02 東京エレクトロン株式会社 処理方法及び処理装置
US5975740A (en) * 1996-05-28 1999-11-02 Applied Materials, Inc. Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
KR100508679B1 (ko) * 1998-12-31 2005-08-17 에이에스엠엘 유에스, 인크. 기판 처리 시스템을 동기화시키는 방법 및 장치
US6865437B1 (en) * 1998-12-31 2005-03-08 Asml Holdings N.V. Robot pre-positioning in a wafer processing system
US6408220B1 (en) * 1999-06-01 2002-06-18 Applied Materials, Inc. Semiconductor processing techniques
US6456894B1 (en) * 1999-06-01 2002-09-24 Applied Materials, Inc. Semiconductor processing techniques
CN1186700C (zh) * 2000-09-15 2005-01-26 先进微装置公司 半导体制造中用来改进控制的自调适取样方法
US6889105B2 (en) * 2001-05-16 2005-05-03 Dainippon Screen Mfg. Co., Ltd. Scheduling method and program for a substrate processing apparatus
US6782302B1 (en) * 2002-08-30 2004-08-24 Advanced Micro Devices, Inc. Method and apparatus for scheduling workpieces with compatible processing requirements
US7127310B1 (en) * 2002-08-30 2006-10-24 Advanced Micro Devices, Inc. Method and apparatus for determining cost functions using parameterized components
US6801819B1 (en) * 2002-08-30 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for evaluating bids for scheduling a resource
US7069097B1 (en) * 2002-08-30 2006-06-27 Advanced Micro Devices, Inc. Method and apparatus for reducing scheduling conflicts for a resource
JP2005259931A (ja) 2004-03-11 2005-09-22 Hitachi Kokusai Electric Inc 基板処理装置
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US20070003842A1 (en) * 2005-06-29 2007-01-04 Applied Materials, Inc. Software sequencer to dynamically adjust wafer transfer decision
JP4772401B2 (ja) * 2005-07-06 2011-09-14 株式会社東芝 最適化方法、最適化システム、及び、装置を製造する方法
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002534789A (ja) * 1998-12-31 2002-10-15 シリコン ヴァレイ グループ インコーポレイテッド 基板処理システムを同期化させるための方法及び装置

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8655472B2 (en) 2010-01-12 2014-02-18 Ebara Corporation Scheduler, substrate processing apparatus, and method of transferring substrates in substrate processing apparatus
JP2014107570A (ja) * 2012-11-29 2014-06-09 Asm Ip Holding B V 処理システムのためのスケジューラ
CN111316416A (zh) * 2017-09-15 2020-06-19 应用材料公司 基板路由与产量建模
JP2020534677A (ja) * 2017-09-15 2020-11-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板のルーティング及びスループットのモデリング
JP7235729B2 (ja) 2017-09-15 2023-03-08 アプライド マテリアルズ インコーポレイテッド 基板のルーティング及びスループットのモデリング
CN111316416B (zh) * 2017-09-15 2023-08-18 应用材料公司 基板路由与产量建模
JP2019153787A (ja) * 2018-03-01 2019-09-12 株式会社荏原製作所 スケジューラ、基板処理装置、及び基板搬送方法
JP6995072B2 (ja) 2018-03-01 2022-01-14 株式会社荏原製作所 スケジューラ、基板処理装置、及び基板搬送方法
JP2021522695A (ja) * 2018-06-22 2021-08-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用
JP2022000891A (ja) * 2018-06-22 2022-01-04 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用
JP7136977B2 (ja) 2018-06-22 2022-09-13 アプライド マテリアルズ インコーポレイテッド 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用

Also Published As

Publication number Publication date
EP1965282A2 (en) 2008-09-03
TW200843012A (en) 2008-11-01
KR20080080954A (ko) 2008-09-05
US20080216077A1 (en) 2008-09-04
KR100978974B1 (ko) 2010-08-30

Similar Documents

Publication Publication Date Title
JP2008263177A (ja) 集積基板処理システムのソフトウェアシーケンサー
US10566223B2 (en) Systems and methods for dynamic semiconductor process scheduling
US8019467B2 (en) Scheduling method for processing equipment
US7522968B2 (en) Scheduling method for processing equipment
US20080051930A1 (en) Scheduling method for processing equipment
TWI691822B (zh) 用於基板佈線與產量模擬的方法、系統及非暫態電腦可讀取媒體
Yu et al. Scheduling single-armed cluster tools with chamber cleaning operations
US20150162180A1 (en) Method, storage medium and system for controlling the processing of lots of workpieces
US9633841B2 (en) Methods for depositing amorphous silicon
US20070282477A1 (en) Method and system for controlling process tools by interrupting process jobs depending on job priority
US20070003842A1 (en) Software sequencer to dynamically adjust wafer transfer decision
US20160103713A1 (en) Method for sequencing a plurality of tasks performed by a processing system and a processing system for implementing the same
JP5075835B2 (ja) 半導体製造システム
US20170083000A1 (en) Petri Net-based Scheduling of Time Constrained Single-arm Cluster Tools with Wafer Revisiting
JP6320457B2 (ja) 基板処理装置、基板処理方法、プログラム、及び物品製造方法
CN113658890B (zh) 提高半导体设备产能的方法和系统
Zhu et al. Scheduling a Single-Arm Multi-Cluster Tool With a Condition-Based Cleaning Operation
EP1202144A1 (en) Method for controlling a process line in semiconductor device manufacturing
JP2006523948A (ja) 半導体ウェハ製造システムにおいてスキャナシステムのタイミング変動を補償するための方法及びシステム
JP2009231726A (ja) 基板処理システムおよび基板処理方法

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110301

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130129

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130430

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130507

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20131008