KR20080080954A - 집적 기판 처리 시스템을 위한 소프트웨어 시퀀서 - Google Patents

집적 기판 처리 시스템을 위한 소프트웨어 시퀀서 Download PDF

Info

Publication number
KR20080080954A
KR20080080954A KR1020080019560A KR20080019560A KR20080080954A KR 20080080954 A KR20080080954 A KR 20080080954A KR 1020080019560 A KR1020080019560 A KR 1020080019560A KR 20080019560 A KR20080019560 A KR 20080019560A KR 20080080954 A KR20080080954 A KR 20080080954A
Authority
KR
South Korea
Prior art keywords
schedule
time
processing
resource conflicts
resource
Prior art date
Application number
KR1020080019560A
Other languages
English (en)
Other versions
KR100978974B1 (ko
Inventor
쉬얌 에마니
총양 왕
스티븐 힉커슨
요하네스 스웬버그
자콥 뉴맨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080080954A publication Critical patent/KR20080080954A/ko
Application granted granted Critical
Publication of KR100978974B1 publication Critical patent/KR100978974B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/34Director, elements to supervisory
    • G05B2219/34418Scheduler for sequential control, task planning, control sequence
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • General Factory Administration (AREA)

Abstract

본 발명의 실시예들은 일반적으로 일련의 제한들을 갖는 클러스터 툴에서 최대 생산성과 처리 일관성을 달성하기 위해 처리 시퀀스를 스케줄링하기 위한 장치 및 방법이 제공된다. 본 발명의 일 실시예는, 처리 시퀀스를 스케줄링 하기 위한 방법을 제공하며, 상기 방법은 처리 시퀀스를 수행하기 위한 리소스들을 할당함으로써 초기 개별 스케줄을 결정하는 단계, 기본 주기를 계산하는 단계, 개별 스케줄과 기본 주기로부터 생성된 스케줄에서 리소스 충돌을 탐지하는 단계, 및 리소스 충돌을 제거하기 위해 개별 스케줄을 조정하는 단계를 포함한다.

Description

집적 기판 처리 시스템을 위한 소프트웨어 시퀀서{SOFTWARE SEQUENCER FOR INTEGRATED SUBSTRATE PROCESSING SYSTEM}
본 발명의 실시예들은 일반적으로 집적 처리 시스템에서 반도체 기판들을 전달하기 위한 장치 및 방법에 관한 것이다. 보다 구체적으로, 본 발명의 실시예들은, 기판을 기판 타이밍 일관성에 제공하는 소프트웨어 시퀀서를 구비한 집적 기판 처리 시스템에 관한 것이다.
요즘 반도체 처리에 있어서, 다층 피쳐가 많은 처리 단계를 갖는 특정 처리 레시피(recipe)를 사용하여 반도체 기판 상에 제조된다. 처리 환경, 통상 제어된 환경에서 기판들을 제거하지 않고 처리 시퀀스를 수행하기 위해 여러 처리 챔버들을 통합하는 클러스터 툴(cluster tool)은 일반적으로 반도체 기판들을 처리하는데 사용된다. 처리 시퀀스는 일반적으로 클러스터 툴 내의 하나 이상의 처리 챔버들에서 완성되는 소자 제조 단계들, 또는 처리 레시피 단계들의 시퀀스로 정의된다. 처리 시퀀스는 일반적으로 다양한 기판(또는 웨이퍼) 전자 장치 제조 공정 단계들을 포함할 수 있다.
수년 이상, 반도체 소자 제조업자들은 경쟁력을 유지하기 위해 시스템 생산 성(throughput)과 처리 일관성 상이의 딜레마에 직면하고 있다.
한편으로는, 기판 제조 공정의 효율성은 소자 제조업자의 경쟁력에 직접 영향을 미친다. 다른 한편으로는, 반도체 소자들의 감소된 피쳐 크기는 처리 일관성과 반복가능성에 대해 더욱 엄격한 요구조건들을 갖는 반도체 제조 설계서들을 초래하였다.
기판 제조 공정의 효율성은 종종 2가지 관련되고 중요한 인자들에 의해 측정되며, 이들은 소자 수율(device yield) 및 소유 비용(cost of ownership; CoO)이다. 다수의 인자에 의해 영향 받는 CoO는 시스템 및 챔버 생산성, 또는 간단히 목적된 처리 시퀀스를 사용하여 시간당 처리된 기판의 수에 의해 크게 영향 받는다.
CoO를 낮추기 위한 노력에 있어서, 흔히 전자 장치 제조업자들은, 클러스터 툴 아키텍처 한계 및 챔버 처리 시간을 고려하여 가능한 많은 기판 생산성을 달성하기 위해, 처리 시퀀스 및 챔버 처리 시간을 최적화하려고 노력하는데 많은 시간을 소비한다. 시스템 생산성은 챔버 한계(limit) 및/또는 로봇 한계를 단축시킴으로써 증가될 수 있다. 챔버 한계는 처리 시퀀스의 가장 긴 처리 레시피 단계에서 소비되는 시간에 의해 정해진다. 로봇 한계는 로봇에 의해 기판을 전달하는데 소비되는 시간에 의해 정해진다.
열처리 및 습식 처리와 같은, 일부의 처리 시퀀스에 대해서, 처리 일관성 및 반복가능성은 타이밍 일관성과 밀접하게 관계된다. 시간 일관성은 챔버들 사이의 기판 전달 시간 및 레시피 단계 후에 챔버에 기판이 잔류하는 시간의 양인 기판 큐 시간(queue time) 동안 좋은 제어에 의해 달성될 수 있다.
반도체 제조업자들은 때때로 생산성과 처리 일관성 사이의 트레이드오프를 결정할 필요가 있다. 예를 들면, 한편으로는, 처리 단계들 사이에 큐 시간 민감도 한계(sensitivity limit) 내의 기판 큐 시간을 추가하는 것은, 처리 일관성과 반복가능성을 달성하기 위한 효과적인 방식이다. 다른 한편으로, 추가된 기판 큐 시간은 챔버 한계/로봇 한계를 증가시켜 감소된 시스템 생산성을 야기할 수 있다.
균일한 기판 표면 성질을 보장하기 위해서, 모든 기판이 처리 시퀀스의 각각의 단계에서 일관된 타이밍을 갖는 것이 바람직하다. 뛰어난 시스템에서, 제한된 예지 알고리즘(limited look-ahead algorithm)이 데드 락(dead lock)을 방지하기 위해서 기판 스케줄링에 사용된다. 제한된 예지 알고리즘은 시스템을 최대 생산성 값으로 안정화시킬 수 있다. 정상 상태(steady state)에 도달한 후에, 모든 기판들은 각각의 단계에서 동일한 큐 시간을 갖는다. 그러나 정상 상태에 도달할 때까지, 상이한 기판들은 시스템 상태에 기초하여 상이한 반응을 나타낼 것이다. 예를 들면, 제 1 기판은 모든 리소스들이 그 지점에서 개방되어 있기 때문에 어떠한 대기 시간(wait time)을 갖지 않을 것이다. 그러나 다음 기판은 일부 단계에서 대기해야만 할 수 있다. 추가적으로, 기판 큐 타임은 정상 상태에 의해 결정되며, 큐 타임을 제한할 방법은 없다. 따라서 높은 큐 타임 민감도를 갖는 일부 처리 단계는 정상 상태에서 타협될 수 있다.
그러므로 클러스터 툴에서 최적화된 생상성과 처리 일관성을 결정하기 위한 장치 및 방법이 필요하다.
본 발명의 실시예들은 일반적으로 일련의 제한들을 갖는 클러스터 툴에서 최대 생산성과 처리 일관성을 달성하도록 처리 시퀀스를 스케줄링하기 위한 장치 및 방법을 제공한다.
본 발명의 일 실시예는 처리 시퀀스를 제공하는 방법을 제공하며, 상기 방법은, 처리 시퀀스를 수행하기 위한 리소스들을 할당함으로써, 각각의 기판이 처리 시퀀스 내의 다수의 처리 단계의 각각을 시작하는 시작 시간을 포함하는 개별 스케줄을 결정하는 단계; 2개의 연속적인 기판의 시작 시간들 사이의 시간 지속기간(time duration)으로 정의되는 기본 주기를 계산하는 단계; 개별 스케줄과 기본 주기로부터 생성된 스케줄에서 리소스 충돌을 탐지하는 단계; 및 탐지된 리소스 충돌을 제거하기 위해 개별 스케줄을 조정하는 단계를 포함한다.
본 발명의 다른 실시예는 처리 시퀀스를 스케줄링하기 위한, 컴퓨터 프로그램을 포함하는 컴퓨터 판독가능 매체를 제공하며, 이는 프로세스에 의해 실행될 때, 처리 시퀀스를 수행하기 위한 리소스들을 할당함으로써, 각각의 기판이 처리 시퀀스 내의 다수의 처리 단계의 각각을 시작하는 시작 시간을 포함하는 개별 스케줄을 결정하는 단계; 2개의 연속적인 기판의 시작 시간들 사이의 시간 지속기간으로 정의되는 기본 주기를 계산하는 단계; 개별 스케줄과 기본 주기로부터 생성된 스케줄에서 리소스 충돌을 탐지하는 단계; 및 탐지된 리소스 충돌을 제거하기 위해 개별 스케줄을 조정하는 단계를 포함하는 동작들을 수행한다.
본 발명의 또 다른 실시예는 처리 시퀀스를 스케줄링하기 위한 방법을 제공 하며, 상기 방법은, 처리 시퀀스 내의 다수의 처리 단계들의 각각에 대해 대기 기간(waiting period)이 없는, 처리 스케줄을 생성하는 단계; 병목 리소스의 사용 지속기간(busy duration)에 따라서 기본 주기를 결정하는 단계; 기본 주기를 기초로 처리 스케줄에서 리소스 충돌을 탐지하는 단계; 및 탐지된 리소스 충돌을 제거하기 위해 처리 스케줄과 기본 주기 중 적어도 하나를 조정하는 단계를 포함한다.
본 발명의 실시예들은 일반적으로 다수-챔버 처리 시스템을 사용하여 기판들을 처리하기 위한 장치 및 방법을 제공한다. 더욱 구체적으로, 본 발명의 실시예들은 주어진 처리 시퀀스를 스케줄링하기 위한 방법을 제공한다. 본 발명의 스케줄링 방법은 시스템 내의 모든 기판들이 균일한 기판 성질을 보장하기 위해 처리 시퀀스의 각 단계에서 일관된 큐 시간을 갖도록 한다. 본 발명의 스케줄링 방법은, 주어진 처리 시퀀스를 수행하기 위한 클러스터 툴 내의 리소스들을 할당함으로써 스케줄을 결정하는 단계, 및 이송 움직임(transferring movement) 또는 병목 처리 단계의 길이에 따라서, 클러스터 툴로 보내는 2개의 연속하는 기판들 사이의 시주기(time period)인 기본 주기를 결정하는 단계를 포함한다. 본 방법은, 결정된 기본 주기를 사용하여 결정된 스케줄 내에 리소스 충돌을 검사하는 단계, 및 스케줄에 큐 시간을 추가하거나, 및/또는 기본 주기를 연장함으로써 임의의 리소스 충돌을 제거하는 단계를 더 포함한다.
리소스 충돌은, 주기적인 시스템으로 문제를 감소시키고, (0, T)의 시간 간격 내에 한 세트의 방정식을 풂으로써 탐지되고 제고되며, 여기서 T는 미리 결정된 기본 주기이다. 일 실시예에서, 게임 트리 알고리즘(game tree algorithm)이 리소스 충돌을 해결하는데 사용된다. 일 실시예에서, 게임 트리 트리밍(game tree trimming)의 효율적인 방법이 제 1 실행가능 해법을 찾는데 사용된다.
본 발명의 실시예들은 폴리 실리콘 생성 시퀀스와 함께 본원에서 설명된다. 도 1은 본 발명의 일 실시예에 따라서 반도체 처리를 위한 클러스터 툴(100)을 개념적으로 도시한다. 본원에 설명된 방법들은 처리 시퀀스를 수행하도록 구성된 다른 툴에서도 실행될 수 있음을 의도한다.
클러스터 툴(100)은 진공-밀폐 처리 플랫폼(vacuum-tight processing platform; 101) 및 공장 인터페이스(factory interface; 102)를 포함한다. 플랫폼(101)은 다수의 처리 챔버들(110, 108, 114, 112, 118, 116), 및 적어도 하나의 로드 락 챔버(load-lock chamber; 120)를 포함하며, 이들은 진공 기판 이송 챔버(103, 104)에 연결된다. 공장 인터페이스(102)는 로드 락 챔버(120)에 의해 이송 챔버(104)에 연결된다.
일 실시예에서, 공장 인터페이스(102)는 적어도 하나의 도킹 스테이션(docking station), 적어도 하나의 기판 이송 로봇(substrate transfer robot; 138), 및 적어도 하나의 기판 얼라이너(substrate aligner; 140)를 포함한다. 도킹 스테이션은 하나 이상의 FOUP(front opening unified pod; 128)를 수용하도록 구성된다. 2개의 FOUP(128A, 128B)는 도 1의 실시예에서 도시된다. 기판 이송 로봇(138)은 공장 인터페이스(102)에서 로드 락 챔버(120)로 기판을 이송하도록 구성된다.
로드 락 챔버(120)는 공장 인터페이스(102)와 연결된 제 1 포트, 및 제 1 이송 챔버(104)와 연결된 제 2 포트를 구비한다. 로드 락 챔버(120)는 이송 챔버(104)의 진공 환경과 공장 인터페이스(102)의 실질적으로 주변(예컨대 대기) 환경 사이에서 기판을 통과시키는 것을 용이하게 하기 위해 필요에 따라 챔버(120)를 펌프 다운(pump down)하고 배기(vent)하는 압력 제어 시스템에 연결된다.
제 1 이송 챔버(104)와 제 2 이송 챔버(103)는 각각 그 안에 배치된 제 1 로봇(107)과 제 2 로봇(105)을 구비한다. 2개의 기판 이송 플랫폼(106A, 106B)은 로봇들(105, 107) 사이에 기판 이송을 용이하게 하기 위해 이송 챔버(104) 내에 배치된다. 플랫폼들(106A, 106B)은, 이송 챔버들(103, 104)의 각각에 상이한 동작 압력들이 유지될 수 있도록 하기 위해서, 이송 챔버들(103, 104)에 대해 개방되거나, 또는 선택적으로 이송 챔버들(103, 104)로부터 격리(예컨대 밀폐)될 수 있다.
제 1 이송 챔버(104)에 배치된 로봇(107)은 로드 락 챔버(120), 처리 챔버들(116, 118), 및 기판 이송 플랫폼들(106A, 106B) 사이에서 기판들을 이송할 수 있다. 제 2 이송 챔버(103)에 배치된 로봇(105)은 기판 이송 플랫폼들(106A, 106B) 및 처리 챔버들(112, 114, 110, 108) 사이에서 기판들을 이송할 수 있다.
도 2는 상술된 클러스터 툴(100)과 같은 통합 클러스터 툴(intergrated cluster tool) 내의 기판 상에 유전체층들을 증착시키기 위한 처리 시퀀스(200)의 일 실시예에 대한 흐름도를 도시한다.
처리 시퀀스(200)는 클러스터 툴 내에 기판을 위치시키는 단계(202)에서 시작한다.
단계(204)에서, 유전체층은 기판 상에 증착된다. 유전체층은 금속 산화물일 수 있으며, ALD 공정, MOCVD 공정, 종래 CVD 공정 또는 PVD 공정에 의해 증착될 수 있다.
증착 공정 후에, 기판은 단계(205)에서 증착후 어닐링(PDA) 공정에 노출될 수 있다. PDA 공정은 RADIANCETM RTP 챔버와 같은 급속 어닐링 챔버에서 수행될 수 있다.
단계(206)에서, 유전체층은 유전체 물질의 밀도를 높이기 위해 불활성 플라즈마 공정에 노출시켜 플라즈마-처리된 층을 형성한다. 불활성 플라즈마 공정은 비활성 기체를 디커플드 플라즈마 질화(DPN) 챔버 안으로 유입시킴으로써 수행되는 디커플드 비활성 기체 플라즈마 공정을 포함할 수 있다.
단계(208)에서, 기판 상에 배치된 플라즈마-처리된 층은 열 어닐링 공정에 노출된다.
단계(210)에서, 게이트 전극층이 어닐링된 유전체층 상에 증착된다. 게이트 전극층은 다결정질-Si, 비정질-Si, 또는 LPCVD 챔버를 사용하여 증착되는 기타 적절한 물질일 수 있다.
표 1은 시퀀스(200)의 각각의 단계에 대한 레시피 시간과 챔버 요구조건을 도시한다.
처리 시퀀스
단계 챔버 레시피 시간 (초)
202 얼라이너(ALIGNER) 5
204 DPN+(A) 100
205 RTO 200
206 냉각(COOL DOWN) 120
207 DPN+(B) 100
208 PNA 180
210 POLY 생성(GENERATION) 150
본 발명의 방법들은 기판 일관성을 달성하고, 리소스 제한 내에 유지하면서 생산성을 최대화하는 처리 스케줄을 결정하는 것에 관한 것이다.
본 발명의 처리 스케줄은 개별 기판에 대한 스케줄(이하 개별 스케줄(individual schedule))과 연속적인 기판들 사이의 기본 주기(fundamental period)를 포함할 수 있다. 개별 스케줄은 기판의 첫 움직임에 대한 시작 시간과 관련하여, 기판의 각각의 처리 단계에 대한 시작 시간과 종료 시간을 포함한다. 기본 주기는 기판들이 클러스터 툴로 보내지는 비율을 나타낸다. 구체적으로, 기본 주기는 2개의 연속하는 기판들 사이의 시간 간격이다.
인자들은, 수행될 처리 시퀀스, 각각의 레시피 단계들을 실행하는데 걸리는 시간, 각각의 단계에서의 기판 큐 시간 제한들, 및 여러 챔버들 사이의 이송 시간들을 포함할 수 있는 처리 스케줄에 영향을 끼친다. 기판 큐 시간 제한은 통상적으로 시퀀스의 일부이며, 처리 레시피가 완료된 후 주어진 처리 단계의 주어진 챔버에서 기판이 대기하도록 허용된 최대 시간 양을 정의한다. 표 2는 처리 시퀀스(200)를 수행하는데 사용될 수 있는 관련 챔버들의 예시적인 기판 큐 시간 제한들을 나타낸다. 각각의 레시피 단계들을 실행하는데 걸리는 시간은 일반적으로 처리에 대한 타이밍 정보, 세정, 주기적인 세정 공정을 포함한다. 단순 시간을 기반으로 한 레시피들에 대해, 각각의 레시피 단계를 실행하는데 걸리는 시간은 레시피 단계들을 파싱(parsing)함으로써 계산될 수 있다. 종점(end point)을 기반으로 한 레시피들에 대해, 수행하는데 걸리는 평균 시간과 같은 통계적인 정보는 스케줄을 생성하는데 사용될 수 있다. 이송 시간은 실제적인 로봇 움직임 횟수, 및 스스로를 이송하는 것의 일부와 같은, 실행되는 임의의 다른 레시피들과 관련될 수 있는 일부의 오버헤드(overhead)이다.
표 2를 참조하면, 총 레시피 시간 범위는 주어진 처리 시퀀스, 본 경우에는 처리 시퀀스(200)에 따라서 해당하는 챔버에서 기판을 처리하기 위한 시간 범위를 나타낸다. 큐 시간 민감도는 처리 단계가 해당 챔버에서 완료된 후에 기판이 해당 챔버 내에서 가질 수 있는 최대 대기 기간을 나타낸다. 기판 핸들링 변동 한계(variation limit)는 희망하는 처리 일관성을 달성하기 위해 기판마다 큐 시간의 최대 변동을 나타낸다. 세정 주기(clean frequency)는 얼마나 자주 세정될 필요가 있는지를 나타낸다. 세정 시간은 세정 처리를 완료하는데 필요한 시간과 관계된다. 주기적인 세정을 필요로 하는 챔버에 대해, 세정 처리는 세정 요구의 주기와 길이에 따라 처리될 수 있다. DPN+(A) 및 DPN+(B)와 같이, 모든 기판에 대해 세정될 필요가 있는 챔버들에 대해, 세정 시간은 일반적으로 처리 시간에 추가된다. 추가적인 세정에 대한 고려는 도 8에서 기술된다.
큐 시간 제한들
챔버 총 레시피 시간 범위(초) 큐 시간 민감도(초) 기판 핸들링 변동 한계(초) 세정 주기(기판) 세정 시간(초)
DPN+(A) 40 - 120 4 시간 - 1 35
RTO 120 - 240 30 <5 - 0
냉각 120 30 30 - 0
DPN+(B) 60 - 120 30 30 1 35
PNA 120 - 240 30 <5 - 0
POLY 생성 120 - 180 60 30 100 5400
본 발명의 일 실시예에서, 본 발명의 처리 스케줄은 다음의 단계들에 의해 결정될 수 있다: 주어진 처리 시퀀스를 수행하기 위한 리소스들을 할당하는 단계, 초기 개별 스케줄을 결정하는 단계, 초기 기본 주기를 결정하는 단계, 초기 개별 스케줄과 초기 기본 주기에 대해 리소스 충돌을 검사하는 단계, 및 개별 스케줄에 기판 큐 시간을 추가하여 리소스 충돌을 제거하는 단계. 본 발명의 일 실시예에서, 처리 스케줄을 결정하는 단계는 리소스 충돌을 제거하기 위해 초기 기본 주기를 연장하는 단계를 포함할 수 있다.
리소스들의 할당과 초기 개별 스케줄의 결정
리소스들을 할당하는 단계는 일반적으로 클러스터 툴에 대해 챔버 배열을 설치(set up)하는 단계, 및 배열된 챔버들 사이에 기판들을 이송하기 위한 로봇들을 배치하는 단계를 포함한다.
챔버 배열은 처리 단계를 위한 챔버들의 위치, 및 챔버들의 수를 결정하는 단계를 포함할 수 있다. 챔버 배열은 수행될 처리 시퀀스, 각각의 레시피 단계에 걸리는 시간, 각 단계에서의 기판 큐 시간 제한들에 의해 영향을 받을 수 있다.
예를 들면, 클러스터 툴(100)은 처리 시퀀스(200)를 수행하도록 구성될 수 있다. 처리 시퀀스(200)를 용이하게 하기 위해 적절한 챔버들이 챔버들(108, 110, 112, 114, 116, 118) 중에서 선택될 수 있다. 예를 들면, 챔버들(116, 118)은 다결정 실리콘(POLY; poly crystalline silicon)을 증착하도록 구성된 화학기상증착(CVD) 챔버일 수 있다. 하나의 적절한 챔버는 Applied Materials, Inc로부터 이용가능한 POLYGen 챔버이다. 챔버들(108, 115)은 디커플드 플라즈마 질화(DPN; Decoupled Plasma Nitridation) 챔버일 수 있다. 챔버들(110, 112)은 급속 열처리(RTP; Rapid Thermal Process) 챔버일 수 있다. 하나 이상의 냉각 챔버(cool down chamber)가 기판 이송 플랫폼(106A, 106B) 위에 위치될 수 있다.
클러스터 툴(100) 내의 챔버들의 배열을 결정하면, 챔버들, 로드 락들 및 로봇들을 포함한 리소스들은 각 처리 단계와 단계들 사이의 이동을 위해 할당될 수 있다.
도 3A는 본 발명의 일 실시예에 따른 예시적인 처리 시퀀스의 흐름도를 개념적으로 도시한다. 도 3B는 도 1의 클러스터 툴에서 도 3A의 처리 시퀀스에 따라 처리되는 기판들의 경로를 개념적으로 도시한다. 도 3A를 참조하면, 단계들(S1-S13)은 처리 챔버, 이송 챔버 또는 로드 락 내에 머무르는 기판을 나타낸다. 이동들(moves; m1-m12)은 로봇에 의해 수행되는, 챔버들 사이의 기판 이동을 나타낸다. 이동들(m1-m12)은 도 3B에서 화살표로 추가로 도시된다.
표 3은 처리 시퀀스(200)에 대한 개별 스케줄을 나타낸다. 처리 시간(process time)은 기판이 리소스, 챔버 또는 로봇을 차지하고 있는 총 시간 지속기간을 나타낸다. 시작(starts)은 기판이 처음으로 클러스터 툴에 들어간 시간에 대한 리소스를 차지하기 시작한 시간을 나타낸다. 종료(ends)는 기판이 클러스터 툴에 들어간 시간에 대한 리소스에서 방출된 시간을 나타낸다. 초기 개별 스케줄의 어떠한 단계에도 큐 시간이 추가되지 않았다. 클러스터 툴에 다른 기판들이 없을 때, 기판은 이 스케줄을 따라 처리될 수 있을 것이다. 표 3에서 도시된 바와 같이, 기판이 처리 시퀀스(200)를 완료하는데 1233초가 걸린다. 오직 하나의 기판이 클러스터 툴에 있을 때, 임의의 시간에 오직 2개의 리소스들만이 사용되고 있으며, 나머지 리소스들은 사용되지 않는다. 아이들 시간(idle time)을 줄이고 생산성을 높이기 위해서, 제 1 기판이 클러스터 툴을 나오기 전에 제 2 기판이 클러스터 툴 안으로 제공될 수 있다. 제공된 2개의 기판들 사이의 시간 지속기간, 즉 기본 주기는 생산성을 최대화하기 위해 최소화될 수 있다.
초기 개별 스케줄
단계/이동 챔버/로봇 처리 시간(초) 시작(초) 종료(초)
S1 FOUP 0 0 0
M1 FI 로봇 22 0 22
S2 얼라이너 5 22 27
M2 FI 로봇 22 27 49
S3 로드 락 (A) 20 49 69
M3 전방 로봇 26 69 95
S4 이송 플랫폼 0 95 95
M4 후방 로봇 20 95 115
S5 DPN+(A) 135 (세정 포함) 115 250
M5 후방 로봇 20 250 270
S6 RTO 200 270 470
M6 후방 로봇 20 470 490
S7 냉각 120 490 610
M7 후방 로봇 20 610 630
S8 DPN+(B) 135 (세정 포함) 630 765
M8 후방 로봇 20 765 785
S9 PNA 180 785 965
M9 후방 로봇 20 965 985
S10 이송 플랫폼 0 985 985
M10 전방 로봇 20 985 1005
S11 POLY 생성 150 1005 1155
M11 전방 로봇 30 1155 1185
S12 로드 락 (B) 30 1185 1215
M12 FI 로봇 18 1215 1233
S13 FOUP 0 1233 1233
초기 기본 주기 결정
본 발명의 일 실시예에서, 초기 기본 주기는 클러스터 툴 내의 모든 리소스들 중에서 가장 긴 사용 지속기간에 따라서 결정될 수 있다. 리소스의 사용 지속기간(busy duration)은 시그널 기판(signal substrate)에 대한 처리 시퀀스에서 모든 단계들/이동들을 수행하는데 걸리는 총 시간으로 정의될 수 있다.
일 실시예에서, 각 리소스에 대한 사용 지속기간은, 처리 시퀀스 내의 모든 처리 단계들을 반복하고 각 처리 단계를 로드 시간, 언로드 시간, 처리 레시피 시간 및 세정 시간을 포함한 서브-파트들(sub-part)로 쪼갬으로써 계산될 수 있다. 그리고 각각의 서브-파트는 서브-파트들에 요구되는 리소스(또는 리소스들)에 할당된다.
챔버에 대해서, 만약 처리 시퀀스에서 챔버가 사용되는 모든 단계들에서 필요하다면, 사용 지속기간은 로딩 시간, 처리 레시피 시간, 언로딩 시간, 및 세정 시간을 포함할 수 있다. 적어도 2개의 챔버가 한 단계를 수행하도록 배열될 때, 사용 지속기간은 챔버들의 수로 나뉠 수 있다. 일 실시예에서, 챔버에 대한 사용 지속기간은 다음의 식을 사용하여 계산될 수 있다.
Figure 112008015506717-PAT00001
여기서, D[i]는 챔버(i)에 대한 사용 지속기간을, k는 챔버(i)가 사용되는 처리 단계들을, P[k]는 단계(k)의 처리 시간을, L[k]는 단계(k)의 로딩 시간을, U[k]는 단계(k)의 언로딩 시간을, C[k]는 단계(k)의 세정 시간을, n은 챔버(i)의 개수를 나타낸다. 합은 챔버(i)에서 실행되는 모든 단계들에 대해 이뤄진다.
로봇에 대해서, 로봇이 사용되는 모든 이동들의 픽업(pickup) 시간, 이송 시간, 드롭(drop) 시간을 포함할 수 있다. 일 실시예에서, 로봇에 대한 사용 지속기간은 다음의 식을 사용하여 계산될 수 있다.
Figure 112008015506717-PAT00002
여기서, D[j]는 로봇(j)에 대한 사용 지속기간을, l은 로봇(j)이 사용되는 이동들을, Pk[l]은 이동(l)의 픽업 시간을, Tr[l]은 이동(l)의 이송 시간을, Dr[l]은 이 동[l]의 드롭 시간을 나타낸다. 합은 로봇(j)에 의해 실행되는 모든 움직임에 대해 이뤄진다.
일 실시예에서, 초기 기본 주기는 챔버들과 로봇들을 포함한 모든 리소스들의 최대 사용 지속기간으로 설정될 수 있다.
일반적으로, 로봇에 대한 이동의 픽업 시간은 이전 단계의 언로딩 시간과 겹치며, 로봇에 대한 이동의 드롭 시간은 다음 단계의 로딩 시간과 겹친다. 또한, 챔버에 대한 단계의 로딩 시간은 이전 이동의 드롭 시간과 겹치고, 챔버에 대한 단계의 언로딩 시간은 다음 이동의 픽업 시간과 겹친다. 챔버들에 대한 지속 시간(duration time)의 계산을 간략화하기 위해서, 챔버 사용 지속기간은 이전 이동에 대해 필요한 시간, 다음 이동에 대해 필요한 시간, 처리 시간, 및 필요하다면 세정 시간을 포함할 수 있다. 표 4는 처리 시퀀스(200)를 수행하기 위한 클러스터 툴(100)의 리소스들에 대해 계산된 사용 지속기간을 나열한다. 표 4에서 도시된 바와 같이, 가장 긴 사용 지속기간은 240초이며, RTO 챔버에 해당한다. 또한, 초기 기본 주기는 본 발명의 일 실시예에 따라서 240초로 설정될 수 있다.
기본 주기 계산
리소스들 번호 사용 지속기간 계산
FOUP 1 40 M1+M12
얼라이너 1 47 S2+M1+M2
로드 락 (A) 2 73 (S3+M2+M3+S12+M11+M12)/2
이송 플랫폼 2 34 (S4+M3+M4)/2
DPN+(A) 1 175 S5+M4+M5
RTO 1 240 S6+M5+M6
냉각 2 80 (S7+M6+M7)/2
DPN+(B) 1 175 S8+M7+M8
PNA 1 220 S9+M8+M9
POLY 생성 2 100 (S11+M10+M11)/2
로드 락 (B) 2 39 (S12+M11+M12)/2
FI 로봇 1 62 M1+M2+M12
전방 로봇 1 76 M3+M10+M11
후방 로봇 1 120 M4+M5+M6+M7+M8+M9
리소스 충돌 검사
리소스 충돌이란 하나의 리소스가 하나 이상의 단계들에게 요구되거나 또는 동시에 이동하는 상황을 말한다. 리소스 충돌은, 클러스터 툴 내에 하나 이상의 기판이 있고, 하나 이상의 리소스들이 하나 이상의 단계 또는 이동들에서 사용되는 경우에 발생할 수 있다. 일반적으로, 로봇 충돌은 로봇들이 종종 처리 스케줄에서 다수의 이동들에 대해 사용되기 때문에 일반적이다. 그러나 리소스 충돌은 리소스들이 처리 시퀀스 내의 하나 이상의 단계에서 스케줄링된 경우에 처리 챔버들, 로드 락들, 및/또는 이송 챔버들에 대해 발생할 수 있다.
본 발명의 일 실시예에서, 주어진 개별 스케줄과 기본 주기에 따른 리소스 충돌은 주기 내의 각 단계/이동에 대한 상대 시작 시간(relative start time) 및 상대 종료 시간(relative end time)을 계산함으로써 검사될 수 있다.
일 실시예에서, 제 N 기판의 단계(i)의 상대 시작 시간(SRelative[i, N])과 상대 종료 시간(ERelative[i, N])은 다음과 같이 계산될 수 있다.
Figure 112008015506717-PAT00003
여기서, i는 단계/이동 번호를, N은 기판 순서 번호를, FP는 기본 주기를, S[i, N]은 제 N 기판의 단계(i)의 절대 시작 시간(absolute start time)을, E[i, N]은 제 N 기판의 단계(i)의 절대 종료 시간(absolute end time)을 나타낸다. S[i, N]과 E[i, N]은 아래의 식에 의해 계산될 수 있다.
Figure 112008015506717-PAT00004
여기서, i는 단계/이동 번호를, N은 기판 순서 번호를, FP는 기본 주기를, D[i]는 제 i 단계/이동의 사용 지속기간을 나타낸다.
일 실시예에서, 리소스 충돌은 여러 단계들/이동들에 대한 상대 시작 시간과 상대 종료 시간의 간격의 겹침을 탐지함으로써 탐지될 수 있다. 예를 들면, 만약 단계들(i 및 k)이 동일한 리소스를 요구하는 경우, 간격들((SRelative[i, N], ERelative[i, N]) 및 (SRelative[k, N], ERelative[k, N])의 겹침은 리소스 충돌을 나타낸다.
표 5는 240초의 기본 주기에 대한 표 4의 초기 개별 스케줄의 예시적인 리소스 충돌 결과를 나열한다. 표 5에서 도시된 것과 같이, 후방 로봇의 M9는 M5 및 M6과 충돌하고, FI 로봇의 M12는 M1 및 M2와 충돌한다.
주어진 기본 주기에 대한 리소스 충돌(기본 주기 = 240)
단계/이동 챔버/로봇 시작 종료 큐 시간 상대 시작 상대 종료 충돌
S1 FOUP 0 0 0 0 0
M1 FI 로봇 0 22 0 22
S2 얼라이너 22 27 0 22 27
M2 FI 로봇 27 49 27 49
S3 로드 락(A) 49 69 0 49 69
M3 전방 로봇 69 95 69 95
S4 이송 플랫폼 95 95 0 95 95
M4 후방 로봇 95 115 95 115
S5 DPN+(A) 115 250 0 115 10
M5 후방 로봇 250 270 10 30
S6 RTO 270 470 0 30 230
M6 후방 로봇 470 490 230 10
S7 냉각 490 610 0 10 130
M7 후방 로봇 610 630 130 150
S8 DPN+(B) 630 765 0 150 45
M8 후방 로봇 765 785 45 65
S9 PNA 785 965 0 65 5
M9 후방 로봇 965 985 5 25 제3의 M5, 제4의 M6
S10 이송 플랫폼 985 985 0 25 25
M10 전방 로봇 985 1005 25 45
S11 POLY 생성 1005 1155 0 45 195
M11 전방 로봇 1155 1185 195 225
S12 로드 락(B) 1185 1215 0 225 15
M12 FI 로봇 1215 1233 15 33 제5의M1,제5의M2
S13 FOUP 1233 1233 0 33 33
도 4는 표 5의 스케줄 표에 대한 레시피 다이어그램을 개념적으로 도시한다. 도 4에서 도시된 바와 같이, 6개의 기판이 시스템에서 처리된다. 각 기판은 기본 주기만큼 떨어져 시스템에 제공된다. 제 1 기판의 M9와 제 3 기판의 M6은 동시에 후방 로봇을 요구하며, 충돌(1)을 야기한다. 제 1 기판의 M9와 제 5 기판의 M5는 동시에 후방 로봇을 요구하며, 충돌(2)을 야기한다. 제 1 기판의 M12와 제 5 기판의 M1은 동시에 FI 로봇을 요구하며, 충돌(3)을 야기한다. 제 1 기판의 M12와 제 5 기판의 M2는 동시에 FI 로봇을 요구하며, 충돌(4)을 야기한다.
리소스 충돌 제거
본 발명의 일 실시예에서, 리소스 충돌은 충돌과 관계된 두 단계들 중 하나를 지연시키기 위해 큐 시간을 추가함으로써 제거될 수 있다. 일 실시예에서, 큐 시간은 두개의 충돌하는 단계들 중 나중 단계를 지연시키기 위해 추가될 수 있다.
표 6에서 도시된 바와 같이, M9와 M5, 및 M9와 M6 사이의 후방 로봇의 충돌은 단계(S9)에 25초의 큐 시간을 추가함으로써 제거된다. M9는 25초만큼 지연되며, 각 기판은, 큐 시간 이전의 1233초와 비교하여, 1258초 동안 시스템 내에 머무르도록 스케줄링 된다. 그러나 기본 주기가 240초로 유지되기 때문에, 시스템 생산성은 지연으로 인해 감소되지 않는다.
도 5는 표6에 나열된 업데이트된 스케줄 표에 대한 레시피 다이어그램을 개념적으로 도시한다.
큐 시간을 추가한 후의 스케줄 표
단계/이동 챔버/로봇 시작 종료 큐 시간 상대 시작 상대 종료 충돌
S1 FOUP 0 0 0 0 0
M1 FI 로봇 0 22 0 22
S2 얼라이너 22 27 0 22 27
M2 FI 로봇 27 49 27 49
S3 로드 락 (A) 49 69 0 49 69
M3 전방 로봇 69 95 69 95
S4 이송 플랫폼 95 95 0 95 95
M4 후방 로봇 95 115 95 115
S5 DPN+(A) 115 250 0 115 10
M5 후방 로봇 250 270 10 30
S6 RTO 270 470 0 30 230
M6 후방 로봇 470 490 230 10
S7 냉각 490 610 0 10 130
M7 후방 로봇 610 630 130 150
S8 DPN+(B) 630 765 0 150 45
M8 후방 로봇 765 785 45 65
S9 PNA 785 965 25 65 30
M9 후방 로봇 990 1010 30 50
S10 이송 플랫폼 1010 1010 0 50 50
M10 전방 로봇 1010 1030 50 70 제5의M3
S11 POLY 생성 1030 1180 0 70 220
M11 전방 로봇 1180 1210 220 10
S12 로드 락(B) 1210 1240 0 10 40
M12 FI 로봇 1240 1258 40 58 제5의M2
S13 FOUP 1258 1258 0 58 58
때로는 새로운 리소스 충돌이 추가된 큐로 인해 생성될 수 있다. 도 5에서 도시된 바와 같이, M10과 M3 사이에, 그리고 M12와 M2 사이에 새로운 충돌이 추가된 큐 시간의 결과로 생성된다. 본 발명의 일 실시예에서, 업데이트된 스케줄 표가 생성될 수 있고, 리소스 충돌은 업데이트된 스케줄 표에 대해 검사될 수 있으며, 추가 큐 시간이 추가된 큐 시간 후의 새로운 충돌을 제거하기 위해 도입될 수 있다. 일 실시예에서, 큐 시간들은 리소스 충돌이 사라질 때까지 개별 스케줄에 추가될 수 있다. 그러나 일부의 경우에서, 리소스 충돌은 큐 시간을 추가해서는 제거되지 않을 수 있으며, 또는 추가된 큐 시간은 (표 2에 도시된 제한들과 같은) 리소스들의 큐 시간 민감도 제한을 넘어설 수 있다. 큐 시간을 처리 단계들에 추가시켜 리소스 충돌들을 제거할 수 없는 경우에, 기본 주기는 연장될 수 있으며, 리소스 충돌은 연장된 기본 주기를 기반으로 한 초기 개별 스케줄에 대해 검사되고 제거될 수 있다.
도 6은 본 발명의 일 실시예에 따른 스케줄링 방법(400)의 흐름도를 도시한다. 스케줄링 방법(400)은 처리 시퀀스에 대한 스케줄을 찾도록 구성된다. 스케줄은 기판들 사이의 일관성을 유지하고 큐 시간 민감도와 같은 리소스들의 제한들을 고려하면서 최대 생산성을 보장한다. 스케줄링 방법(400)의 스케줄 결과는 개별 스케줄과 기본 주기를 포함하며, 여기서 개별 스케줄은 전체 처리 시퀀스 동안 클러스터 툴 내에서의 개별 기판들의 타임 테이블을 나타내며, 기본 주기는 2개의 연속하는 기판들의 시작 시간들 사이의 시간 간격을 나타낸다. 예시적인 스케줄은 표 3에서 도시된다.
스케줄링 방법(400)의 단계(410)에서, 초기 개별 스케줄은 처리 시퀀스에 대해 결정될 수 있다. 초기 개별 스케줄은 임의의 단계들 및 이동들에서 대기하는 시간 없이 클러스터 툴 내에서의 기판의 타임 테이블을 포함한다. 초기 개별 스케줄은 일반적으로 처리 시퀀스, 및 기판들이 처리될 클러스터 툴의 토폴로지(topology)에 따라서 결정된다.
단계(420)에서, 초기 기본 주기가 결정될 수 있다. 일 실시예에서, 초기 기본 주기는 챔버 또는 로봇과 같은 병목 리소스의 사용 지속기간과 같게 설정된다. 사용 지속기간을 계산하는 실시예들은 상술되었다. 초기 기본 주기를 병목 리소스의 사용 지속기간과 같게 설정하는 것은, 가능한 스케줄에 대한 조사가 가장 높은 생산성에서부터 시작한다는 것을 보장한다.
단계(430)에서, 스케줄 표는 초기 개별 스케줄과 초기 기본 주기를 기반으로 생성될 수 있다. 일 실시예에서, 스케줄 표는 기본 주기 내의, 각각의 리소스에 대한 타임 테이블을 포함할 수 있다. 예를 들면, 표 4에 관한 스케줄 표에서, 각각의 기본 주기(0, 240) 내에, FI 로봇은, (0, 22) 동안 M1, (27, 49) 동안 M2 그리고 (15, 33) 동안 M12를 수행해야 한다.
단계(430)에서, 리소스 충돌은 생성된 스케줄 표에 대해 검사된다. 일 실시예에서, 리소스 충돌은 기본 주기 내에서 모든 리소스의 타임 테이블의 겹침을 검사함으로써 결정될 수 있다. 예를 들면, 표 4의 FI 로봇의 타임 테이블은 M1/M11과 M2/M12 사이에서 겹침을 갖는다. 일 실시예에서, 리소스 충돌 검사는 처리 시퀀스 동안 적어도 두 단계들 및/또는 이동들에 요구되는 임의의 리소스들에 대해 수행될 수 있다.
만약 클러스터 툴 내의 모든 리소스들에 대해 스케줄 표에서 리소스 충돌이 발견되지 않는다면, 그 스케줄 표에 관한 개별 스케줄과 기본 주기는 문제에 대해 수용가능한 해결책이며, 본 방법은 처리를 위한 현재 개별 스케줄과 기본 주기를 출력하기 위해 단계(470)로 점프한다.
스케줄 표에 리소스 충돌이 존재하는 경우, 리소스 충돌은 단계(450)에서 개별 스케줄을 조정함으로써 제거될 수 있다. 일 실시예에서, 리소스 충돌들은 개별 스케줄에 큐 시간을 추가함으로써 제거될 수 있다. 일 실시예에서, 큐 시간은 리소스 충돌을 야기하는 단계들 중 하나를 지연시키기 위해 추가될 수 있다. 일 실시예에서, 게임 트리 알고리즘(game tree algorithm)이 리소스 충돌을 제거하기 위해 사용될 수 있다. 일 실시예에서, 개별 스케줄에 큐 시간을 추가할 때 리소스 제한들이 고려된다. 리소스 충돌을 제거하기 위한 상세한 방법은 도 7을 참조로 설명된다.
단계(450)는 결과를 출력한다. 단계(460)에서, 단계(450)로부터의 출력이 조사된다. 만약 개별 스케줄을 조정하여 리소스 충돌이 제거되었다면, 스케줄링 방법은 단계(470)로 점프하고, 업데이트된 개별 스케줄과 현재 기본 주기를 출력한다. 그러나 만약 개별 스케줄을 조정하여 리소스 충돌을 제거할 수 없었다면, 스케줄링 방법은 단계(480)로 진행한다.
단계(480)에서, 현재 기본 주기가 연장된다. 일 실시예에서, 기본 주기는 미리 정해진 증분 내에서 연장 될 수 있다. 기본 주기를 연장함으로써, 스케줄링 방법은 더 낮은 생산성을 갖는 도메인(domain)에서 가능한 해결책을 찾는다.
단계(490)에서, 업데이트된 스케줄 표는 연장된 기본 주기와, 큐 시간이 추가되지 않은 초기 기본 스케줄로부터 생성된다. 그리고 스케줄링 방법은 리소스 충돌을 검사하는 단계(440)로 진행한다.
상기와 같이, 스케줄링 방법(400)은 주어진 처리 시퀀스에 대해 기판 일관성과 최대 생산성을 갖는 스케줄을 제공한다.
게임 트리 알고리즘(Game Tree Algorithm)
본 발명의 일 실시예에서, 스케줄 테이블 내의 충돌을 제거하기 위해 스케줄링 방법(400)과 같이 게임 트리 알고리즘이 사용될 수 있다.
게임 트리의 개념은, 시스템이 주어진 게임에서 승리할 수 있도록 최상의 가능한 움직임을 결정하기 위해 게임 이론(gaming theory)에서 사용된다. 게임 트리는 방향성 비순환 그래프(directed acyclic graph)이며, 여기서 비순환 그래프 내의 각각의 노드는 예컨대 개별 스케줄 및 기본 주기와 같은 시스템의 상태이며, 각각의 에지는 예컨대 개별 스케줄 또는 기본 주기에 대한 변화와 같은 이동(move)을 나타낸다. 한 쌍의 노드 및 그 한 쌍의 노드를 연결하는 에지는, 이동이 실행될 때 시스템에서 발생하는 차이 변화(differential change)로 보일 수 있다. 게임의 각 단계에서 최상의 가능한 이동은 게임 트리를 탐색함으로써 선택된다.
게임 트리는 조합 문제들(combinatorial problems)을 해결하는데 사용되는 데이터 구조로 보일 수 있다. 이러한 특별한 경우의 스케줄링에서, 로봇들과 같은 리소스들의 충돌은 둘 이상의 단계들이 겹치는 경우 단계의 시작 시간과 종료 시간을 재배열함으로써 해결될 수 있다. 시스템이 해결책을 향해 만들어야하는 다음 이동은 리소스 충돌을 최소화하기 위한 재배열의 최상의 순서로 선택될 수 있다. 그러나 알고리즘은 계승 차수(factorial order)로 구성되기 때문에, 고려해야 하는 이동의 수가 많은 경우, 필요한 계산상 리소스는 매우 크다. 따라서 고려해야 하는 가능성(possibility)의 수를 줄이는 효과적인 방법은 이러한 해결방법을 실현 가능하게 만드는데 필수적이다. 고려해야 하는 가능성의 수를 줄이는 것을, 게임 트리 트리밍(trimming)이라 한다. 본 발명의 일 실시예에서, 트리 트리밍은 가능성의 상당한 수를 제거하기 위해 최대 큐 시간 제한을 사용함으로써 달성될 수 있다. 최대 큐 시간 제한은 미리 정해진 시간일 수 있으며, 목표 처리 시퀀스(target process sequence)와 함께 주어질 수 있다. 또한 최대 큐 시간은, 만약 미리 정해지지 않았다면, 기본 주기를 기초로 한 스케줄에 의해 선택될 수 있다. 임의의 주어진 리소스에 대해서, 최대 큐 시간은 다음의 식을 사용하여 선택될 수 있다.
최대 큐 시간(Max Queue Time) = Min(병목 리소스의 사용 지속기간 - (관심 리소스의 사용 지속기간), 시퀀스 내의 사용자 정의 값)
본 발명의 일 실시예에서, 게임 트리는 제 1 실현가능 해결책을 찾는데 사용된다. 최상의 해결책 대신에, 제 1 실현가능 해결책을 선택함으로써, 문제는 매우 간단해진다. 스케줄러의 목표는 생산성을 최대화하는 것이다. 일단 기본 주기가 설정되면, 큐 시간을 각 단계에 추가하여서는 생산성 값이 바뀔 수 없으며, 이는 큐 시간이 상기 도시된 최대 큐 시간 식에 의해 제한되므로 추가된 큐 시간이 병목 기간(bottle-neck duration)을 변화시키지 않기 때문이다. 그러므로 리소스 충돌을 해결하는 문제는 생산성을 최대화하는 것과 직교(orthogonal)한다. 최상의 해결책이 탐색되었다면, 이는 각 단계에서 요구되는 큐 시간을 최소화한 해결책일 것이다. 그러나 이것은 오랫동안 러닝중인 제품 배치들에 대해 매우 작은 양의 이득만을 초래할 것이다. 따라서 효율성의 관점에서, 제 1 실현가능한 솔루션은, 이를 찾은 경우, 탐색 결과(end of search)를 결정한다.
상기 언급된 간략화에 의해, 알고리즘은 완성된 탐색 트리(search tree)를 생성할 필요가 없다. 본 발명의 방법은 탐색 트리의 생성을 시작하고, 최대 큐 시 간 제한을 위반하거나, 가지(branch)가 순환 의존(cyclic dependency)을 초래, 즉 리소스 충돌을 순환한다면, 그 일부의 가지를 제거한다. 모든 충돌을 해결하는 탐색 트리의 제 1 가지를 찾으면, 알고리즘은 종료하고 이를 해결책으로 사용한다.
본 발명에 따른 게임 트리는 제 1 방법으로 생성될 수 있다. 스케줄러는 모든 처리 단계들/이동들을 반복하고, 각 단계/이동의 실행을 위한 리소스들을 할당한다. 다수의 리소스들이 한 단계를 실행하는데 이용되는 경우에, 스케줄러는 균일한 부하 분배를 달성하기 위해 이용가능한 리소스들 사이에 멀티플렉싱(multiplexing) 한다. 리소스를 할당한 후에, 스케줄러는 임의의 리소스 충돌이 존재하는지를 검사한다. 순화적인 리소스 충돌을 확인하기 위해, 스케줄러는 해결되었던 모든 리소스 충돌에 대한 이력을 보존한다. 임의의 단계를 지연시키기 전에, 스케줄러는 동일한 리소스 충돌이 과거에 해결되었는지를 알기 위해 충돌 이력표를 조사한다. 리소스 충돌이 과거에 해결되었다면, 스케줄러는 변경을 거부하고, 다른 실현가능한 해결책을 찾으려고 시도한다. 게임 트리를 트리밍하기 위해서, 계산된 지연을 주어진 단계에 대한 최대 큐 시간 제한들과 비교하다. 제한 조건이 위반되지 않은 경우에만 단계가 지연된다.
단계들(I 및 K) 사이의 리소스 충돌을 해결하기 위해서, 스케줄러는 이러한 리소스 충돌을 제거하기 위한 2가지 방법, 즉 단계(I)를 지연시키는 방법과 단계(K)를 지연시키는 방법을 갖는다. 2가지 해결책은 게임 트리에서 2가지 다른 가지를 초래한다. 본 발명의 일 실시예에서, 스케줄러는 우선 더 높은 상대 시작 시간을 갖는 단계를 지연시키려고 시도한다. 만약 단계(K)가 단계(I)보다 더 높은 상대 시작 시간을 갖는다면, 스케줄러는 단계(K)를 먼저 지연시키기 위해 큐 시간을 추가하려고 시도한다. 만약 충돌 이력표가 동일한 충돌을 갖지 않고 최대 큐 시간 제한들이 만족된다면, 새로운 자 노드(child node)가 생성되고 스케줄러 표는 단계(K) 내의 새로운 지연을 반역하도록 조정된다. 만약 단계(K)가 Δ초만큼 지연되었다면, 단계(K) 후의 모든 단계, 즉 단계(K+1)에서 단계(N)까지의 시작 시간들도 동일한 Δ만큼 증가된다는 것에 주의해야 한다(여기서 N은 단계들의 총 수이다).
리소스 충돌을 해소한 후에, 스케줄러는 큐 시간이 추가된 후의 업데이트된 스케줄 표에서 리소스 충돌을 탐색한다. 리소스 충돌은 리소스 충돌을 제거하기 위해 도입된 지연으로 인해 생성될 수도 있으며, 이미 존재하던 것일 수도 있다.
만약 단계(K)가 임의의 제한 위반으로 인해 지연될 수 없다면, 스케줄러는 단계(I)를 지연시키려고 시도함으로써 다음 가지로 이동한다. 이것은 충돌 후진(conflict reversal)으로 언급될 수 있다. 단계(J)를 지연시키는 방법과 단계(I)를 지연시키는 방법의 모든 가능성이 거부된다면, 스케줄러는 게임 트리의 위로 이동하고, 모 노드(parent node)의 충돌로 후진한다(reverse). 각각의 리소스 충돌이 정확히 2가지 방법으로 해소될 수 있기 때문에, 충돌을 해소하기 위한 시도의 수의 카운트(count)가 사용될 수 있다. 만약 카운트가 2를 초과한다면, 현재 노드에서는 해결책이 없는 것이고, 스케줄러는 게임 트리의 위로 이동하여 가지 내의 다른 충돌들을 시도하고 후진한다.
모든 단계들에서 리소스들이 할당되었고 충돌이 없는 경우, 해결책은 수용되 고 각 단계에서의 지연들은 스케줄러에 의해 사용된다.
일부의 경우에는, 리소스 충돌들의 세트에 대한 해결책이 없다. 게임 트리 방법은 해결책 없음으로 복귀하고, 스케줄러는 작은 델타(delta)만큼 기본 주기를 증가시키고, 연장된 기본 주기를 사용하여 스케줄 표에서 상대 시간들을 재생성한다.
도 7은 본 발명의 일 실시예에 따라서 리소스 충돌을 제거하기 위한 방법(500)의 흐름도를 도시한다. 방법(500)은 주어진 처리 시퀀스와 주어진 기본 주기에 대해 리소스 충돌을 제거하기 위한 제 1 실현가능 해결책을 탐색하도록 구성된다. 상술된 게임 트리 이론은 방법(500)에서 사용된다. 방법(500)은 도 6의 스케줄링 방법(400)의 단계(450)에서 사용될 수 있다.
단계(502)에서, 개별 스케줄과 기본 주기를 기초로 한 스케줄이 제공된다. 스케줄은 리소스 충돌을 갖는다. 일 실시예에서, 리소스 충돌은 스케줄로부터 탐지될 수 있다.
단계(504)에서, 현재 충돌, 즉 제거될 리소스 충돌은 스케줄의 제 1 리소스 충돌로 설정된다. 일 실시예에서, 제 1 리소스 충돌은 개별 스케줄의 타임 테이블에서 제 1 충돌 인카운터(encounter)에 의해 정의될 수 있다. 예를 들면 도 4의 충돌(1)이다.
단계(506)에서, 충돌 이력은 현재 충돌이 이전에 해결되었는지를 검사하기 위해 탐색될 수 있다.
만약 현재 충돌이 충돌 이력이 존재하지 않는다면, 현재 충돌은 단계(508)에 서 충돌 이력에 추가된다.
단계(510)에서, 현재 충돌을 제거하기 위한 제 1 해결책이 시도된다. 단계(510)는 현재 충돌을 제거하기 위해서 더 높은 시작 시간을 갖는 단계를 지연하도록 요구된 큐 시간의 계산을 포함할 수 있다. 일 실시예에서, 현재 충돌에 관한 카운터는 1로 설정되며, 현재 충돌을 해소하기 위해 시도된 횟수를 표시한다.
단계(512)에서, 계산된 큐 시간은 최대 큐 시간 제한들과 비교될 수 있다. 일 실시예에서, 리소스의 최대 큐 시간 제한은 사용자 정의 제한의 최소값, 및 병목 리소스의 사용 지속기간과 리소스의 사용 지속기간 간의 차이에 의해 정의될 수 있다.
만약 계산된 큐 시간이 최대 큐 시간 제한 내에 있다면, 현재 개별 스케줄은, 단계(514)에서 도시된 것과 같이 현재 충돌의 더 높은 시작 시간을 갖는 단계를 지연시키기 위해 계산된 큐 시간을 추가함으로써 업데이트될 수 있다.
단계(516)에서, 충돌은 업데이트된 개별 스케줄과 현재 기본 주기에 대해 검사될 수 있다. 충돌이 없다면, 방법(500)은 해결책을 찾은 것이다. 현재 개별 스케줄과 현재 기본 주기는 단계9520)에서 해결책으로써 출력될 수 있다. 그러나 단계(516)에서 충돌이 탐지된다면, 방법은 단계(518)로 진행하며, 현재 충돌은 업데이트된 스케줄에서 제 1 충돌로 설정된다. 그리고 방법은 단계(5060)로 역행하여 점프한다.
다시 단계(512)를 참조하면, 만약 계산된 큐 시간이 최대 큐 시간 제한의 요구사항들을 만족하지 않는다면, 알고리즘은 단계(522)로 점프한다.
단계(522)에서, 현재 충돌은 후진되며, 여기서 더 낮은 시작 시간을 갖는 단계를 지연시키고 현재 충돌을 제거하기 위해 요구된 큐 시간이 계산된다. 일 실시예에서, 현재 충돌에 관한 카운터는 2로 설정되며, 현재 충돌을 해소하기 위해 시도된 횟수를 표시한다.
단계(524)에서, 단계(522)에서 계산된 큐 시간은 최대 큐 시간 제한과 비교된다. 만약 계산된 큐 시간이 최대 큐 시간 제한을 만족한다면, 방법은 현재 개별 스케줄을 업데이트하기 위해 단계(514)로 점프한다. 그러나 만약 계산된 큐 시간이 최대 큐 시간 제한을 만족하지 않는다면, 현재 충돌은 단계(526)에서 충돌 이력에서 제거된다.
단계(528)에서, 충돌 이력이 검사된다. 만약 충돌 이력이 비어있다면, 방법은 단계(532)로 점프한다. 단계(532)에서, 현재 기본 주기는 거절되고, 방법은 리소스 충돌을 제거하기 위해 해결책 없음으로 복귀한다.
만약 충돌 이력이 비어있지 않다면, 방법은 단계9530)으로 진행하고, 현재 충돌은 롤백(roll back)되고, 충돌 이력 내에 저장된 마지막 제거된 충돌로 설정된다. 방법은 단계(521)로 점프한다.
단계(521)에서, 현재 충돌과 관련된 카운터가 검사된다. 2인 카운터는 현재 충돌이 2번 해결되었다는 것을, 즉 전진(forward) 해결책(지연된 더 높은 단계)과 후진(reverse) 해결책(지연된 더 낮은 단계)을 나타낸다. 1인 카운터는 오직 전진 해결책만 시도되었다는 것을 나타낸다. 단계(521)에서, 만약 카운터가 2라면, 방법은 현재 충돌을 충돌 이력에서 제거하고 하나 이상의 단계를 롤백하기 위해 단 계(526)로 점프한다. 만약 카운터가 1이라면, 방법은 현재 충돌을 후진하도록 시도하기 위해서 단계9522)로 진행한다.
단계(506)를 다시 참조하면, 만약 현재 충돌이 충돌 이력 내에 있다면, 방법은 전진 해결책과 후진 해결책 모두가 시도되었는지를 결정하기 위해 단계(521)로 점프한다.
주기적 세정
주기적 세정은 처리 시퀀스 내의 단계에서 모든 W 기판들에 대해 수행되는 레시피이다. 주기적 세정이 모든 기판에 대해 실행되지 않기 때문에, 이들은 일반 스케줄 처리에 포함될 수 없다.
본 발명의 일 실시예에서, 주기적 세정은 스케줄링의 특별한 경우로써 취급된다. 주기적 세정은 오직 기본 주기를 계산하는데 사용된다. 병목 리소스의 사용 지속기간 또는 기본적 주기는 2개의 연속하는 기판들의 시작 시간들 사이에 경과된 시간으로써 보일 수 있다. 계산된 기본 주기는 클러스터 툴 안으로 기판들을 공급하는데 사용되기 때문에, (단일 단계를 위해 할당되고, 단일 단계를 위한 유일한 챔버인) 각각의 챔버는 매 계산된 기본 주기마다 기판을 수용한다. 만약 챔버가 계산된 기본 주기 내에 기판 처리를 완료할 수 있다면, 챔버는 충돌하지 않을 것이다. 챔버 내의 기판 처리는 일반적으로 레시피 시간, 기판 이송 시간 및 챔버에 요구되는 임의의 후처리를 포함한다. 일 실시예에서, 스케줄러는 주기적 세정 레시피 시간을 포함하여 기본 주기를 계산하며, 다음 기판이 도착하기 전에 주기적 세정도 챔버에서 완료될 수 있다.
본 발명의 일 실시예에서, 주기적 세정은 기본 주기를 계산할 때 고려되지 않는다. 그 후 스케줄러는 주기적 세정을 필요로 하는 시스템 내의 모든 챔버에 대해 반복하고, 주기적 세정이 기판 처리 시간, 기판 이송 시간 및 임의의 추가된 큐 시간 외에 계산된 기본 주기 내에 완료될 수 있는 지를 시험한다. 만약 다음 기판이 도착하기 전에 주기적 세정이 완료될 수 있다면, 계산된 기본 주기는 스케줄링에 대해 사용될 수 있다.
만약 다음 기판이 도착하기 전에 주기적 세정이 완료될 수 없다면, 주기적 세정이 증가된 기본 주기 내에 완료될 수 있도록 기본 주기가 증가된다.
단계에서 하나 이상의 기판 사용되는 경우에, 스케줄러는 그 단계에서 챔버들의 사용에 시간차를 두는 것(staggering)을 고려한다. 임의의 주어진 시간에, 주기적 세정이 시작(triggering)될 때까지, 오직 하나의 챔버만이 모든 기판들에 대해 사용된다. 주기적 세정 상태에 도달하면, 시퀀서는 제 1 챔버가 주기적 세정 레시피를 동작하는 동안 기판을 그룹 내의 다른 챔버에게 보내는 것을 시작한다. 따라서 N개의 챔버들이 사용되는 단계에서, 임의의 주어진 순간에, (N-1)개의 챔버는 주기적 세정을 실행할 수 있으며, 동시에 챔버들 중 하나는 기판들을 처리한다. 이는 스톨(stall)없는 실행을 보장한다. 그러나 만약 주기적 세정 레시피가 너무 길어서 기본 주기의 N배 내에 완료될 수 없다면, 주기적 세정은 시스템의 스톨을 야기할 것이다. 스톨 시간은 다음과 같다:
스톨 시간(Stall Time) = (주기적 세정 레시피 시간) - N * 기본 주기;
스케줄러는 모든 N+1개의 기판들에 대해 계산된 스톨 시간의 지속기간동안 멈춘다(stall).
도 8은 본 발명의 실시예에 따라서 주기적 세정 스케줄을 결정하기 위한 방법(600)의 흐름도를 도시한다.
단계(602)에서, 주기적 챔버 세정을 필요로 하는 모든 단계에 대해, 단계(600)는 사나 이상의 챔버가 사용되었는지를 검사한다.
만약 주기적 세정을 필요로 하는 단계에 대해 오직 하나의 챔버만이 존재한다면, 방법은 단계(604)에서 주기적 세정이 기판 처리 시간, 기판 이송 시간, 및 임의의 부가된 큐 시간을 부가한 기본 주기 내에 완료될 수 있는지를 검사한다.
만약 주기적 세정이 처리 시간, 이송 시간 및 추가된 큐 시간을 부가한 기본 주기 내에 완료될 수 있다면, 스케줄러는 단계(606)에서 도시된 바와 같이 기본 주기 내에 수행되도록 주기적 세정을 설정한다.
그러나 주기적 세정이 처리 시간, 이송 시간 및 추가된 큐 시간을 부가한 기본 주기 내에 완료될 수 없다면, 스케줄러는 단계(608)에서 도시된 바와 같이 주기적 세정이 기본 주기 내에 수행될 수 있도록 기본 주기를 연장한다.
단계(602)를 다시 참조하면, 주기적 세정을 필요로 하는 단계에 대한 챔버가 하나 이상 존재한다면, 스케줄러는 세정 시간이 기본 주기의 N배보다 더 긴지를 결정하기 위해서 단계(612)로 점프하며, 여기서 N은 단계를 수행할 수 있는 챔버의 수이다.
만약 세정 시간이 기본 주기의 N배보다 짧다면, 주기적 세정은 현재 기본 주기를 사용하여 배열될 수 있다.
만약 세정 시간이 기본 주기의 N배보다 길다면, 스케줄러는 주기적 세정을 수행할 N개의 기판마다 스톨 시간을 계산하기 위해 단계(614)로 점프한다.
실행시간(runtime) 변동(variation) 및 동적 스케줄러 조정
본 발명의 일 실시예에서, 정적 스케줄(static schedule)은 (클러스터 툴에서 처리 시퀀스를 제어하는) 시퀀서가 시작하기 전에 생성되고, 클러스터 툴 내에서 움직임을 결정하기 위한 입력으로서 사용된다. 임의의 기파 이송 작업을 시작하기 전에, 시퀀서는 충돌을 피하기 위해 임의의 추가적인 지연이 필요한지를 알기 위해 스케줄러에게 질의한다. 그러나 레시피를 실행하는데 걸리는 실제 시간이 변할 수 있기 때문에, 특히 종점(end point) 기반 레시피의 경우에, 스케줄러도 처리 시퀀스가 실행되는 동안 시스템을 모니터링 한다. 그리고 스케줄러는 실제 시간을 기반으로 정적 스케줄러에서 계산된 지연들을 조정한다. 예를 들면, 단계(k)의 시작 시간은 시간=100초일 때이었고, 단계 후의 지연은 정적 스케줄에서 30초였다. 기판 이송 시간 변동으로 인해 기판이 시간=102초에 챔버에 도착한다면, 스케줄러는 기판이 레시피들을 완료한 후에 오직 28초만 대기하도록 시퀀서를 조정할 것이다.
예시
처리 시퀀서를 스케줄링 하기 위해 본 발명의 방법들을 사용하는 간단한 예시가 제공된다. 단일 클러스터 툴은 3개의 챔버(CH1, CH2, CH3)를, 챔버들(CH1, CH2, CH3) 사이에 모든 기판들의 이송을 수행하는 단일 블레이드 로봇(blade robot; R1)과 함께 구비한다. 2개의 로드 락들(LLA, LLB)은 단일 클러스터 툴의 안으로 그리고 밖으로 기판들을 이동시키는데 사용된다. 단일 블레이드 공장 인터페이스 로봇(FI)은 카세트들(cassettes) 및 로드 락들(LLA, LLB) 사이의 이송에 사용된다.
입력 시퀀스 및 레시피 시간들은 표 7에 도시된다. 표 8은 계산들, 상대 시작 및 종료 시간, 및 로봇(R1)에서의 이동들의 충돌을 도시한다.
입력 시퀀스
단계 번호 챔버 레시피 시간
1 LLA 20
2 CH1 30
3 CH2 30
4 CH3 30
5 LLB 20
스케줄러 표
단계 번호 챔버/로봇 레시피 시간 이송 시간 단계 시작 단계 종료 이동 시작 이동 종료 상대 시작 상대 종료 기타
1 CASS 0 0 0 0 0
FI 로봇 10 0 10 0 10
2 LLA 20 10 30 10 30
R1 22 30 52 30 52 이동(5)과 충돌
4 CH1 30 52 82 52 82
R1 30 82 112 82 8 이동(6)과 충돌
5 CH2 30 112 142 8 38
R1 30 142 172 38 68
6 CH3 30 172 202 68 98
R1 22 202 224 98 16
7 LLB 20 224 244 16 36
FI 로봇 10 244 254 36 46
8 CASS 0
(상대 시작 및 종료는 표 9의 기본 주기로부터 계산된다.)
기본 주기 계산
번호 리소스 사용 지속기간 기타
1 CASS 20 이동(1)시간 + 이동(7)시간
2 FI 로봇 20 위와 동일
3 LLA 52 단계(2)레시피시간 + 이동(1)시간 + 이동(2)시간
4 CH1 82
5 CH2 90
6 CH3 82
7 LLB 52
8 R1 104 이동(2) 내지 이동(6)에 대한 이동시간들의 합
병목 리소스 R1 104 최대 사용 지속기간
표 8의 상대 시간들(Relative Times)은 표 9에서 계산된 기본 주기를 기초로 계산된다. "기타(Remarks)" 열에 도시된 바와 같이, 스케줄을 완료하기 위해 해소되어야만 하는 2개의 충돌이 있다. 해결책이 발견되어 표 10에서 도시된다.
해결책
단계 번호 챔버/로봇 레시피 시간 이송 시간 단계 시작 단계 종료 큐 시간 이동 시작 이동 종료 상대 시작 상대 종료
1 CASS 0 0 0 0 0 0
FI 로봇 10 0 10 0 10
2 LLA 20 10 30 0 10 30
R1 22 30 52 30 52
4 CH1 30 52 82 0 52 82
R1 30 82 112 82 8
5 CH2 30 112 142 14 8 38
R1 30 156 186 52 82
6 CH3 30 172 202 0 82 8
R1 22 216 238 8 30
7 LLB 20 224 244 0 30 50
FI 로봇 10 258 270 50 60
8 CASS 0
기본 주기 = 104초, 생산성 = 34.6 기판/시간
본 발명의 실시예들은 처리 시퀀스를 수행하도록 구성된 클러스터 툴을 제어하기 위해 컴퓨터 시스템과 함께 사용되는 프로그램 제품으로 구현될 수 있다. 프로그램 제품의 프로그램(들)은 본 발명의 실시예들의 기능들을 정의하고 다양한 신호-포함 매체(signal-bearing media) 상에 포함될 수 있다. 예시적인 신호-포함 매체는, 이들로 제한되는 것은 아니지만, (i) 기록할 수 없는 저장 매체(예컨대, CD-ROM 드라이브에 의해 판독될 수 있는 CD-ROM 디스크와 같은 컴퓨터 내의 판독-전용(read-only) 메모리 장치들) 상에 영구적으로 저장된 정보; (ii) 기록 가능 저장 매체(예컨대 디스크 드라이브 또는 하드-디스크 드라이브 내의 플로피 디스크들) 상에 저장된 변경 가능 정보; 또는 (iii) 예컨대 무선 통신을 포함하여 컴퓨터 또는 전화망과 같은 통신 매체에 의해 컴퓨터에 전송될 수 있는 정보를 포함한다. 후자의 실시예는 특히 인터넷과 다른 네트워크로부터 다운로드된 정보를 포함한다. 상기 신호-포함 매체는, 본 발명의 기능들을 지시하는 컴퓨터-판독가능 명령어들을 수행할 때, 본 발명의 실시예들을 나타낸다.
일반적으로, 본 발명의 실시예들을 구현하도록 실행되는 루틴들(routines)은 운영 시스템 또는 특정 어플리케이션, 컴포넌트, 프로그램, 모듈, 객체, 또는 명령어들의 시퀀스일 수 있다. 보다 구체적으로, 본 발명의 실시예들을 구현하도록 실행되는 루틴들은, 예를 들어, 초기 프로그램 로드(IPL) 시간에 트리거링되는 자동화된 스크립트들의 일부일 수 있다. 본 발명의 컴퓨터 프로그램은 통상적으로 네이티브(native) 컴퓨터에 의해 기계-판독가능 포맷으로 번역되어 실행가능한 명령어가 될 다수의 명령어들로 구성된다. 또한 프로그램들은 변수들 및 데이터 구조들로 구성되며, 이들은 프로그램에 로컬로 상주하거나 메모리 또는 저장 장치들에서 찾을 수 있다. 또한, 이후에 기술될 다양한 프로그램들은 본 발명의 특정 실시예에서 구현되는 어플리케이션을 기초로 식별될 수 있다. 그러나 임의의 특정 프로그램 명칭(nomenclature)은 이후에 단지 편의상 사용되는 것으로 파악되어야만 하며, 따라서 본 발명은 상기 명칭에 의해 식별되거나 암시되는 임의의 특정 어플리케이션에서 단독으로 사용되는 것으로 제한되어서는 안 된다.
게이트 폴리 실리콘을 생성하기 위한 클러스터 툴만이 본 출원에서 기술되었지만, 본 발명은 처리 단계들의 시퀀스가 수행될 수 있는 다른 처리 툴에도 적용될 수 있다. 본 기술분야의 당업자는 적절한 환경 하에서 본 발명을 채용할 수 있다.
전술한 내용은 본 발명의 실시예들에 대한 것이지만, 본 발명의 다른 그리고 추가 실시예들도 본 발명의 기본적인 범위에서 벗어나지 않고 만들어질 수 있으며, 본 발명의 범위는 다음의 청구범위에 의해 결정된다.
본 발명의 상술된 특징들이 잘 이해될 수 있기 위한 방법으로써, 위에서 간단히 용약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 이뤄질 수 있으며, 일부의 실시예들은 첨부된 도면에서 도시된다. 그러나 첨부된 도면들은 단지 본 발명의 전형적인 실시예를 도시하는 것이며, 따라서 본 발명은 기타 동등한 효과를 갖는 실시예들을 포함할 수 있기 때문에, 본 발명의 범위를 제한하는 것으로 생각되어져서는 안 된다는 것에 주의해야 한다.
도 1은 본 발명의 일 실시예에 따라서 반도체 처리를 위한 클러스터 툴을 개념적으로 도시한다.
도 2는 게이트 스택(gate stack)을 증착하기 위한 처리 시퀀스의 흐름도를 도시한다.
도 3A는 본 발명의 일 실시예에 따라서 예시적인 처리 시퀀스의 흐름도를 개념적으로 도시한다.
도 3B는 도 1의 클러스터 툴에서 도 3A의 처리 시퀀스로 처리되는 기판들의 경로를 개념적으로 도시한다.
도 4는 큐 시간 없는, 도 3A의 처리 시퀀스의 스케줄 표에 대한 레시피 다이어그램을 개념적으로 도시한다.
도 5는 본 발명의 일 실시예에 따라서 도 4의 업데이트된 스케줄 표에 대한 레시피 다이어그램을 개념적으로 도시한다.
도 6은 본 발명의 일 실시예에 따른 스케줄링 방법의 흐름도를 도시한다.
도 7은 본 발명의 일 실시예에 따라서 리소스 충돌을 제거하는 방법의 흐름도를 도시한다.
도 8은 본 발명의 일 실시예에 따라서 주기적인 세정 스케줄을 결정하기 위한 방법의 흐름도를 도시한다.

Claims (15)

  1. 처리 시퀀스(process sequence)를 스케줄링하기 위한 방법으로서,
    상기 처리 시퀀스를 수행할 리소스들을 할당함으로써 개별 스케줄(individual schedule)을 결정하는 단계 - 상기 개별 스케줄은 개별 기판이 상기 처리 시퀀스 내의 다수의 처리 단계들을 시작하는 때를 나타내는 시작 시간들을 포함함 - ;
    2개의 연속하는 기판들의 시작 시간들 사이의 시간 지속기간(time duration)으로 정의되는 기본 주기를 계산하는 단계;
    상기 기본 주기와 상기 개별 스케줄로부터 생성된 스케줄 내의 리소스 충돌들(resource conflicts)을 탐지하는 단계; 및
    탐지된 리소스 충돌을 제거하기 위해 상기 개별 스케줄을 조정하는 단계
    를 포함하는, 처리 시퀀스 스케줄링 방법.
  2. 제1항에 있어서,
    상기 리소스 충돌들을 탐지하는 단계 및 상기 개별 스케줄을 조정하는 단계는, 리소스 충돌이 탐지되지 않을 때까지 반복되는, 처리 시퀀스 스케줄링 방법.
  3. 제1항에 있어서,
    상기 개별 스케줄을 조정하는 단계는, 제거될 상기 탐지된 리소스 충돌과 관련된 처리 단계를 지연시키도록 큐 시간(queue time)을 추가하는 단계를 포함하는, 처리 시퀀스 스케줄링 방법.
  4. 제2항에 있어서,
    지연된 상기 처리 단계는, 제거될 상기 리소스 충돌과 관련된 다른 처리 단계와 비교하여 더 높은 시작 시간을 갖는, 처리 시퀀스 스케줄링 방법.
  5. 제3항에 있어서,
    상기 개별 스케줄을 조정하는 단계는, 상기 큐 시간을 큐 시간 제한 내로 제한하는 단계를 더 포함하는, 처리 시퀀스 스케줄링 방법.
  6. 제1항에 있어서,
    상기 기본 주기를 결정하는 단계는,
    상기 처리 시퀀스를 수행하도록 할당된 모든 상기 리소스들에 대한 사용 지속기간들을 계산하는 단계; 및
    상기 처리 시퀀스를 수행하도록 할당된 모든 상기 리소스들 중에서 가장 긴 사용 지속기간에 따라서 상기 기본 주기를 설정하는 단계를 더 포함하는, 처리 시퀀스 스케줄링 방법.
  7. 제1항에 있어서,
    상기 개별 스케줄을 조정해서는 리소스 충돌들을 제거할 수 없는 경우 상기 기본 주기를 연장하는 단계;
    상기 개별 스케줄 및 상기 연장된 기본 주기로부터 생성된 업데이트된 스케줄 내의 리소스 충돌들을 탐지하는 단계; 및
    상기 업데이트된 스케줄에서 상기 리소스 충돌들을 제거하기 위해 상기 개별 스케줄을 조정하는 단계를 더 포함하는, 처리 시퀀스 스케줄링 방법.
  8. 처리 시퀀스를 스케줄링하기 위한 컴퓨터 프로그램을 포함하는 컴퓨터 판독가능 매체로서,
    프로세서에 의해 실행되면,
    상기 처리 시퀀스를 수행할 리소스들을 할당함으로써 개별 스케줄(individual schedule)을 결정하는 단계 - 상기 개별 스케줄은 개별 기판이 상기 처리 시퀀스 내의 다수의 처리 단계들을 시작하는 때를 나타내는 시작 시간들을 포함함 - ;
    2개의 연속하는 기판들의 시작 시간들 사이의 시간 지속기간(time duration)으로 정의되는 기본 주기를 계산하는 단계;
    상기 기본 주기와 상기 개별 스케줄로부터 생성된 스케줄 내의 리소스 충돌들을 탐지하는 단계; 및
    탐지된 리소스 충돌을 제거하기 위해 상기 개별 스케줄을 조정하는 단 계
    를 포함하는 동작들을 수행하는, 처리 시퀀스를 스케줄링하기 위한 컴퓨터 프로그램을 포함하는 컴퓨터 판독가능 매체.
  9. 제8항에 있어서,
    상기 리소스 충돌들을 탐지하는 단계 및 상기 개별 스케줄을 조정하는 단계는 리소스 충돌이 탐지되지 않을 때까지 반복되고, 상기 개별 스케줄을 조정하는 단계는 제거될 상기 탐지된 리소스 충돌과 관련된 처리 단계를 지연시키도록 큐 시간을 추가하는 단계를 포함하는, 처리 시퀀스를 스케줄링하기 위한 컴퓨터 프로그램을 포함하는 컴퓨터 판독가능 매체.
  10. 제8항에 있어서,
    상기 기본 주기를 결정하는 단계는,
    상기 처리 시퀀스를 수행하도록 할당된 모든 상기 리소스들에 대한 사용 지속기간들을 계산하는 단계; 및
    상기 처리 시퀀스를 수행하도록 할당된 모든 상기 리소스들 중에서 가장 긴 사용 지속기간에 따라서 상기 기본 주기를 설정하는 단계를 더 포함하는, 처리 시퀀스를 스케줄링하기 위한 컴퓨터 프로그램을 포함하는 컴퓨터 판독가능 매체.
  11. 제8항에 있어서,
    상기 개별 스케줄을 조정해서는 리소스 충돌들을 제거할 수 없는 경우 상기 기본 주기를 연장하는 단계;
    상기 개별 스케줄 및 상기 연장된 기본 주기로부터 생성된 업데이트된 스케줄에서 리소스 충돌들을 탐지하는 단계; 및
    상기 업데이트된 스케줄에서 상기 리소스 충돌들을 제거하기 위해 상기 개별 스케줄을 조정하는 단계를 더 포함하는, 처리 시퀀스를 스케줄링하기 위한 컴퓨터 프로그램을 포함하는 컴퓨터 판독가능 매체.
  12. 처리 시퀀스(processing sequence)를 스케줄링하기 위한 방법으로서,
    상기 처리 시퀀스 내의 다수의 처리 단계들의 각각에 대해 대기 기간(waiting period)이 없는 처리 스케줄을 생성하는 단계;
    병목 리소스(bottle neck resource)의 사용 지속기간에 따라서 기본 주기를 결정하는 단계;
    상기 기본 주기를 기초로 상기 처리 스케줄 내의 리소스 충돌들을 탐지하는 단계; 및
    상기 탐지된 리소스 충돌들을 제거하기 위해 상기 처리 스케줄 및 상기 기본 주기 중 적어도 하나를 조정하는 단계
    를 포함하는, 처리 시퀀스 스케줄링 방법.
  13. 제12항에 있어서,
    상기 리소스 충돌들을 탐지하는 단계는, 상기 처리 시퀀스 내의 2이상의 단계들에서 사용되는 임의의 리소스의 충돌들을 탐지하는 단계를 포함하는, 처리 시퀀스 스케줄링 방법.
  14. 제12항에 있어서,
    상기 조정하는 단계는 제거될 리소스 충돌과 관련된 단계를 지연하기 위해 큐 시간을 삽입하는 단계를 포함하는, 처리 시퀀스 스케줄링 방법.
  15. 제12항에 있어서,
    상기 조정하는 단계는,
    상기 탐지된 리소스 충돌들을 제거하기 위해 하나 이상의 처리 단계들에 큐 시간을 삽입하는 단계;
    상기 기본 주기를 기초로 상기 조정된 처리 스케줄 내의 리소스 충돌들을 탐지하는 단계; 및
    상기 탐지된 충돌이 순환(recur)한다면 상기 기본 주기를 연장하는 단계
    를 포함하는, 처리 시퀀스 스케줄링 방법.
KR1020080019560A 2007-03-02 2008-03-03 통합형 기판 처리 시스템을 위한 소프트웨어 시퀀서 KR100978974B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/681,394 US20080216077A1 (en) 2007-03-02 2007-03-02 Software sequencer for integrated substrate processing system
US11/681,394 2007-03-02

Publications (2)

Publication Number Publication Date
KR20080080954A true KR20080080954A (ko) 2008-09-05
KR100978974B1 KR100978974B1 (ko) 2010-08-30

Family

ID=39523628

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080019560A KR100978974B1 (ko) 2007-03-02 2008-03-03 통합형 기판 처리 시스템을 위한 소프트웨어 시퀀서

Country Status (5)

Country Link
US (1) US20080216077A1 (ko)
EP (1) EP1965282A2 (ko)
JP (1) JP2008263177A (ko)
KR (1) KR100978974B1 (ko)
TW (1) TW200843012A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200040914A (ko) * 2017-09-15 2020-04-20 어플라이드 머티어리얼스, 인코포레이티드 기판 라우팅 및 스루풋 모델링
KR20210112421A (ko) * 2018-06-22 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 기판 라우팅 및 스루풋 모델링을 위한 그래픽 처리 유닛의 사용

Families Citing this family (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US9723070B2 (en) * 2008-01-31 2017-08-01 International Business Machines Corporation System to improve cluster machine processing and associated methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8655472B2 (en) * 2010-01-12 2014-02-18 Ebara Corporation Scheduler, substrate processing apparatus, and method of transferring substrates in substrate processing apparatus
US9003414B2 (en) 2010-10-08 2015-04-07 Hitachi, Ltd. Storage management computer and method for avoiding conflict by adjusting the task starting time and switching the order of task execution
US9495477B1 (en) 2011-04-20 2016-11-15 Google Inc. Data storage in a graph processing system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5852908B2 (ja) * 2011-09-16 2016-02-03 株式会社Screenホールディングス 基板処理装置のためのスケジュール作成方法およびスケジュール作成プログラム
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9798947B2 (en) * 2011-10-31 2017-10-24 Applied Materials, Inc. Method and system for splitting scheduling problems into sub-problems
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
JP6002532B2 (ja) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9146551B2 (en) * 2012-11-29 2015-09-29 Asm Ip Holding B.V. Scheduler for processing system
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9671779B2 (en) * 2013-03-15 2017-06-06 Applied Materials, Inc. Method and system for filtering lot schedules using a previous schedule
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9740529B1 (en) * 2013-12-05 2017-08-22 The Mathworks, Inc. High throughput synchronous resource-constrained scheduling for model-based design
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
WO2016099865A1 (en) * 2014-12-19 2016-06-23 Thomson Licensing Program sequencer for multi-display environment
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
CN107871194B (zh) * 2016-09-28 2020-10-16 北京北方华创微电子装备有限公司 一种生产线设备的调度方法和装置
US10438828B2 (en) * 2016-10-03 2019-10-08 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10043693B1 (en) 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
TWI633504B (zh) * 2017-11-16 2018-08-21 財團法人工業技術研究院 基於樹狀搜尋的排程方法與使用此方法的電子裝置
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
DE102017130551A1 (de) * 2017-12-19 2019-06-19 Aixtron Se Vorrichtung und Verfahren zur Gewinnnung von Informationen über in einem CVD-Verfahren abgeschiedener Schichten
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10504762B2 (en) 2018-02-06 2019-12-10 Applied Materials, Inc. Bridging front opening unified pod (FOUP)
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
KR102363113B1 (ko) * 2018-03-01 2022-02-15 가부시키가이샤 에바라 세이사꾸쇼 스케줄러, 기판 처리 장치, 및 기판 반송 방법
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP6730355B2 (ja) * 2018-03-27 2020-07-29 ファナック株式会社 操作性を向上した統合シミュレーションシステム
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11099778B2 (en) * 2018-08-08 2021-08-24 Micron Technology, Inc. Controller command scheduling in a memory system to increase command bus utilization
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10841369B2 (en) * 2018-11-26 2020-11-17 International Business Machines Corporation Determining allocatable host system resources to remove from a cluster and return to a host service provider
US10877814B2 (en) 2018-11-26 2020-12-29 International Business Machines Corporation Profiling workloads in host systems allocated to a cluster to determine adjustments to allocation of host systems to the cluster
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10956221B2 (en) 2018-11-26 2021-03-23 International Business Machines Corporation Estimating resource requests for workloads to offload to host systems in a computing environment
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11385628B2 (en) 2020-06-24 2022-07-12 Applied Materials, Inc. Scheduling substrate routing and processing
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117836919A (zh) * 2021-11-19 2024-04-05 应用材料公司 用于减少基板冷却时间的设备及方法
CN116774603B (zh) * 2023-04-18 2024-01-30 湖南大学 多agv协同调度仿真平台及仿真方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3654684B2 (ja) * 1995-05-01 2005-06-02 東京エレクトロン株式会社 処理方法及び処理装置
US5975740A (en) * 1996-05-28 1999-11-02 Applied Materials, Inc. Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
KR100508679B1 (ko) * 1998-12-31 2005-08-17 에이에스엠엘 유에스, 인크. 기판 처리 시스템을 동기화시키는 방법 및 장치
US6865437B1 (en) * 1998-12-31 2005-03-08 Asml Holdings N.V. Robot pre-positioning in a wafer processing system
DE69926798T2 (de) * 1998-12-31 2006-09-14 Silicon Valley Group, Inc., San Jose Verfahren zum synchronisieren eines substratbehandlungssystems
US6408220B1 (en) * 1999-06-01 2002-06-18 Applied Materials, Inc. Semiconductor processing techniques
US6456894B1 (en) * 1999-06-01 2002-09-24 Applied Materials, Inc. Semiconductor processing techniques
CN1186700C (zh) * 2000-09-15 2005-01-26 先进微装置公司 半导体制造中用来改进控制的自调适取样方法
US6889105B2 (en) * 2001-05-16 2005-05-03 Dainippon Screen Mfg. Co., Ltd. Scheduling method and program for a substrate processing apparatus
US6782302B1 (en) * 2002-08-30 2004-08-24 Advanced Micro Devices, Inc. Method and apparatus for scheduling workpieces with compatible processing requirements
US7127310B1 (en) * 2002-08-30 2006-10-24 Advanced Micro Devices, Inc. Method and apparatus for determining cost functions using parameterized components
US6801819B1 (en) * 2002-08-30 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for evaluating bids for scheduling a resource
US7069097B1 (en) * 2002-08-30 2006-06-27 Advanced Micro Devices, Inc. Method and apparatus for reducing scheduling conflicts for a resource
JP2005259931A (ja) 2004-03-11 2005-09-22 Hitachi Kokusai Electric Inc 基板処理装置
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US20070003842A1 (en) * 2005-06-29 2007-01-04 Applied Materials, Inc. Software sequencer to dynamically adjust wafer transfer decision
JP4772401B2 (ja) * 2005-07-06 2011-09-14 株式会社東芝 最適化方法、最適化システム、及び、装置を製造する方法
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200040914A (ko) * 2017-09-15 2020-04-20 어플라이드 머티어리얼스, 인코포레이티드 기판 라우팅 및 스루풋 모델링
KR20210112421A (ko) * 2018-06-22 2021-09-14 어플라이드 머티어리얼스, 인코포레이티드 기판 라우팅 및 스루풋 모델링을 위한 그래픽 처리 유닛의 사용

Also Published As

Publication number Publication date
JP2008263177A (ja) 2008-10-30
EP1965282A2 (en) 2008-09-03
TW200843012A (en) 2008-11-01
US20080216077A1 (en) 2008-09-04
KR100978974B1 (ko) 2010-08-30

Similar Documents

Publication Publication Date Title
KR100978974B1 (ko) 통합형 기판 처리 시스템을 위한 소프트웨어 시퀀서
US10566223B2 (en) Systems and methods for dynamic semiconductor process scheduling
US8019467B2 (en) Scheduling method for processing equipment
US7522968B2 (en) Scheduling method for processing equipment
KR102430459B1 (ko) 기판 라우팅 및 스루풋 모델링
US20080051930A1 (en) Scheduling method for processing equipment
Yu et al. Scheduling single-armed cluster tools with chamber cleaning operations
EP0837494A2 (en) Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
KR20030007454A (ko) 웨이퍼처리 시스템에서의 레서피 캐스케이딩
WO2002059703A2 (en) Method and apparatus for determining scheduling for wafer processing in cluster tools with integrated metrology and defect control
US20070003842A1 (en) Software sequencer to dynamically adjust wafer transfer decision
US6214751B1 (en) Method for forming thin film in fabricating semiconductor device
US20220365516A1 (en) Scheduling substrate routing and processing
KR100508680B1 (ko) 웨이퍼 공정 시스템에서 로봇의 선 위치결정
US5950109A (en) Methods of depositing films on semiconductor wafers using partial deposition and reloading techniques
US10460922B2 (en) Method and apparatus for substrate transfer in a thermal treatment chamber
US9793102B2 (en) Semiconductor manufacturing apparatus and semiconductor manufacturing method
Zuberek Timed Petri net models of multi-robot cluster tools
Zhu et al. Scheduling a Single-Arm Multi-Cluster Tool With a Condition-Based Cleaning Operation
Johri Optimal partition for shop floor control in semiconductor wafer fabrication
CN113658890A (zh) 提高半导体设备产能的方法和系统
CN115810555A (zh) 机台的控制方法、装置、电子设备及计算机可读存储介质

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee