JP2008263177A - Software sequencer for integrated substrate processing system - Google Patents

Software sequencer for integrated substrate processing system Download PDF

Info

Publication number
JP2008263177A
JP2008263177A JP2008052401A JP2008052401A JP2008263177A JP 2008263177 A JP2008263177 A JP 2008263177A JP 2008052401 A JP2008052401 A JP 2008052401A JP 2008052401 A JP2008052401 A JP 2008052401A JP 2008263177 A JP2008263177 A JP 2008263177A
Authority
JP
Japan
Prior art keywords
schedule
resource
time
period
collision
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008052401A
Other languages
Japanese (ja)
Inventor
Shyam Emani
エマニ シャム
Chongyang Wang
ワン チョンギャン
Stephen Hickerson
ヒカーソン スティーブン
Johanes Swenberg
スウェンバーグ ヨハネス
Jacob Newman
ニューマン ジェイコブ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008263177A publication Critical patent/JP2008263177A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/34Director, elements to supervisory
    • G05B2219/34418Scheduler for sequential control, task planning, control sequence
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • General Factory Administration (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide an apparatus and a method for scheduling a process sequence to achieve maximum throughput and process consistency in a cluster tool having a set of constraints. <P>SOLUTION: The method for scheduling a process sequence comprises a step for determining an initial individual schedule by assigning resources to perform the process sequence, a step for calculating a fundamental period, a step for detecting resource conflicts in a schedule generated from the individual schedule and the fundamental period, and a step for adjusting the individual schedule to remove the resource conflicts. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

発明の背景Background of the Invention

発明の分野
[0001]本発明の実施形態は概して、集積処理システムにおいて半導体基板を移送するための装置および方法に関する。より具体的には、本発明の実施形態は、基板間タイミング一貫性を提供するソフトウェアシーケンサーを有する集積基板処理システムに関する。
Field of Invention
[0001] Embodiments of the present invention generally relate to an apparatus and method for transferring a semiconductor substrate in an integrated processing system. More specifically, embodiments of the present invention relate to an integrated substrate processing system having a software sequencer that provides inter-substrate timing consistency.

関連技術の説明
[0002]現在の半導体処理において、多数の処理ステップを有する特定の処理レシピを使用して多層部材が半導体基板上に製作されている。クラスターツールは、処理環境、普通はコントロールされている環境から基板を除去せずにプロセスシーケンスを実行するための多数のプロセスチャンバを集積しており、概して半導体基板を処理する際に使用される。プロセスシーケンスは概して、クラスターツールの1つ以上の処理チャンバにおいて完了されるデバイス製作ステップやプロセスレシピステップのシーケンスとして画成される。プロセスシーケンスは概して、種々の基板(つまりウェーハ)の電子デバイス製作処理ステップを含有することもある。
Explanation of related technology
[0002] In current semiconductor processing, multilayer members are fabricated on a semiconductor substrate using a specific processing recipe having multiple processing steps. Cluster tools integrate a number of process chambers for performing a process sequence without removing the substrate from the processing environment, usually a controlled environment, and are generally used in processing semiconductor substrates. A process sequence is generally defined as a sequence of device fabrication steps or process recipe steps that are completed in one or more processing chambers of a cluster tool. A process sequence may generally include electronic device fabrication processing steps for various substrates (ie, wafers).

[0003]長年にわたって、半導体デバイス製造業者は、競争力を保つためにシステムスループットとプロセス一貫性とのジレンマに直面していた。   [0003] Over the years, semiconductor device manufacturers have faced a dilemma between system throughput and process consistency to remain competitive.

[0004]一方では、基板製作プロセスの有効性はデバイス製造業者の競争力に直接影響を及ぼす。他方、半導体デバイスの部材サイズの低減は、プロセス一貫性および反復性に対するより厳密な要件を有する半導体製造仕様をもたらした。   [0004] On the other hand, the effectiveness of the substrate fabrication process directly affects the competitiveness of device manufacturers. On the other hand, the reduction in semiconductor device component size has resulted in semiconductor manufacturing specifications with more stringent requirements for process consistency and repeatability.

[0005]基板製作プロセスの有効性はしばしば、デバイス歩留まりおよび所有コスト(CoO)という2つの関連する重要な要因によって測定される。CoOは多数の要因に影響されるが、システムおよびチャンバスループットによって、あるいは単に所望の処理シーケンスを使用する1時間当たりの処理基板数によって大きく影響される。   [0005] The effectiveness of the substrate fabrication process is often measured by two related important factors: device yield and cost of ownership (CoO). CoO is affected by a number of factors, but is greatly influenced by system and chamber throughput, or simply by the number of substrates processed per hour using the desired processing sequence.

[0006]CoOを低減する試みにおいて、電子デバイス製造業者はしばしば、プロセスシーケンスおよびチャンバ処理時間を最適化して、クラスターツールアーキテクチャ制限およびチャンバ処理時間に鑑みて可能な最大基板スループットを達成しようとして多くの時間を費やす。システムスループットは、チャンバ制限および/またはロボット制限を短縮することによって増大されることもある。チャンバ制限は処理シーケンスの最長プロセスレシピステップが消費する時間によって判定される。ロボット制限は、ロボットによる基板の移送に費やされる時間によって判定される。   [0006] In an attempt to reduce CoO, electronic device manufacturers often seek to optimize process sequences and chamber processing times to achieve the maximum substrate throughput possible in view of cluster tool architecture limitations and chamber processing times. Spend time. System throughput may be increased by reducing chamber limits and / or robot limits. The chamber limit is determined by the time consumed by the longest process recipe step in the processing sequence. The robot limit is determined by the time spent for transferring the substrate by the robot.

[0007]熱処理およびウェット処理などの一部の処理シーケンスについて、プロセス一貫性および反復性はタイミング一貫性に緊密に関連している。時間一貫性は、チャンバ間の基板移送時間と、レシピステップ後に基板がチャンバに残っている時間である基板キュー時間とに対する良好なコントロールによって達成可能である。   [0007] For some processing sequences, such as heat treatment and wet processing, process consistency and repeatability are closely related to timing consistency. Time consistency can be achieved by good control over the substrate transfer time between chambers and the substrate cue time, which is the time the substrate remains in the chamber after the recipe step.

[0008]半導体製造は、スループットとプロセス一貫性のトレードオフの判断を必要とすることもある。例えば、一方では、処理ステップ間のキュー時間感度制限内で基板キュー時間を付加することは、プロセス一貫性および反復性を達成する効果的な方法である。他方で、基板キュー時間の付加は、システムスループットの減少をもたらすチャンバ制限/ロボット制限を増大させることもある。   [0008] Semiconductor manufacturing may require a trade-off between throughput and process consistency. For example, on the one hand, adding substrate cue time within cue time sensitivity limits between processing steps is an effective way to achieve process consistency and repeatability. On the other hand, the addition of substrate cue time may increase chamber / robot limitations resulting in reduced system throughput.

[0009]均一な基板表面特性を保証するために、すべての基板がプロセスシーケンスの各ステップで一貫したタイミングを有することが望ましい。最新のシステムにおいては、制限先行アルゴリズムが、デッドロックを防止するために基板スケジューリングで使用されている。制限先行アルゴリズムはシステムを最大スループット値に安定化することができる。定常状態に達した後、すべての基板は各ステップで同一のキュー時間を有している。しかしながら、定常状態に達するまで、異なる基板が、最新のシステムに基づいて異なる挙動を呈する。例えば、すべてのリソースがこの時点では自由であるため、第1の基板は待ち時間を有することはない。しかし、後続の基板は一部のステップで待機しなければならない。加えて、基板キュー時間は定常状態によって判定されて、キュー時間を制約する方法はない。従って、キュー時間感度が高い一部の処理ステップは定常状態で妥協されることがある。   [0009] In order to ensure uniform substrate surface properties, it is desirable that all substrates have consistent timing at each step of the process sequence. In modern systems, limited precedence algorithms are used in board scheduling to prevent deadlocks. A limited predecessor algorithm can stabilize the system to a maximum throughput value. After reaching steady state, all substrates have the same cue time at each step. However, until reaching steady state, different substrates will behave differently based on modern systems. For example, the first substrate has no latency because all resources are free at this point. However, subsequent substrates must wait in some steps. In addition, the substrate cue time is determined by the steady state and there is no way to constrain the cue time. Thus, some processing steps with high cue time sensitivity may be compromised in steady state.

[0010]従って、最適なスループットおよびプロセス一貫性を判断するための装置および方法用のクラスターツールが必要である。   [0010] Therefore, there is a need for a cluster tool for an apparatus and method for determining optimal throughput and process consistency.

発明の概要Summary of the Invention

[0011]本発明の実施形態は概して、1セットの制約を有するクラスターツールにおいて最大スループットおよびプロセス一貫性を達成するためにプロセスシーケンスをスケジューリングする装置および方法を提供する。   [0011] Embodiments of the present invention generally provide an apparatus and method for scheduling process sequences to achieve maximum throughput and process consistency in a cluster tool with a set of constraints.

[0012]本発明の一実施形態は、プロセスシーケンスを実行するためにリソースを割り当てることによって個別スケジュールを判断するステップであって、該個別スケジュールが該プロセスシーケンスの複数のプロセスステップの各々を個別基板が開始する開始時間を備えているステップと、基本周期を算出するステップであって、該基本周期が2つの連続基板の開始時間の間の時間分として画成されるステップと、該個別スケジュールおよび該基本周期から生成されたスケジュールのリソース衝突を検出するステップと、検出されたリソース衝突を除去するように該個別スケジュールを調整するステップと、を備えるプロセスシーケンスをスケジューリングする方法を提供する。   [0012] One embodiment of the present invention is the step of determining an individual schedule by allocating resources to execute a process sequence, wherein the individual schedule assigns each of a plurality of process steps of the process sequence to an individual substrate. A start time at which the base period is calculated, a basic period is calculated, wherein the basic period is defined as the time between the start times of two successive substrates, the individual schedule and A method is provided for scheduling a process sequence comprising: detecting a resource collision in a schedule generated from the fundamental period; and adjusting the individual schedule to remove the detected resource collision.

[0013]本発明の別の実施形態は、プロセスによって実行される場合に、プロセスシーケンスを実行するためにリソースを割り当てることによって個別スケジュールを判断するステップであって、該個別スケジュールが該プロセスシーケンスの複数のプロセスステップの各々を個別基板が開始する開始時間を備えているステップと、基本周期を算出するステップであって、該基本周期が2つの連続基板の開始時間の間の時間分として画成されるステップと、該個別スケジュールおよび該基本周期から生成されたスケジュールのリソース衝突を検出するステップと、検出されたリソース衝突を除去するように該個別スケジュールを調整するステップと、を備えるオペレーションを実行するプロセスシーケンスをスケジューリングするコンピュータプログラムを含有するコンピュータ読み取り可能な媒体を提供する。   [0013] Another embodiment of the present invention, when executed by a process, is the step of determining an individual schedule by allocating resources to execute the process sequence, wherein the individual schedule is included in the process sequence. Each of a plurality of process steps includes a step having a start time at which an individual substrate starts, and a step of calculating a basic period, wherein the basic period is defined as a time portion between two continuous substrate start times. Performing operations comprising: detecting a resource collision of the schedule generated from the individual schedule and the base period; and adjusting the individual schedule to remove the detected resource collision A computer program that schedules the process sequence A computer-readable medium containing a gram.

[0014]本発明のさらに別の実施形態は、処理スケジュールを生成するステップであって、処理シーケンスの複数の処理ステップの各々について待機時間がないステップと、ボトルネックリソースの使用期間に従って基本周期を判断するステップと、該基本周期に基づいて該処理スケジュールのリソース衝突を検出するステップと、該検出されたリソース衝突を除去するために該処理スケジュールおよび該基本周期のうちの少なくとも一方を調整するステップと、を備える処理シーケンススケジューリング方法を提供する。   [0014] Yet another embodiment of the present invention is a step of generating a processing schedule, the step having no waiting time for each of the plurality of processing steps of the processing sequence, and the basic period according to the usage period of the bottleneck resource. Determining, detecting a resource collision of the processing schedule based on the basic period, and adjusting at least one of the processing schedule and the basic period to remove the detected resource collision A processing sequence scheduling method is provided.

[0015]本発明の上記引用された特徴が詳細に理解されるように、上記簡潔に要約された本発明のさらに具体的な説明が実施形態を参照してなされてもよく、この一部は添付の図面に図示されている。しかしながら、添付の図面は本発明の通常の実施形態のみを図示しており、従って、本発明は他の等しく効果的な実施形態を認めてもよいため、この範囲を制限するとみなされるべきではない点に注目すべきである。   [0015] In order that the above-cited features of the present invention may be understood in detail, a more specific description of the invention briefly summarized above may be made by reference to embodiments, some of which are It is illustrated in the accompanying drawings. The accompanying drawings, however, illustrate only typical embodiments of the invention and, therefore, the invention may recognize other equally effective embodiments and should not be deemed to limit this scope. It should be noted.

好ましい実施形態の詳細な説明Detailed Description of the Preferred Embodiment

[0025]本発明の実施形態は概して、マルチチャンバ処理システムを使用して基板を処理するための装置および方法を提供する。より具体的には、本発明の実施形態は、所与のプロセスシーケンスをスケジューリングするための方法を提供する。本発明のスケジューリング方法によって、システムにおけるすべての基板は、プロセスシーケンスの各ステップで一貫したキュー時間を有して均一な基板特性を保証することができる。本発明のスケジューリング方法は、所与のプロセスシーケンスを実行するためにクラスターツールにリソースを割り当てることによってスケジュールを判断するステップと、ボトルネックプロセスステップの長さや移送運動に従って、基本周期、クラスターツールに2つの連続基板を送る間の時間を判断するステップと、を備える。本方法はさらに、判断された基本周期を使用して判断済みスケジュールのリソース衝突をチェックするステップと、スケジュールにキュー時間を付加して、および/または基本周期を延長してリソース衝突を除去するステップとを備える。   [0025] Embodiments of the present invention generally provide an apparatus and method for processing a substrate using a multi-chamber processing system. More specifically, embodiments of the present invention provide a method for scheduling a given process sequence. The scheduling method of the present invention allows all substrates in the system to ensure uniform substrate characteristics with a consistent cue time at each step of the process sequence. The scheduling method of the present invention includes a step of determining a schedule by allocating resources to a cluster tool to execute a given process sequence, and a basic period and a cluster tool according to the length of the bottleneck process step and the transfer motion. Determining the time between sending two successive substrates. The method further includes checking resource collisions of the determined schedule using the determined fundamental period; adding queue time to the schedule and / or extending the fundamental period to eliminate resource collisions With.

[0026]リソース衝突は、定期システムの問題を低減し、かつ1セットの式を(0,T)の時間インターバル内に解くことによって検出および除去され、ここでTは所定の基本周期を示している。一実施形態では、ゲームツリーアルゴリズムがリソース衝突を解決するために使用される。一実施形態では、ゲームツリータイミングの効率的な方法が、第1の実行可能な解決策を見つけるために使用される。   [0026] Resource collisions are detected and eliminated by reducing periodic system problems and solving a set of equations within a (0, T) time interval, where T denotes a predetermined fundamental period. Yes. In one embodiment, a game tree algorithm is used to resolve resource conflicts. In one embodiment, an efficient method of game tree timing is used to find the first viable solution.

[0027]本発明の実施形態は、ポリシリコン生成シーケンスに従ってここに説明されている。図1は、本発明の一実施形態に従った半導体処理用クラスターツール100を概略的に図示している。ここに説明されている方法は、プロセスシーケンスを実行するように構成されている他のツールで実践されることが想定されている。   [0027] Embodiments of the present invention are described herein according to a polysilicon generation sequence. FIG. 1 schematically illustrates a semiconductor processing cluster tool 100 according to an embodiment of the present invention. It is envisioned that the method described herein may be practiced with other tools that are configured to perform a process sequence.

[0028]クラスターツール100は真空気密処理プラットフォーム101およびファクトリインタフェース102を含んでいる。プラットフォーム101は複数の処理チャンバ110、108、114、112、118、116と少なくとも1つのロードロックチャンバ120とを備えており、これらは真空基板移送チャンバ103、104に結合されている。ファクトリインタフェース102はロードロックチャンバ120によって移送チャンバ104に結合されている。   [0028] The cluster tool 100 includes a vacuum-tight processing platform 101 and a factory interface 102. Platform 101 includes a plurality of processing chambers 110, 108, 114, 112, 118, 116 and at least one load lock chamber 120, which are coupled to vacuum substrate transfer chambers 103, 104. The factory interface 102 is coupled to the transfer chamber 104 by a load lock chamber 120.

[0029]一実施形態では、ファクトリインタフェース102は少なくとも1つのドッキングステーションと、少なくとも1つの基板移送ロボット138と、少なくとも1つの基板整列器140とを備えている。ドッキングステーションは、1つ以上のフロントオープニングユニファイドポッド128(FOUP)を受容するように構成されている。2つのFOUP128A、128Bが図1の実施形態に示されている。基板移送ロボット138は、基板をファクトリインタフェース102からロードロックチャンバ120に移送するように構成されている。   [0029] In one embodiment, the factory interface 102 comprises at least one docking station, at least one substrate transfer robot 138, and at least one substrate aligner 140. The docking station is configured to receive one or more front opening unified pods 128 (FOUP). Two FOUPs 128A, 128B are shown in the embodiment of FIG. The substrate transfer robot 138 is configured to transfer a substrate from the factory interface 102 to the load lock chamber 120.

[0030]ロードロックチャンバ120は、ファクトリインタフェース102に結合されている第1のポートと、第1の移送チャンバ104に結合されている第2のポートとを有している。ロードロックチャンバ120は、移送チャンバ104の真空環境とファクトリインタフェース102の実質的な周囲(例えば、大気)環境間の基板の通過を容易にするのに必要とされるように、チャンバ120をポンプダウンおよび換気する圧力コントロールシステムに結合されている。   [0030] The load lock chamber 120 has a first port coupled to the factory interface 102 and a second port coupled to the first transfer chamber 104. The load lock chamber 120 pumps down the chamber 120 as required to facilitate the passage of the substrate between the vacuum environment of the transfer chamber 104 and the substantially ambient (eg, atmospheric) environment of the factory interface 102. Combined with pressure control system to ventilate and.

[0031]第1の移送チャンバ104および第2の移送チャンバ103はそれぞれ、第1のロボット107および第2のロボット105をその中に配置している。2つの基板移送プラットフォーム106A、106Bは移送チャンバ104に配置されており、ロボット105、107間の基板の移送を容易にする。プラットフォーム106A、106Bは移送チャンバ103、104に対して開いていても、あるいは選択的に移送チャンバ103、104から隔離(つまりシール)されていてもよく、異なる動作圧力が移送チャンバ103、104の各々で維持されるようにする。   [0031] The first transfer chamber 104 and the second transfer chamber 103 have a first robot 107 and a second robot 105 disposed therein, respectively. Two substrate transfer platforms 106A, 106B are located in the transfer chamber 104 to facilitate transfer of substrates between the robots 105, 107. The platforms 106A, 106B may be open relative to the transfer chambers 103, 104, or may be selectively isolated (ie, sealed) from the transfer chambers 103, 104, with different operating pressures being applied to each of the transfer chambers 103, 104. To be maintained at.

[0032]第1の移送チャンバ104に配置されているロボット107は、ロードロックチャンバ120と、処理チャンバ116、118と基板移送プラットフォーム106A、106B間で基板を移送することができる。第2の移送チャンバ103に配置されているロボット105は、基板移送プラットフォーム106A、106Bと処理チャンバ112、114、110、108間で基板を移送することができる。   [0032] A robot 107 located in the first transfer chamber 104 can transfer substrates between the load lock chamber 120, the processing chambers 116, 118, and the substrate transfer platforms 106A, 106B. A robot 105 located in the second transfer chamber 103 can transfer substrates between the substrate transfer platforms 106A, 106B and the processing chambers 112, 114, 110, 108.

[0033]図2は、上記のクラスターツール100などの集積クラスターツールにおいて基板上に誘電層を堆積するためのプロセスシーケンス200の一実施形態のフローチャートを図示している。   [0033] FIG. 2 illustrates a flowchart of one embodiment of a process sequence 200 for depositing a dielectric layer on a substrate in an integrated cluster tool, such as the cluster tool 100 described above.

[0034]プロセスシーケンス200は、基板をクラスターツールに位置決めするステップ202で始まる。   [0034] The process sequence 200 begins with step 202 of positioning a substrate on a cluster tool.

[0035]ステップ204では、誘電層が基板上に堆積される。誘電層は金属酸化物であってもよく、またALDプロセス、MOCVDプロセス、従来のCVDプロセスまたはPVDプロセスによって堆積されてもよい。   [0035] In step 204, a dielectric layer is deposited on the substrate. The dielectric layer may be a metal oxide and may be deposited by an ALD process, MOCVD process, conventional CVD process or PVD process.

[0036]堆積プロセスに続いて、基板はステップ205で堆積後アニーリング(PDA)プロセスに暴露されてもよい。PDAプロセスは、RADIANCETMRTPチャンバなどの高速アニーリングチャンバで実行されてもよい。 [0036] Following the deposition process, the substrate may be exposed to a post-deposition annealing (PDA) process at step 205. The PDA process may be performed in a high speed annealing chamber, such as a RADIANCE RTP chamber.

[0037]ステップ206では、誘電層は不活性プラズマプロセスに暴露されて、誘電性材料の密度を高くしてプラズマ処置層を形成する。不活性プラズマプロセスは、不活性ガスを減結合プラズマ窒化(DPN)チャンバに流すことによって実行される減結合不活性ガスプラズマプロセスを含むことがある。   [0037] In step 206, the dielectric layer is exposed to an inert plasma process to increase the density of the dielectric material to form a plasma treatment layer. The inert plasma process may include a decoupled inert gas plasma process performed by flowing an inert gas through a decoupled plasma nitridation (DPN) chamber.

[0038]ステップ208では、基板に堆積されているプラズマ処置層は熱アニーリングプロセスに暴露される。   [0038] In step 208, the plasma treatment layer deposited on the substrate is exposed to a thermal annealing process.

[0039]ステップ210では、ゲート電極層がアニーリング済み誘電層に堆積される。ゲート電極層は、LPCVDチャンバを使用して堆積された多結晶Si、アモルファスSiまたは他の適切な材料であってもよい。   [0039] In step 210, a gate electrode layer is deposited on the annealed dielectric layer. The gate electrode layer may be polycrystalline Si, amorphous Si or other suitable material deposited using an LPCVD chamber.

[0040]表1は、シーケンス200の各ステップのレシピ時間およびチャンバ要件を図示している。   [0040] Table 1 illustrates the recipe time and chamber requirements for each step of the sequence 200.

Figure 2008263177
Figure 2008263177

[0041]本発明の方法は、基板一貫性を達成し、リソース制約内にとどまり、かつスループットを最大化するプロセススケジュールを判断するステップに関する。   [0041] The method of the present invention relates to determining a process schedule that achieves substrate consistency, stays within resource constraints, and maximizes throughput.

[0042]本発明のプロセススケジュールは、個別基板のスケジュール(以後、個別スケジュール)と、連続基板間の基本周期とを含んでもよい。個別スケジュールは、基板の最初の移動の開始時間に対する基板の各プロセスステップの開始時間および終了時間を含んでいる。基本周期は、基板がクラスターツールに送られるレートを画成する。具体的に、基本周期は2つの連側基板間の時間インターバルである。   [0042] The process schedule of the present invention may include a schedule of individual substrates (hereinafter, individual schedule) and a basic period between successive substrates. The individual schedule includes the start time and end time of each process step of the substrate relative to the start time of the initial movement of the substrate. The fundamental period defines the rate at which substrates are sent to the cluster tool. Specifically, the basic period is a time interval between two continuous substrates.

[0043]プロセススケジュールに影響する要因は、実行されるプロセスシーケンスと、各レシピステップを実行するのにかかる時間と、各ステップでの基板キュー時間制約と、異なるチャンバ間の移送時間とを含むことがある。基板キュー時間制約は通常、プロセスレシピが完了した後に所与のチャンバで所与のプロセスステップを基板が待機することができる最大時間を画成するシーケンスの一部である。表2は、プロセスシーケンス200を実行するのに使用可能な関連チャンバの例示的基板キュー時間制約を図示している。各レシピステップを実行するのにかかる時間は概して、洗浄および定期洗浄プロセスに関するタイミング情報を含んでいる。簡単な時間ベースレシピについて、各レシピステップを実行するのにかかる時間は、レシピステップを解析することによって算出されてもよい。エンドポイントベースレシピについて、かかる平均時間などの統計的情報がスケジュール作成に使用されてもよい。移送時間は、移送自体の一部として実行される他のレシピに関する実際のロボットの運動時間およびオーバーヘッドである。   [0043] Factors affecting the process schedule include the process sequence to be performed, the time it takes to execute each recipe step, the substrate queue time constraint at each step, and the transfer time between different chambers. There is. The substrate queue time constraint is usually part of a sequence that defines the maximum time that a substrate can wait for a given process step in a given chamber after the process recipe is complete. Table 2 illustrates exemplary substrate cue time constraints for associated chambers that can be used to perform the process sequence 200. The time taken to perform each recipe step generally includes timing information regarding the cleaning and periodic cleaning processes. For a simple time-based recipe, the time taken to execute each recipe step may be calculated by analyzing the recipe step. For endpoint-based recipes, statistical information such as the average time may be used for scheduling. The transfer time is the actual robot movement time and overhead for other recipes that are executed as part of the transfer itself.

[0044]表2を参照すると、総レシピ時間範囲は、プロセスシーケンス200の場合には、所与のプロセスシーケンスに従って対応するチャンバで基板を処理する時間範囲を示している。キュー時間感度は、プロセスステップがチャンバで完了した後に対応するチャンバに基板が有する最大待機周期を示している。基板取り扱い変動制限は、所望のプロセス一貫性を取得するために基板間のキュー時間の最大変動を示している。洗浄頻度は、対応するチャンバが洗浄を必要とする頻度を示している。洗浄時間は、洗浄プロセスを完了するのに必要な時間に関する。定期洗浄を必要とするチャンバについて、洗浄プロセスは、洗浄要件の頻度および長さに従って取り扱われてもよい。DPN+(A)およびDPN+(B)などの各基板後に洗浄を必要とするチャンバについて、洗浄時間は概してプロセス時間に付加される。さらなる洗浄検討事項が図8に説明されている。   [0044] Referring to Table 2, the total recipe time range, in the case of the process sequence 200, indicates the time range for processing the substrate in the corresponding chamber according to a given process sequence. The cue time sensitivity indicates the maximum waiting period that the substrate has in the corresponding chamber after the process step is completed in the chamber. The substrate handling variation limit indicates the maximum variation in cue time between substrates to obtain the desired process consistency. The cleaning frequency indicates the frequency with which the corresponding chamber needs cleaning. The cleaning time relates to the time required to complete the cleaning process. For chambers that require periodic cleaning, the cleaning process may be handled according to the frequency and length of cleaning requirements. For chambers that require cleaning after each substrate, such as DPN + (A) and DPN + (B), the cleaning time is generally added to the process time. Further cleaning considerations are illustrated in FIG.

Figure 2008263177
Figure 2008263177

[0045]本発明の一実施形態では、本発明のプロセススケジュールが以下のステップ、所与のプロセスシーケンスを実行して初期の個別スケジュールを判断するためにリソースを割り当てるステップと、初期の基本周期を判断するステップと、初期の個別スケジュールおよび初期の基本周期のリソース衝突をチェックするステップと、基板キュー時間を個別スケジュールに付加することによってリソース衝突を除去するステップとによって判断されてもよい。本発明の一実施形態では、プロセススケジュールを判断するステップは、リソース衝突を除去するために初期の基本周期を延長する工程を備えてもよい。
リソースの割り当ておよび初期の個別スケジュールの判断
[0046]リソースの割り当ては概して、クラスターツールのチャンバ配列をセットアップするステップと、配列されたチャンバ間で基板を移送するためにロボットを割り当てるステップと、を備えている。
[0045] In one embodiment of the present invention, the process schedule of the present invention comprises the following steps: allocating resources to perform a given process sequence to determine an initial individual schedule; It may be determined by determining, checking for an initial individual schedule and an initial fundamental period resource collision, and removing a resource collision by adding a substrate queue time to the individual schedule. In one embodiment of the invention, determining the process schedule may comprise extending an initial fundamental period to eliminate resource conflicts.
Resource allocation and initial individual schedule determination
[0046] Assigning resources generally comprises setting up a cluster arrangement of cluster tools and assigning a robot to transfer substrates between the arranged chambers.

[0047]チャンバ配列は、プロセスステップのチャンバ位置およびチャンバ数を画成するステップを含むことがある。チャンバ配列は、実行されるプロセスシーケンスと、各レシピステップを実行するのにかかる時間と、各ステップでの基板キュー時間制約とに影響されることがある。   [0047] The chamber arrangement may include defining a chamber position and number of chambers for the process steps. The chamber arrangement may be affected by the process sequence that is performed, the time it takes to perform each recipe step, and the substrate cue time constraint at each step.

[0048]例えば、クラスターツール100は、プロセスシーケンス200を実行するように構成されてもよい。プロセスシーケンス200を容易にするために、適切なチャンバがチャンバ108、110、112、114、116、118に選択されてもよい。例えば、チャンバ116、118は、多結晶シリコン(POLY)を堆積するように構成されている化学気相堆積(CVD)チャンバであってもよい。適切なチャンバの1つは、Applied Materials,Incから入手可能なPOLYGenチャンバである。チャンバ108、114は減結合プラズマ窒化(DPN)チャンバであってもよい。チャンバ110、112は高速熱プロセス(RTP)チャンバであってもよい。1つ以上の冷却チャンバは基板移送プラットフォーム106A、106Bの上方に位置決めされてもよい。   [0048] For example, the cluster tool 100 may be configured to execute the process sequence 200. Appropriate chambers may be selected for the chambers 108, 110, 112, 114, 116, 118 to facilitate the process sequence 200. For example, the chambers 116, 118 may be chemical vapor deposition (CVD) chambers configured to deposit polycrystalline silicon (POLY). One suitable chamber is a POLYGen chamber available from Applied Materials, Inc. Chambers 108, 114 may be decoupled plasma nitridation (DPN) chambers. Chambers 110, 112 may be rapid thermal process (RTP) chambers. One or more cooling chambers may be positioned above the substrate transfer platforms 106A, 106B.

[0049]クラスターツール100におけるチャンバの配列を判断する際に、チャンバ、ロードロックおよびロボットを含むリソースが、各プロセスステップおよびステップ間の推移について割り当てられてもよい。   [0049] In determining the arrangement of chambers in the cluster tool 100, resources including chambers, load locks and robots may be allocated for each process step and transitions between steps.

[0050]図3Aは、本発明の一実施形態に従った例示的プロセスシーケンスのフローチャートを概略的に図示している。図3Bは、図1のクラスターツール100において図3Aのプロセスシーケンスで処理される基板のルートを概略的に図示している。図3Aを参照すると、ステップS1〜S13は、プロセスチャンバ、移送チャンバまたはロードロックにとどまる基板を表している。移動m1〜m12は、ロボットによって搬送されるチャンバ間の基板の動きを表している。移動m1〜m12は図3Bの矢印でさらに図示されている。   [0050] FIG. 3A schematically illustrates a flowchart of an exemplary process sequence in accordance with one embodiment of the present invention. FIG. 3B schematically illustrates the route of the substrate processed in the process sequence of FIG. 3A in the cluster tool 100 of FIG. Referring to FIG. 3A, steps S1-S13 represent a substrate that remains in the process chamber, transfer chamber, or load lock. The movements m1 to m12 represent the movement of the substrate between the chambers conveyed by the robot. Movements m1-m12 are further illustrated by the arrows in FIG. 3B.

[0051]表3はプロセスシーケンス200の個別スケジュールを図示している。プロセス時間は、基板がリソース、チャンバまたはロボットを占有する総時間分を示している。開始は、基板に対するリソースを占有する基板が最初にクラスターツールに入る時間をマークする。終了は、基板に対してリソースを解放する基板がクラスターツールに入る時間をマークする。初期の個別スケジュールではいずれのステップにもキュー時間は付加されない。基板がクラスターツールにない場合には基板はこのスケジュールに従うことができる。表3に示されているように、基板がプロセスシーケンス200を完了するのに1233秒かかる。1つの基板のみがクラスターツールにある場合、残りのリソースがアイドルである間最大2つのリソースが所与の時間に占有される。アイドル時間を低減して、スループットを増大させるために、第1の基板がクラスターツールを出る前に第2の基板がクラスターツールに供給されてもよい。2つの基板を送る間の時間分、つまり基本周期は、スループットを最大化するように最小化されてもよい。   [0051] Table 3 illustrates an individual schedule of the process sequence 200. The process time indicates the total time that the substrate occupies the resource, chamber or robot. The start marks the time when a substrate that occupies resources for the substrate first enters the cluster tool. The end marks the time when the substrate that releases resources to the substrate enters the cluster tool. In the initial individual schedule, no queue time is added to any step. If the substrate is not in the cluster tool, the substrate can follow this schedule. As shown in Table 3, it takes 1233 seconds for the substrate to complete the process sequence 200. If only one substrate is in the cluster tool, a maximum of two resources are occupied at a given time while the remaining resources are idle. To reduce idle time and increase throughput, a second substrate may be provided to the cluster tool before the first substrate exits the cluster tool. The amount of time between sending two substrates, i.e. the fundamental period, may be minimized to maximize throughput.

Figure 2008263177
Figure 2008263177

初期の基本周期の判断
[0052]本発明の一実施形態では、初期の基本周期は、クラスターツールにおける全リソース間の最長使用期間に従って判断されてもよい。リソースの使用期間は、信号基板についてプロセスシーケンスの全ステップ/移動を実行するのにかかる総時間によって画成されてもよい。
Judging the initial basic period
[0052] In one embodiment of the present invention, the initial fundamental period may be determined according to the longest usage period between all resources in the cluster tool. The resource usage period may be defined by the total time taken to perform all steps / movements of the process sequence on the signal board.

[0053]一実施形態では、各リソースの使用期間は、プロセスシーケンスの全プロセスステップを反復して、また各プロセスステップを、ロード時間、アンロード時間、プロセスレシピ時間および洗浄時間を含むサブパートに分けることによって算出されてもよい。サブパートの各々は次いで、サブパートに必要な1つのリソース(または複数のリソース)に割り当てられる。   [0053] In one embodiment, the duration of use of each resource repeats all process steps of the process sequence and divides each process step into subparts including load time, unload time, process recipe time and cleaning time. May be calculated. Each of the subparts is then assigned to one resource (or multiple resources) required for the subpart.

[0054]チャンバについて、チャンバがプロセスシーケンスで使用される全ステップに必要ならば、使用期間は、ロード時間、プロセスレシピ時間、アンロード時間および洗浄時間を含んでもよい。1つのステップを実行するために少なくとも2つのチャンバが配列される場合、使用期間はチャンバ数で分割されてもよい。一実施形態は、1つのチャンバの使用期間は、以下の式を使用して算出可能である:   [0054] For chambers, if the chamber is required for all steps used in the process sequence, the duration of use may include load time, process recipe time, unload time and cleaning time. If at least two chambers are arranged to perform one step, the period of use may be divided by the number of chambers. In one embodiment, the duration of use of one chamber can be calculated using the following formula:

Figure 2008263177
Figure 2008263177

ここでD[i]はチャンバiの使用期間を示しており、kは、チャンバiが使用されるプロセスステップを示しており、P[k]はステップkのプロセス時間を示しており、L[k]はステップkのロード時間を示しており、U[k]はステップkのアンロード時間を示しており、C[k]はステップkの洗浄時間を示しており、nはチャンバiの数を示している。合計は、チャンバiで実行される全ステップに対するものである。 Here, D [i] indicates a usage period of the chamber i, k indicates a process step in which the chamber i is used, P [k] indicates a process time of the step k, and L [ k] indicates the load time of step k, U [k] indicates the unload time of step k, C [k] indicates the cleaning time of step k, and n is the number of chambers i. Is shown. The sum is for all steps performed in chamber i.

[0055]ロボットについて、使用期間は、ロボットが使用される全移動のピックアップ時間、移送時間およびドロップ時間を含んでもよい。一実施形態では、1つのロボットの使用期間は以下の式を使用して算出されてもよい:   [0055] For robots, the duration of use may include pick-up time, transfer time and drop time for all movements in which the robot is used. In one embodiment, the duration of use of one robot may be calculated using the following formula:

Figure 2008263177
Figure 2008263177

ここでD[j]はロボットjの使用期間を示しており、lは、ロボットjが使用される移動を示しており、Pk[l]は移動lのピックアップ時間を示しており、Tr[l]は移動lの移送時間を示しており、Dr[l]は移動lのドロップ時間を示している。合計は、ロボットjによって実行される全移動に対するものである。 Here, D [j] indicates a usage period of the robot j, l indicates a movement in which the robot j is used, Pk [l] indicates a pickup time of the movement l, and Tr [l ] Indicates the transfer time of the movement l, and Dr [l] indicates the drop time of the movement l. The sum is for all movements performed by robot j.

[0056]一実施形態では、初期の基本周期は、チャンバおよびロボットを含む全リソースの最大使用期間に設定されてもよい。   [0056] In one embodiment, the initial fundamental period may be set to the maximum usage period of all resources including chambers and robots.

[0057]概して、ロボットの移動のピックアップ時間は先行ステップのアンロード時間に重複し、ロボットの移動のドロップ時間は後続ステップのロード時間に重複する。従って、チャンバのステップのロード時間は先行移動のドロップ時間に重複し、チャンバのステップのアンロード時間は後続移動のピックアップ時間に重複する。チャンバの期間算出を簡略化するために、チャンバ使用期間は、先行移動に必要な時間と、後続移動に必要な時間と、プロセス時間と、必要ならば洗浄時間とを含んでもよい。表4は、プロセスシーケンス200を実行するためのクラスターツール100のリソースの使用期間の算出を列挙している。表4に示されているように、最長使用期間は240秒であり、これはRTOチャンバに属する。従って、初期の基本周期は、本発明の実施形態に従って240秒に設定されてもよい。   [0057] Generally, the pick-up time for robot movement overlaps with the unload time of the preceding step, and the drop time for robot movement overlaps with the loading time of the subsequent step. Thus, the chamber step load time overlaps the drop time of the preceding movement, and the chamber step unload time overlaps the pickup time of the subsequent movement. In order to simplify the chamber duration calculation, the chamber usage period may include the time required for the preceding movement, the time required for the subsequent movement, the process time, and the cleaning time if necessary. Table 4 lists the calculation of the resource usage period of the cluster tool 100 for executing the process sequence 200. As shown in Table 4, the maximum usage period is 240 seconds, which belongs to the RTO chamber. Accordingly, the initial fundamental period may be set to 240 seconds according to an embodiment of the present invention.

Figure 2008263177
Figure 2008263177

リソース衝突のチェック
[0058]リソース衝突とは、同時に2つ以上のステップまたは移動によって1つのリソースが必要とされる状況のことである。リソース衝突は、2つ以上の基板がクラスターツールにある場合、および1つ以上のリソースが2つ以上のステップまたは移動で使用される場合に生成することがある。概して、ロボットは普通プロセススケジュールの複数の移動について使用されるため、ロボット衝突は共通である。しかしリソース衝突は、リソースがプロセスシーケンスの2つ以上のステップでスケジューリングされている場合にプロセスチャンバ、ロードロックおよび/または移送チャンバに生じることがある。
Check for resource conflicts
[0058] A resource collision is a situation where one resource is needed by two or more steps or moves simultaneously. Resource collisions may be generated when two or more substrates are in a cluster tool and when one or more resources are used in two or more steps or movements. In general, robot collisions are common because robots are typically used for multiple movements of the process schedule. However, resource collisions can occur in the process chamber, load lock and / or transfer chamber when resources are scheduled in more than one step of the process sequence.

[0059]本発明の一実施形態では、所与の個別スケジュールおよび基本周期に対応するリソース衝突が、1周期におけるステップ/移動ごとに相対的開始時間および相対的終了時間を算出することによってチェックされてもよい。   [0059] In one embodiment of the present invention, resource collisions corresponding to a given individual schedule and basic period are checked by calculating a relative start time and a relative end time for each step / movement in one period. May be.

[0060]一実施形態では、N番目の基板のステップiの相対的開始時間SRelative[i,N]および相対的終了時間ERelative[i,N]は、 [0060] In one embodiment, the relative start time S Relative [i, N] and the relative end time E Relative [i, N] of step i of the Nth substrate are:

Figure 2008263177
Figure 2008263177

Figure 2008263177
Figure 2008263177

によって算出されてもよい。ここでiはステップ/移動数を示しており、Nは基板シーケンス番号を示しており、FPは基本周期を示しており、S[i,N]はN番目の基板のステップiの絶対的開始時間を示しており、E[i,N]はN番目の基板のステップiの絶対的終了時間を示している。S[i,N]およびE[i,N]は以下の式によって算出されてもよい: May be calculated. Where i indicates the number of steps / movements, N indicates the substrate sequence number, FP indicates the basic period, and S [i, N] is the absolute start of step i on the Nth substrate. E [i, N] indicates the absolute end time of step i of the Nth substrate. S [i, N] and E [i, N] may be calculated by the following formula:

Figure 2008263177
Figure 2008263177

Figure 2008263177
Figure 2008263177

ここでiはステップ/移動数を示しており、Nは基板シーケンス番号を示しており、FPは基本周期を示しており、D[j]はi番目のステップ/移動の使用期間を示している。   Here, i represents the number of steps / movements, N represents the substrate sequence number, FP represents the basic period, and D [j] represents the usage period of the i-th step / movement. .

[0061]一実施形態では、リソース衝突は、異なるステップ/移動の相対的開始時間および相対的終了時間のインターバルの重複を検出することによって検出されてもよい。例えば、ステップiおよびkが同じリソースを必要とする場合、インターバル(SRelative[i,N],ERelative[i,N])および(SRelative[k,N],ERelative[k,N])の重複はリソース衝突を示している。 [0061] In one embodiment, resource collisions may be detected by detecting overlapping intervals of relative start times and relative end times of different steps / movements. For example, if steps i and k require the same resource, the intervals (S Relative [i, N], E Relative [i, N]) and (S Relative [k, N], E Relative [k, N] ) Indicates a resource conflict.

[0062]表5は、240秒の基本周期の間の表4の初期の個別スケジュールの例示的リソース衝突結果を列挙している。表5に示されているように、リアロボットのM9はM5およびM6と衝突し、FIロボットのM12はM1およびM2と衝突する。   [0062] Table 5 lists exemplary resource collision results for the initial individual schedule of Table 4 during a basic period of 240 seconds. As shown in Table 5, M9 of the rear robot collides with M5 and M6, and M12 of the FI robot collides with M1 and M2.

Figure 2008263177
Figure 2008263177

[0063]図4は、表5のスケジュールテーブルのレシピ図を概略的に図示している。図4に示されているように、6つの基板がシステムで処理される。各基板は基本周期で別々にシステムに送られる。第1の基板のM9および第3の基板のM6は、衝突1を招く時にリアロボットを必要とする、第1の基板のM9および第5の基板のM5は、衝突2を招く時にリアロボットを必要とする、第1の基板のM12および第5の基板のM1は、衝突3を招く時にFIロボットを必要とする。第1の基板のM12および第5の基板のM2は、衝突4を招く時にFIロボットを必要とする。   [0063] FIG. 4 schematically illustrates a recipe diagram for the schedule table of Table 5. As shown in FIG. 4, six substrates are processed in the system. Each substrate is sent separately to the system at a fundamental period. M9 of the first substrate and M6 of the third substrate require a rear robot when the collision 1 is caused, and M9 of the first substrate and M5 of the fifth substrate are the rear robots when the collision 2 is caused. The required M12 of the first substrate and M1 of the fifth substrate require the FI robot when the collision 3 is caused. The first substrate M12 and the fifth substrate M2 require an FI robot when incurring a collision 4.

リソース衝突の除去
[0064]本発明の一実施形態では、リソース衝突は、衝突に伴う2つのステップのうちの一方を遅延させるためにキュー時間を付加することによって除去可能である。一実施形態では、キュー時間は2つの衝突ステップのうちの後のステップを遅延させるために付加されてもよい。
Remove resource conflicts
[0064] In one embodiment of the invention, resource collisions can be eliminated by adding a queue time to delay one of the two steps involved in the collision. In one embodiment, the cue time may be added to delay the later step of the two collision steps.

[0065]表6に示されているように、M9とM5間、およびM9とM6間のリアロボットの衝突は、25秒のキュー時間をステップS9に付加することによって除去される。M9は25秒遅延され、各基板は、キュー時間の前の1233秒と比較して、1258秒間システムにとどまるようにスケジューリングされる。しかしながら、基本周期は240秒のままであるため、システムスループットは遅延ゆえに低減されない。   [0065] As shown in Table 6, the rear robot collision between M9 and M5 and between M9 and M6 is eliminated by adding a cue time of 25 seconds to step S9. M9 is delayed by 25 seconds and each board is scheduled to stay in the system for 1258 seconds compared to 1233 seconds before the cue time. However, since the fundamental period remains 240 seconds, system throughput is not reduced due to delay.

[0066]図5は、表6に列挙されている更新済みスケジュールテーブルのレシピ図を概略的に図示している。   [0066] FIG. 5 schematically illustrates a recipe diagram for the updated schedule table listed in Table 6.

Figure 2008263177
Figure 2008263177

[0067]場合によっては、新たなリソース衝突が付加されたキューから作成されることもある。図5に示されているように、M10とM3間、およびM12とM2間の新たな衝突が付加されたキュー時間の結果として生成される。本発明の一実施形態では、更新済みスケジュールテーブルが生成されることもあり、リソース衝突が更新済みスケジュールテーブルについてチェックされることもあり、またさらなるキュー時間が、キュー時間の付加後の新たな衝突を除去するように導入されてもよい。一実施形態では、キュー時間は、リソース衝突がなくなるまで、個別スケジュールに付加されてもよい。しかしながら、場合によっては、リソース衝突はキュー時間を付加することによって除去されないこともあり、あるいは付加されたキュー時間は(表2に示されている制約などの)リソースのキュー時間感度制約外であることもある。キュー時間を処理ステップに付加することによってはリソース衝突が除去不可能である場合、基本周期は延長されることもあり、またリソース衝突は、延長された基本周期に基づいて初期の個別スケジュールについてチェックおよび除去されることもある。   [0067] In some cases, a new resource collision may be created from a queue. As shown in FIG. 5, a new collision between M10 and M3 and between M12 and M2 is generated as a result of the added cue time. In one embodiment of the invention, an updated schedule table may be generated, resource conflicts may be checked against the updated schedule table, and additional queue time may be added to the new conflict after adding queue time. May be introduced. In one embodiment, the queue time may be added to the individual schedule until there are no resource conflicts. However, in some cases, resource collisions may not be removed by adding queue time, or the added queue time is outside the resource's queue time sensitivity constraints (such as the constraints shown in Table 2). Sometimes. If resource collisions cannot be eliminated by adding queue time to the processing step, the base period may be extended, and resource collisions are checked for the initial individual schedule based on the extended base period. And may be removed.

[0068]図6は、本発明の一実施形態に従ったスケジューリング方法400のフローチャートを図示している。スケジューリング方法400はプロセスシーケンスのスケジュールを見つけるように構成されている。スケジュールは、基板間に一貫性のある最大スループットを保証し、キュー時間感度などのリソースの制約を観察する。スケジューリング方法400について得られるスケジュールは個別スケジュールおよび基本周期を備えており、個別スケジュールは、プロセスシーケンス全体でのクラスターツールの個別基板のタイムテーブルを示しており、また基本周期は2つの連続基板の開始時間の間の時間インターバルを示している。例示的スケジュールが表3に示されている。   [0068] FIG. 6 illustrates a flowchart of a scheduling method 400 according to an embodiment of the invention. The scheduling method 400 is configured to find a schedule for a process sequence. The schedule ensures consistent maximum throughput between substrates and observes resource constraints such as queue time sensitivity. The schedule obtained for the scheduling method 400 comprises an individual schedule and a basic period, the individual schedule shows the timetable of the individual substrates of the cluster tool throughout the process sequence, and the basic period is the start of two consecutive substrates. Shows the time interval between hours. An exemplary schedule is shown in Table 3.

[0069]スケジューリング方法400のステップ410において、初期の個別スケジュールはプロセスシーケンスについて判断されてもよい。初期の個別スケジュールは、いずれのステップおよび移動においても待機時間がないクラスターツールにおいて基板のタイムテーブルを備えている、初期の個別スケジュールは概してプロセスシーケンスと、基板が処理されるクラスターツールのトポロジーとによって判断される。   [0069] In step 410 of the scheduling method 400, an initial individual schedule may be determined for the process sequence. The initial individual schedule comprises a timetable for the substrate in a cluster tool that has no waiting time in any step and movement. The initial individual schedule is generally dependent on the process sequence and the topology of the cluster tool on which the substrate is processed. To be judged.

[0070]ステップ420において、初期の基本周期が判断されてもよい。一実施形態では、初期の基本周期は、チャンバやロボットなどのボトルネックリソースの使用期間として設定される。使用期間を算出する実施形態は上記のとおりである。ボトルネックリソースの使用期間として初期の基本周期を設定することは、最高スループットから可能なスケジュール開始を検索することを保証する。   [0070] In step 420, an initial fundamental period may be determined. In one embodiment, the initial basic period is set as a usage period of a bottleneck resource such as a chamber or a robot. The embodiment for calculating the usage period is as described above. Setting the initial basic period as the usage period of the bottleneck resource ensures that a possible schedule start is searched from the highest throughput.

[0071]ステップ430において、スケジュールテーブルは初期の個別スケジュールおよび初期の基本周期に基づいて生成されてもよい。一実施形態では、スケジュールテーブルは、リソースごとに、基本周期以内のタイムテーブルを含んでもよい。例えば、表4に関連するスケジュールテーブルにおいて、各基本周期(0,240)内では、FIロボットが、(0,22)時にM1を、(27,49)時にM2を、(15,33)時にM12を実行する必要がある。   [0071] In step 430, a schedule table may be generated based on the initial individual schedule and the initial fundamental period. In one embodiment, the schedule table may include a time table within a basic period for each resource. For example, in the schedule table related to Table 4, within each basic period (0,240), the FI robot performs M1 at (0,22), M2 at (27,49), and (15,33) It is necessary to execute M12.

[0072]ステップ430において、リソース衝突は生成されたスケジュールテーブルについてチェックされる。一実施形態では、リソース衝突は、基本周期以内の各リソースのタイムテーブルの重複をチェックすることによって判断されてもよい。例えば、表4のFIロボットのタイムテーブルはM1/M12とM2/M12の重複を有する。一実施形態では、リソース衝突チェックは、プロセスシーケンス中に少なくとも2つのステップおよび/または移動によって必要とされるリソースについて実行されてもよい。   [0072] At step 430, resource conflicts are checked against the generated schedule table. In one embodiment, resource collisions may be determined by checking for duplicate timetables for each resource within the fundamental period. For example, the FI robot time table in Table 4 has an overlap of M1 / M12 and M2 / M12. In one embodiment, resource collision checks may be performed on resources required by at least two steps and / or movements during the process sequence.

[0073]リソース衝突がクラスターツールの全リソースのスケジュールテーブルで見つかった場合、スケジュールテーブルに関する個別スケジュールおよび基本周期はこの問題の受容可能な解決策であり、この方法はステップ470に移って、プロセスの現在の個別スケジュールおよび基本周期を出力する。   [0073] If a resource conflict is found in the schedule table for all resources in the cluster tool, the individual schedule and base period for the schedule table are acceptable solutions to this problem, and the method moves to step 470 and the process Output the current individual schedule and basic period.

[0074]スケジュールテーブルにリソース衝突がある場合、リソース衝突は、ステップ450で個別スケジュールを調整することによって除去されることもある。一実施形態では、リソース衝突は、キュー時間を個別スケジュールに付加することによって除去されることもある。一実施形態では、キュー時間は、リソース衝突をもたらすステップのうちの1つを遅延させるために付加されてもよい。一実施形態では、ゲームツリーアルゴリズムがリソース衝突を除去するために使用されてもよい。一実施形態では、リソース制約は、キュー時間を個別スケジュールに付加する場合に考慮される。リソース衝突を除去するための詳細な方法が図7に従って説明される。   [0074] If there is a resource conflict in the schedule table, the resource conflict may be removed by adjusting the individual schedule in step 450. In one embodiment, resource conflicts may be eliminated by adding queue time to the individual schedule. In one embodiment, the queue time may be added to delay one of the steps leading to resource collisions. In one embodiment, a game tree algorithm may be used to remove resource conflicts. In one embodiment, resource constraints are considered when adding queue time to an individual schedule. A detailed method for removing resource conflicts is described according to FIG.

[0075]ステップ450は結果を出力する。ステップ460において、ステップ450からの出力が検証される。個別スケジュールを調整することによってリソース衝突が除去される場合、スケジューリング方法はステップ470に移り、更新済み個別スケジュールおよび現在の基本周期を出力する。しかしながら、個別スケジュールを調整することによってはリソース衝突が除去不可能である場合、スケジューリング方法はステップ480に進む。   [0075] Step 450 outputs the result. In step 460, the output from step 450 is verified. If the resource conflict is eliminated by adjusting the individual schedule, the scheduling method moves to step 470 and outputs the updated individual schedule and the current fundamental period. However, if the resource conflict cannot be removed by adjusting the individual schedule, the scheduling method proceeds to step 480.

[0076]ステップ480において、現在の基本周期が延長される。一実施形態では、基本周期は所定のインクリメントで延長されてもよい。基本周期を延長することによって、スケジューリング方法は、スループットが低下した領域において可能な解決策を検索する。   [0076] In step 480, the current fundamental period is extended. In one embodiment, the fundamental period may be extended by a predetermined increment. By extending the fundamental period, the scheduling method searches for possible solutions in areas where throughput is reduced.

[0077]ステップ490において、更新済みスケジュールテーブルが延長された基本周期および初期の個別スケジュールから生成されて、この場合キュー時間は付加されない。そしてスケジューリング方法はステップ440に進みリソース衝突をチェックする。   [0077] In step 490, an updated schedule table is generated from the extended basic period and the initial individual schedule, in which case no queue time is added. The scheduling method then proceeds to step 440 to check for resource conflicts.

[0078]従って、スケジューリング方法400は、所与のプロセスシーケンスについて、基板間一貫性および最大スループットを具備するスケジュールを提供する。   [0078] Accordingly, the scheduling method 400 provides a schedule with inter-substrate consistency and maximum throughput for a given process sequence.

ゲームツリーアルゴリズム
[0079]本発明の一実施形態では、ゲームツリーアルゴリズムは、スケジュールテーブルの衝突を除去するために、スケジューリング方法400などのスケジューラーで使用されてもよい。
Game tree algorithm
[0079] In one embodiment of the present invention, the game tree algorithm may be used in a scheduler, such as scheduling method 400, to eliminate schedule table collisions.

[0080]ゲームツリーのコンセプトは、システムが所与のゲームに勝つことができる最も可能性の高い移動を判断するためにゲームセオリーで使用される。ゲームツリーは有向性非周期グラフであり、ここでは非周期グラフの各ノードはシステムの状態、例えば個別スケジュールおよび基本周期であり、各縁部は移動、例えば個別スケジュールまたは基本周期への変化を表している。1対のノードと、この1対のノードを接続する縁部は、移動が実行される場合にシステムで生じる差分変化とみなされることが可能である。ゲームの各ステップにおいて、最も可能性の高い移動が、ゲームツリーを検索することによって選択される。   [0080] The game tree concept is used in the game theory to determine the most likely move that the system can win a given game. The game tree is a directed aperiodic graph, where each node of the aperiodic graph is the state of the system, eg, individual schedule and basic period, and each edge is moved, eg, changed to an individual schedule or basic period. Represents. A pair of nodes and the edge connecting the pair of nodes can be considered a differential change that occurs in the system when a move is performed. At each step of the game, the most likely move is selected by searching the game tree.

[0081]ゲームツリーは、結合的問題を解決するのに使用されるデータ構造とみなされることもある。この特定の場合のスケジューリングにおいて、ロボットなどのリソースの衝突は、2つ以上のステップが重複する場合に1つのステップの開始時間および終了時間を再配列することによって解決可能である。システムが解決に向かうべき次の移動は、リソース衝突を最小化するために最良の再配列順序を選択される。しかしながら、このアルゴリズムは階乗順であるため、必要な計算リソースは、多数の移動が考慮される場合には非常に大きい。ゆえに考慮すべき可能性の数を低減するための効率的な方法が、この解決策を実行可能にするために必要である。考慮すべき可能性の数を低減することはゲームツリーのトリミングと称される。本発明の一実施形態では、ツリーのトリミングは、最大キュー時間制約を使用して多数の可能性を排除することによって達成されることもある。最大キュー時間制約は所定の時間であってもよく、またターゲットプロセスシーケンスによって与えられてもよい。最大キュー時間はまた、所定でなければ、基本周期に基づいてスケジューラーによって選択されてもよい。所与のリソースについて、最大キュー時間は以下の式を使用して選択されてもよい:
最大キュー時間=(ボトルネックリソースの使用期間−(対象リソースの使用期間)、シーケンスのユーザー画成値)の最大値
[0082]本発明の一実施形態では、ゲームツリーは、第1の実行可能な解決策を見つけるために使用される。最良の解決策ではなく第1の実行可能な解決策を選択することによって、この問題は大きく簡略化される。スケジューラーの目的はスループットを最大化することである。基本周期が設定されると、キュー時間は上記の最大キュー時間の式によって制約されるため付加されたキュー時間はボトルネック期間を変更しないため、キュー時間を各ステップに付加することによってはスループット値は変更できない。従って、リソース衝突を解決するという問題は、スループットの最大化と対抗する。最良の解決策は、これが検索された場合には、各ステップで必要とされるキュー時間を最小化する解決策であろう。しかしこれは、長期の稼動生産バッチに対してごく少量の利得につながるだけである。効率については、第1の実行可能な解決策が、もし見つかったならば、検索の終了を判断する。
[0081] A game tree may be viewed as a data structure used to solve an associative problem. In this particular case of scheduling, resource collisions such as robots can be resolved by rearranging the start and end times of one step when two or more steps overlap. The next move that the system should head for resolution is selected with the best reordering order to minimize resource collisions. However, since this algorithm is in factorial order, the required computational resources are very large when multiple movements are considered. Therefore, an efficient way to reduce the number of possibilities to consider is necessary to make this solution feasible. Reducing the number of possibilities to consider is referred to as game tree trimming. In one embodiment of the invention, tree trimming may be accomplished by using a maximum queue time constraint to eliminate multiple possibilities. The maximum queue time constraint may be a predetermined time and may be given by the target process sequence. The maximum queue time may also be selected by the scheduler based on the fundamental period if not predetermined. For a given resource, the maximum queue time may be selected using the following formula:
Maximum queue time = maximum value of (bottleneck resource usage period-(target resource usage period), sequence user-defined value)
[0082] In one embodiment of the invention, the game tree is used to find a first viable solution. By choosing the first viable solution instead of the best solution, this problem is greatly simplified. The purpose of the scheduler is to maximize throughput. When the basic period is set, the queue time is constrained by the above maximum queue time formula, so the added queue time does not change the bottleneck period. Cannot be changed. Thus, the problem of resolving resource conflicts counters maximizing throughput. The best solution would be a solution that, if found, minimizes the queue time required at each step. However, this only leads to a negligible gain over long production batches. For efficiency, if a first viable solution is found, determine the end of the search.

[0083]上記の簡略化によって、アルゴリズムは完全な検索ツリーを構築する必要がない。本発明の方法は、検索ツリーの構築を開始して、ブランチが巡回依存性、つまりリソース衝突の再発につながる場合には、最大キュー時間制約を侵害する一部のブランチを拒絶する。全衝突を解決する検索ツリーの第1のブランチが見つかった場合、アルゴリズムは終了して、これを解決策として使用する。   [0083] With the above simplification, the algorithm need not build a complete search tree. The method of the present invention starts building the search tree and rejects some branches that violate the maximum queue time constraint if the branch leads to cyclic dependencies, ie, resource collisions reoccurring. If the first branch of the search tree that resolves all conflicts is found, the algorithm ends and uses this as the solution.

[0084]本発明に従ったゲームツリーは深さを優先して作成されることもある。スケジューラーは全処理ステップ/移動を反復して、各ステップ/移動の実行についてリソースを割り当てる。複数のリソースがステップの実行に利用可能である場合、スケジューラーは使用可能なリソースを多重化して、均一な負荷分布を達成する、リソースを割り当てた後、スケジューラーはリソース衝突があるか否かをチェックする。再発するリソース衝突を識別するために、スケジューラーはまた、これが解決した全リソース衝突の履歴を維持する。任意のステップを遅延させる前に、スケジューラーは衝突履歴テーブルに問い合わせて、同じリソース衝突が過去に解決されているか否かを調べる。リソース衝突が過去に解決されていれば、スケジューラーは変更を拒絶して、もう1つの実行可能な解決策を見つけようと試みる。ゲームツリーをトリミングするために、算出された遅延は、所与のステップの最大キュー時間制約と比較される。このステップは、制約条件が侵害されなければ遅延されるにすぎない。   [0084] A game tree according to the present invention may be created with depth in mind. The scheduler iterates through all process steps / movements and allocates resources for each step / movement execution. If multiple resources are available for step execution, the scheduler multiplexes the available resources to achieve a uniform load distribution. After allocating resources, the scheduler checks for resource collisions. To do. To identify recurring resource conflicts, the scheduler also maintains a history of all resource conflicts that it has resolved. Before delaying any step, the scheduler queries the collision history table to see if the same resource collision has been resolved in the past. If resource conflicts have been resolved in the past, the scheduler rejects the change and tries to find another viable solution. To trim the game tree, the calculated delay is compared to the maximum cue time constraint for a given step. This step is only delayed if the constraints are not violated.

[0085]ステップIとKのリソース衝突を解決するために、スケジューラーは、このリソース衝突を除去するための2つの方法、つまりステップIの遅延およびステップKの遅延を有している。この2つの解決策はゲームツリーの2つの異なるブランチにつながる。本発明の一実施形態では、スケジューラーはまず、より早い相対的開始時間を有するステップを遅延させようと試みる。ステップKがステップIより早い相対的開始時間を有している場合、スケジューラーはステップKを最初に遅延させるためにキュー時間を付加しようと試みる。衝突履歴テーブルが同じ衝突を有しておらず、また最大キュー時間制約が満たされる場合、新たな子ノードが作成されて、スケジュールテーブルが、ステップKで新たな遅延を反映するように調整される。ステップKがΔ秒遅延される場合、ステップK+1〜ステップN(ここで、Nはステップ総数)であるステップKに続く全ステップの開始時間もまた同じΔだけ増大される。   [0085] In order to resolve the resource conflicts of steps I and K, the scheduler has two ways to eliminate this resource conflict: step I delay and step K delay. These two solutions lead to two different branches of the game tree. In one embodiment of the invention, the scheduler first attempts to delay the step with the earlier relative start time. If step K has a relative start time earlier than step I, the scheduler attempts to add a queue time to delay step K first. If the collision history table does not have the same collision and the maximum queue time constraint is met, a new child node is created and the schedule table is adjusted to reflect the new delay in step K . If step K is delayed by Δ seconds, the start times of all steps following step K, which are step K + 1 to step N, where N is the total number of steps, are also increased by the same Δ.

[0086]リソース衝突を解決した後、スケジューラーは、キュー時間が付加された後の更新済みスケジュールテーブルのリソース衝突を検索する。リソース衝突は、リソース衝突を除去するために導入される遅延ゆえに作成されることもあり、あるいは既に存在していることもある。   [0086] After resolving the resource conflict, the scheduler searches for a resource conflict in the updated schedule table after the queue time has been added. Resource conflicts may be created because of delays introduced to eliminate resource conflicts, or may already exist.

[0087]ステップKが任意の制約侵害ゆえに遅延不可能である場合、スケジューラーは、ステップIの遅延を試みることによって次のブランチに移動する。これは衝突反転と称されることもある。ステップKの遅延およびステップIの遅延という両方の可能性が拒絶される場合、スケジューラーはゲームツリーを上に移動させて、親ノードの衝突を反転する。各リソース衝突は正確に2つの方法で解決可能であるため、衝突を解決しようとする試みの回数カウントが使用されることもある。カウントが2を超えると、現在のノードには解決策がなく、スケジューラーはゲームツリーを上に移動させ、ブランチにおける他の衝突を試み、反転する。   [0087] If step K cannot be delayed due to any constraint violation, the scheduler moves to the next branch by attempting the delay of step I. This is sometimes referred to as collision reversal. If the possibility of both Step K delay and Step I delay is rejected, the scheduler moves the game tree up to reverse the parent node collision. Since each resource conflict can be resolved in exactly two ways, a count of the number of attempts to resolve the conflict may be used. If the count exceeds 2, the current node has no solution and the scheduler moves the game tree up and tries and reverses other collisions in the branch.

[0088]全ステップにリソースが割り当てられており、かつ衝突がない場合、解決策が受容され、各ステップの遅延がスケジューラーによって使用される。   [0088] If resources are allocated to all steps and there are no conflicts, the solution is accepted and the delay of each step is used by the scheduler.

[0089]場合によっては、1セットのリソース衝突の解決策はない。ゲームツリー方法は解決策を送り返さず、スケジューラーは次いで、わずかな差分だけ基本周期を増大させて、延長基本周期を使用するスケジューラーテーブルにおいて相対的時間を再作成する。   [0089] In some cases, there is no set of resource collision solutions. The game tree method does not send back a solution, and the scheduler then increases the fundamental period by a small difference and recreates the relative time in the scheduler table that uses the extended fundamental period.

[0090]図7は、本発明の一実施形態に従ったリソース衝突除去方法500のフローチャートを図示している。この方法500は、第1の実行可能な解決策を検索して、所与のプロセスシーケンスおよび所与の基本周期のリソース衝突を除去するように構成されている。上述のゲームツリーセオリーが方法500で使用される。方法500は、図6のスケジューリング方法400のステップ450で使用されてもよい。   [0090] FIG. 7 illustrates a flowchart of a resource collision removal method 500 according to an embodiment of the present invention. The method 500 is configured to search for a first viable solution and eliminate resource conflicts for a given process sequence and a given base period. The game tree theory described above is used in method 500. Method 500 may be used in step 450 of scheduling method 400 of FIG.

[0091]ステップ502において、個別スケジュールおよび基本周期に基づいたスケジュールが提供される。スケジュールはリソース衝突を有している。一実施形態では、リソース衝突がスケジュールから検出されることもある。   [0091] In step 502, a schedule based on the individual schedule and the fundamental period is provided. The schedule has resource conflicts. In one embodiment, resource conflicts may be detected from the schedule.

[0092]ステップ504において、現在の衝突は、除去されるべきリソース衝突であり、スケジュールの第1のリソース衝突に設定される。一実施形態では、第1のリソース衝突が個別スケジュールのタイムテーブルにおける第1の衝突接触(encounter)によって画成される。例えば、図4の衝突1。   [0092] In step 504, the current collision is the resource collision to be removed and is set to the first resource collision in the schedule. In one embodiment, the first resource collision is defined by a first collision contact in the timetable of the individual schedule. For example, collision 1 in FIG.

[0093]ステップ506において、衝突履歴が、現在の衝突がすでに解決されているか否かをチェックするために検索されることもある。   [0093] In step 506, the collision history may be searched to check whether the current collision has already been resolved.

[0094]現在の衝突が衝突履歴にない場合、現在の衝突はステップ508において衝突履歴に付加される。   [0094] If the current collision is not in the collision history, the current collision is added to the collision history at step 508.

[0095]ステップ510において、現在の衝突を除去するための第1の解決策が試みられる。ステップ510は、現在の衝突を除去するために開始時間がより早いステップを遅延するのに必要なキュー時間の算出を含んでもよい。一実施形態では、現在の衝突に関するカウンターが1に設定されて、現在の衝突を解決するためになされる試みの回数をマークする。   [0095] In step 510, a first solution for removing the current collision is attempted. Step 510 may include calculating the cue time required to delay the earlier start time step to remove the current collision. In one embodiment, the counter for the current collision is set to 1 to mark the number of attempts made to resolve the current collision.

[0096]ステップ512において、算出されたキュー時間は最大キュー時間制約と比較されることもある。一実施形態では、リソースの最大キュー時間制約が、ユーザー画成制約の最小値と、ボトルネックリソースの使用期間とリソースの使用期間の差とによって画成されてもよい。   [0096] In step 512, the calculated queue time may be compared to a maximum queue time constraint. In one embodiment, a resource maximum queue time constraint may be defined by a minimum value of a user defined constraint and a difference between a bottleneck resource usage period and a resource usage period.

[0097]算出されたキュー時間が最大キュー時間制約以内の場合、現在の個別スケジュールは、ステップ514に示されているように現在の衝突の開始時間がより早いステップを遅延させるために、算出されたキュー時間を付加することによって更新されてもよい。   [0097] If the calculated queue time is within the maximum queue time constraint, the current individual schedule is calculated to delay the step with the earlier start time of the current collision as shown in step 514. It may be updated by adding a queue time.

[0098]ステップ516において、衝突は、更新済みの個別スケジュールおよび現在の基本周期についてチェックされてもよい。衝突が存在しない場合、方法500は解決策を見つけたのである。現在の個別スケジュールおよび現在の基本周期はステップ520で解決策として出力されてもよい。しかしながら、衝突がステップ516で検出されると、方法はステップ518に進み、ここで現在の衝突は更新済みスケジュールの第1の衝突に設定される。方法は次いでステップ506に移って戻る。   [0098] In step 516, the collision may be checked for the updated individual schedule and the current fundamental period. If there is no collision, method 500 has found a solution. The current individual schedule and current basic period may be output as a solution at step 520. However, if a collision is detected at step 516, the method proceeds to step 518 where the current collision is set to the first collision of the updated schedule. The method then moves back to step 506.

[0099]ステップ512を再度参照すると、算出されたキュー時間が最大キュー時間制約の要件を満たさない場合、アルゴリズムはステップ522に移る。   [0099] Referring back to step 512, if the calculated queue time does not meet the maximum queue time constraint requirement, the algorithm moves to step 522.

[0100]ステップ522において、現在の衝突が反転され、この場合、開始時間が遅いステップを遅延させて現在の衝突を除去するのに必要なキュー時間が算出される。一実施形態では、現在の衝突に関するカウンターが2に設定されて、現在の衝突を解決するためになされる試みの回数をマークする。   [0100] In step 522, the current collision is reversed, in which case the cue time required to delay the step with the slower start time and remove the current collision is calculated. In one embodiment, a counter for the current collision is set to 2 to mark the number of attempts made to resolve the current collision.

[0101]ステップ524において、ステップ522から算出されたキュー時間は最大キュー時間制約と比較される。算出されたキュー時間が最大キュー時間制約を満たす場合、この方法はステップ514に移って、現在の個別スケジュールを更新する。しかしながら、算出されたキュー時間が最大キュー時間制約を満たさない場合、現在の衝突はステップ526において衝突履歴から除去される。   [0101] In step 524, the queue time calculated from step 522 is compared to a maximum queue time constraint. If the calculated queue time satisfies the maximum queue time constraint, the method moves to step 514 and updates the current individual schedule. However, if the calculated cue time does not satisfy the maximum cue time constraint, the current collision is removed from the collision history at step 526.

[0102]ステップ528において、衝突履歴がチェックされる。衝突履歴が空の場合、この方法はステップ532に移る。ステップ532において、現在の基本周期は拒絶されて、この方法は、リソース衝突を除去するための解決策を送り返さない。   [0102] In step 528, the collision history is checked. If the collision history is empty, the method moves to step 532. In step 532, the current fundamental period is rejected and the method does not send back a solution to eliminate resource collisions.

[0103]衝突履歴が空でない場合、方法はステップ530に進み、ここでは現在の衝突がロールバックされて、衝突履歴に記憶されている最後に除去された衝突に設定される。方法はステップ521に移る。   [0103] If the collision history is not empty, the method proceeds to step 530 where the current collision is rolled back and set to the last removed collision stored in the collision history. The method moves to step 521.

[0104]ステップ521において、現在の衝突と関連したカウンターがチェックされる。カウンター=2は、現在の衝突が2回解決されている、つまりフォワード解決策(早いステップ遅延)およびリバース解決策(遅いステップ遅延)であることを示している。カウンターは、フォワード解決策のみが試みられたことを示すものである。ステップ521において、カウンターが2の場合、方法はステップ526に移って、現在の衝突を衝突履歴から除去して、もう一度ステップをロールバックする。カウンターが1の場合、方法はステップ522に進み、現在の衝突を反転するように試みる。   [0104] In step 521, the counter associated with the current collision is checked. Counter = 2 indicates that the current collision has been resolved twice: forward solution (fast step delay) and reverse solution (slow step delay). The counter indicates that only forward solutions have been attempted. In step 521, if the counter is 2, the method moves to step 526 to remove the current collision from the collision history and roll back the step again. If the counter is 1, the method proceeds to step 522 and attempts to reverse the current collision.

[0105]ステップ506を再度参照すると、現在の衝突が衝突履歴にある場合、方法はステップ521に移って、フォワードおよびリバース両方の解決策が試みられたか否かを判定する。   [0105] Referring back to step 506, if the current collision is in the collision history, the method moves to step 521 to determine whether both forward and reverse solutions have been attempted.

定期洗浄
[0106]定期洗浄は、プロセスシーケンスのうち1つのステップでW個の基板ごとに稼動されるレシピである。定期洗浄は基板ごとには実行されないため、正常なスケジュールプロセスには含まれることはない。
Regular cleaning
[0106] Periodic cleaning is a recipe that is run for every W substrates in one step of the process sequence. Regular cleaning is not performed for each substrate and is therefore not included in the normal schedule process.

[0107]本発明の一実施形態では、定期洗浄はスケジューリングの特殊な場合として取り扱われる。定期洗浄は、基本周期の算出に使用されるのみである。ボトルネックリソースや基本周期の使用期間は、2つの後続基板の開始時間の間の経過時間とみなされることが可能である。算出された基本周期は基板をクラスターツールに供給するのに使用されるため、(単一のステップに割り当てられ、かつこの単一ステップの唯一のチャンバである)各チャンバは算出された基本周期ごとに基板を受け取る。チャンバが算出された基本周期以内で基板処理を完了できる場合、チャンバの衝突はない。チャンバにおける基板処理は概して、レシピ時間と、基板移送時間と、チャンバが必要とする事後処理とを含んでいる。一実施形態では、スケジューラーは基本周期を算出するための定期洗浄レシピ時間を含んでいるため、定期洗浄もまた、次の基板が到着する前にチャンバにおいて完了可能である。   [0107] In one embodiment of the present invention, periodic cleaning is treated as a special case of scheduling. Periodic cleaning is only used to calculate the basic period. The usage period of the bottleneck resource or the basic cycle can be regarded as an elapsed time between the start times of two subsequent substrates. Since the calculated fundamental period is used to supply the substrate to the cluster tool, each chamber (assigned to a single step and being the only chamber of this single step) is calculated per fundamental period To receive the substrate. If the chamber can complete the substrate processing within the calculated fundamental period, there is no chamber collision. Substrate processing in the chamber generally includes recipe time, substrate transfer time, and post processing required by the chamber. In one embodiment, the scheduler includes a periodic cleaning recipe time to calculate the base period, so that the periodic cleaning can also be completed in the chamber before the next substrate arrives.

[0108]本発明の一実施形態では、定期洗浄は、基本周期を算出する場合には考慮されない。そしてスケジューラーは定期洗浄要件を有するシステムの全チャンバで反復して、基板プロセス時間、基板移送時間および任意の付加キュー時間に加えて定期洗浄が算出された基本周期以内で完了可能であるか否かをテストする。次の基板が到着する前に定期洗浄が完了可能である場合、算出された基本周期はスケジューリングに使用されてもよい。   [0108] In one embodiment of the present invention, periodic cleaning is not considered when calculating the fundamental period. The scheduler then iterates through all chambers of the system with periodic cleaning requirements to determine whether periodic cleaning can be completed within the calculated basic period in addition to substrate process time, substrate transfer time and any additional cue time. To test. If the periodic cleaning can be completed before the next substrate arrives, the calculated fundamental period may be used for scheduling.

[0109]次の基板が到着する前に定期洗浄が完了できない場合、定期洗浄が増大された基本周期以内で完了できるように基本周期が増大される。   [0109] If the periodic cleaning cannot be completed before the next substrate arrives, the basic period is increased so that the periodic cleaning can be completed within the increased basic period.

[0110]このステップで使用されるチャンバが2つ以上ある場合、スケジューラーは、このステップにおけるチャンバの使用を交互させることを考慮する。所与の時点では、定期洗浄が起動されるまで、1つのチャンバのみが全基板に対して使用される。定期洗浄条件に達すると、シーケンサーはグループ内の次のチャンバに基板を送り始めるのに対して、第1のチャンバは定期洗浄レシピを稼動する。従って、N個のチャンバが使用されるステップにおいて、所与の時点では、チャンバのうちの1つが基板を処理している間に(N−1)個のチャンバが定期洗浄を実行している。これはストールフリー実行を保証する。しかしながら、定期洗浄レシピが、基本周期のN倍以内に完了できないほど長い場合、定期洗浄はシステムをストールさせる。ストール時間は、
ストール時間=(定期洗浄レシピ時間)−N基本周期に等しい。スケジューラーは、N+1個の基板ごとに算出されたストール時間の期間ストールする。
[0110] If there are two or more chambers used in this step, the scheduler considers alternating chamber usage in this step. At a given time, only one chamber is used for all substrates until periodic cleaning is activated. When the periodic cleaning condition is reached, the sequencer begins to deliver the substrate to the next chamber in the group, while the first chamber runs the periodic cleaning recipe. Thus, in a step where N chambers are used, at a given time, (N-1) chambers are performing periodic cleaning while one of the chambers is processing the substrate. This guarantees stall-free execution. However, if the periodic cleaning recipe is so long that it cannot be completed within N times the basic period, the periodic cleaning will stall the system. Stall time
Stall time = (regular cleaning recipe time) −N * equal to basic period. The scheduler stalls during the stall time calculated for every N + 1 substrates.

[0111]図8は、本発明の一実施形態に従った定期洗浄スケジュールの判断方法600のフローチャートを図示している。   [0111] FIG. 8 illustrates a flowchart of a method 600 for determining a periodic cleaning schedule according to an embodiment of the present invention.

[0112]ステップ602において、定期チャンバ洗浄を必要とするステップごとに、方法600は、2つ以上のチャンバが使用されているか否かをチェックする。   [0112] At step 602, for each step requiring periodic chamber cleaning, the method 600 checks whether two or more chambers are being used.

[0113]定期洗浄を必要とするステップのチャンバが1つだけの場合、この方法は、ステップ604において基板プロセス時間、基板移送時間および任意の付加キュー時間に加えて定期洗浄が基本周期以内で完了可能であるか否かをテストする。   [0113] If there is only one chamber for the step that requires periodic cleaning, the method will complete the periodic cleaning within the basic period in step 604 in addition to the substrate process time, substrate transfer time and any additional cue time. Test whether it is possible.

[0114]プロセス時間、移送時間および付加キュー時間に加えて定期洗浄が基本周期以内で完了可能である場合、スケジューラーは、ステップ606に示されているように、定期洗浄を基本周期以内で実行されるように設定する。   [0114] If the periodic cleaning can be completed within the basic period in addition to the process time, transfer time, and additional queue time, the scheduler executes the periodic cleaning within the basic period, as shown in step 606. Set to

[0115]しかしながら、プロセス時間、移送時間および付加キュー時間に加えて定期洗浄が基本周期以内で完了できない場合、定期洗浄が、ステップ608に示されているように、基本周期以内で実行されるように、スケジューラーは基本周期を延長する。   [0115] However, if the periodic cleaning cannot be completed within the basic period in addition to the process time, transfer time, and additional queue time, the periodic cleaning is performed within the basic period, as shown in step 608. In addition, the scheduler extends the basic period.

[0116]ステップ602を再度参照すると、定期洗浄を必要とするステップについて2つ以上のチャンバがある場合、スケジューラーはステップ612に移って、洗浄時間が基本周期のN倍より長いか否かを判断し、ここでNはこのステップを実行するのに使用可能なチャンバ数である。   [0116] Referring back to step 602, if there are two or more chambers for a step that requires periodic cleaning, the scheduler moves to step 612 to determine whether the cleaning time is longer than N times the basic period. Where N is the number of chambers available to perform this step.

[0117]洗浄時間が基本周期のN倍より短い場合、定期洗浄は現在の基本周期を使用して配列されてもよい。   [0117] If the cleaning time is shorter than N times the basic period, the periodic cleaning may be arranged using the current basic period.

[0118]洗浄時間が基本周期のN倍より長い場合、スケジューラーはステップ614に移って、定期洗浄を実行するためにN個の基板ごとにストール時間を算出する。
ランタイム変動および動的スケジュール調整
[0119]本発明の一実施形態では、静的スケジュールが(クラスターツールのプロセスシーケンスをコントロールする)シーケンサーが開始する前に作成されて、クラスターツールの動きを判定するための入力として使用される。任意の基板移送ジョブを開始する前に、シーケンサーはスケジューラーに、衝突を回避するためにさらなる遅延が必要か否かを調べるように問い合わせる。しかしながら、レシピを実行するのにかかる実際の時間は、特にエンドポイントベースレシピにおいて変化することもあるため、スケジューラーはまた、プロセスシーケンスが実行されている間のシステムを監視する。スケジューラーはそして、実際の時間に基づいて静的スケジュールで算出された遅延を調整する。例えば、ステップkの開始時間は100秒の時点であり、このステップ後の遅延は静的スケジュールにおいては30秒であった。基板移送時間変動ゆえに基板が102秒の時点でチャンバに到着すると、スケジューラーは、基板がレシピを完了させた後に28秒だけ待機するようにシーケンサーを調整する。
[0118] If the cleaning time is longer than N times the basic period, the scheduler moves to step 614 and calculates the stall time for each of the N substrates to perform periodic cleaning.
Runtime variation and dynamic schedule adjustment
[0119] In one embodiment of the present invention, a static schedule is created before the sequencer (which controls the process sequence of the cluster tool) is started and used as input to determine the movement of the cluster tool. Prior to initiating any substrate transfer job, the sequencer asks the scheduler to see if further delays are needed to avoid collisions. However, since the actual time it takes to execute a recipe can vary, particularly in endpoint-based recipes, the scheduler also monitors the system while the process sequence is being executed. The scheduler then adjusts the delay calculated in the static schedule based on the actual time. For example, the start time of step k was 100 seconds, and the delay after this step was 30 seconds in the static schedule. If the substrate arrives at the chamber at 102 seconds due to substrate transfer time variation, the scheduler adjusts the sequencer to wait for 28 seconds after the substrate completes the recipe.

実施例
[0120]プロセスシーケンスをスケジューリングするための本発明の方法を使用する簡単な実施例が提供される。3つのチャンバCH1、CH2、CH3を有する単一のクラスターツールは、チャンバCH1、CH2、CH3間での全基板移送を実行するための単一ブレードロボットRIを具備している。2つのロードロックLLA、LLBは、単一のクラスターツールの内外に基板を移動させるために使用される。単一のブレードファクトリインタフェースロボットFIは、カセットとロードロックLLA、LLB間で移送するために使用される。
Example
[0120] A simple embodiment using the inventive method for scheduling a process sequence is provided. A single cluster tool having three chambers CH1, CH2, CH3 comprises a single blade robot RI for performing all substrate transfers between chambers CH1, CH2, CH3. Two load locks LLA, LLB are used to move the substrate in and out of a single cluster tool. A single blade factory interface robot FI is used to transfer between the cassette and the load locks LLA, LLB.

[0121]入力シーケンスおよびレシピ時間が表7に示されている。表8は、相対的な開始および終了時間の算出と、ロボットR1の移動の衝突とを示している。   [0121] The input sequence and recipe time are shown in Table 7. Table 8 shows the calculation of the relative start and end times and the collision of the movement of the robot R1.

Figure 2008263177
Figure 2008263177

Figure 2008263177
Figure 2008263177

Figure 2008263177
Figure 2008263177

[0122]表8の相対的時間は表9で算出された基本周期に基づいて算出される。「備考」欄に描かれているように、スケジュールを完了させるために解決されるべき2つの衝突がある。解決策が見つけられて、表10に示されている。 [0122] The relative time in Table 8 is calculated based on the fundamental period calculated in Table 9. As depicted in the “Remarks” column, there are two conflicts that should be resolved to complete the schedule. Solutions are found and are shown in Table 10.

Figure 2008263177
Figure 2008263177

[0123]本発明の実施形態は、プロセスシーケンスを実行するように構成されているクラスターツールをコントロールするためのコンピュータシステムと併用するためのプログラム製品として具現化可能である。プログラム製品の(複数の)プログラムは本発明の実施形態の機能を画成して、多様な信号担持媒体に含有されることが可能である。事例的信号担持媒体は(i)書き込みできない記憶媒体(例えば、CD−ROMドライブによって読み取り可能なCD−ROMディスクなどのコンピュータ内のリードオンリーメモリデバイス)に永続的に記憶されている情報、(ii)書き込み可能な記憶媒体(例えば、ディスケットドライブやハードディスクドライブ内のフロッピーディスク)に記憶されている変更可能な情報、あるいは(iii)例えば無線通信を含むコンピュータや電話ネットワークを介する通信媒体によってコンピュータに伝えられる情報を含んでいるが、これらに制限されない。後者の実施形態は特に、インターネットおよび他のネットワークからダウンロード可能な情報を含んでいる。このような信号担持媒体は、本発明の機能に指示を与えるコンピュータ読み取り可能な命令を搬送する場合に、本発明の実施形態を表す。   [0123] Embodiments of the present invention can be embodied as a program product for use with a computer system for controlling a cluster tool configured to execute a process sequence. The program (s) of the program product defines the functions of the embodiments of the present invention and can be included in a variety of signal bearing media. An exemplary signal bearing medium is (i) information permanently stored in a non-writable storage medium (eg, a read-only memory device in a computer such as a CD-ROM disk readable by a CD-ROM drive), (ii ) Changeable information stored in a writable storage medium (eg, a floppy disk in a diskette drive or hard disk drive), or (iii) communicate to the computer via a communication medium such as a computer or telephone network including wireless communication Information, but is not limited to these. The latter embodiment specifically includes information downloadable from the Internet and other networks. Such signal bearing media represent an embodiment of the present invention when carrying computer readable instructions that direct the functionality of the present invention.

[0124]一般的に、本発明の実施形態を具現化するために実行されるルーチンは、オペレーティングシステムや特定用途、コンポーネント、プログラム、モジュール、オブジェクトあるいは命令シーケンスの一部であってもよい。より特定的には、本発明の実施形態を具現化するために実行されるルーチンは、例えば初期プログラムロード(IPL)時に起動される自動スクリプトの一部であってもよい。本発明のコンピュータプログラムは通常、ネイティブコンピュータによってマシーン読み取り可能なフォーマットに変換される複数の命令、ゆえに実行可能な命令を備えている。また、プログラムは、プログラムに対してローカルに常駐しているか、メモリや記憶デバイスに見られる変数およびデータ構造を備えている。加えて、ここに説明されている種々のプログラムは、本発明の特定の実施形態で具現化される用途に基づいて識別されてもよい。しかしながら、以下の具体的なプログラム用語は便宜的に使用されるにすぎず、従って本発明は、このような用語によって識別および/または示唆される特定の用途でのみ使用することに制限されるべきではない。   [0124] In general, the routines executed to implement an embodiment of the invention may be part of an operating system, a specific application, a component, a program, a module, an object, or an instruction sequence. More specifically, the routine executed to implement an embodiment of the present invention may be part of an automatic script that is invoked, for example, at initial program load (IPL). The computer program of the present invention typically comprises a plurality of instructions that are converted into a machine-readable format by a native computer, and therefore executable instructions. The program also has variables and data structures that reside locally with respect to the program or are found in memory or storage devices. In addition, the various programs described herein may be identified based on the application embodied in a particular embodiment of the invention. However, the following specific program terms are used for convenience only and, therefore, the invention should be limited to use only in the specific applications identified and / or suggested by such terms. is not.

[0125]ゲートポリシリコンを生成するためのクラスターツールのみが本出願で説明されているとしても、本発明は、処理ステップシーケンスが実行可能な他の処理ツールに適合可能である。当業者は本発明を適用可能な環境で適合させることができる。   [0125] Even though only the cluster tool for generating gate polysilicon is described in this application, the present invention is adaptable to other processing tools capable of performing processing step sequences. One skilled in the art can adapt the present invention in an applicable environment.

[0126]上記は本発明の実施形態を目的としているが、本発明の他のさらなる実施形態が、この基本的範囲から逸脱することなく考案されてもよく、またこの範囲は以下の請求項によって判定される。   [0126] While the above is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, which scope is defined by the claims that follow. Determined.

図1は、本発明の一実施形態に従った半導体処理用クラスターツールを概略的に図示している。FIG. 1 schematically illustrates a cluster tool for semiconductor processing according to an embodiment of the present invention. 図2は、ゲートスタックを堆積するためのプロセスシーケンスのフローチャートを図示している。FIG. 2 illustrates a flowchart of a process sequence for depositing the gate stack. 図3Aは、本発明の一実施形態に従った例示的プロセスシーケンスのフローチャートを概略的に図示している。FIG. 3A schematically illustrates a flowchart of an exemplary process sequence according to one embodiment of the present invention. 図3Bは、図1のクラスターツールにおいて図3Aのプロセスシーケンスで処理される基板のルートを概略的に図示している。FIG. 3B schematically illustrates the route of the substrate processed in the process sequence of FIG. 3A in the cluster tool of FIG. 図4は、キュー時間のない図3Aのプロセスシーケンスのスケジュールテーブルのレシピ図を概略的に図示している。FIG. 4 schematically illustrates a recipe diagram for the schedule table of the process sequence of FIG. 3A without queue time. 図5は、本発明の一実施形態に従った図4の更新済みスケジュールテーブルのレシピ図を概略的に図示している。FIG. 5 schematically illustrates a recipe diagram of the updated schedule table of FIG. 4 according to one embodiment of the present invention. 図6は、本発明の一実施形態に従ったスケジューリング方法のフローチャートを図示している。FIG. 6 illustrates a flowchart of a scheduling method according to an embodiment of the present invention. 図7は、本発明の一実施形態に従ったリソース衝突除去方法のフローチャートを図示している。FIG. 7 illustrates a flowchart of a resource collision elimination method according to an embodiment of the present invention. 図8は、本発明の一実施形態に従った定期洗浄スケジュール判断方法のフローチャートを図示している。FIG. 8 illustrates a flowchart of a method for determining a periodic cleaning schedule according to an embodiment of the present invention.

符号の説明Explanation of symbols

100…クラスターツール、101…真空気密処理プラットフォーム、102…ファクトリインタフェース、103、104…真空基板移送チャンバ、105、107…ロボット、106A、106B…基板移送プラットフォーム、108、110、112、114、116、118…処理チャンバ、120…ロードロックチャンバ、128…フロントオープニングユニファイドポッド、128A、128B…FOUP、138…基板移送ロボット、140…基板整列器、200…プロセスシーケンス、202、204、205、206、208、210、410、420、430、440、450、460、470、480、490、502、504、506、508、510、512、514、516、518、520、521、522、524、526、528、530、532、602、604、606、608、612、614…ステップ、400…スケジューリング方法、500…リソース衝突除去方法、600…定期洗浄スケジュールの判断方法 DESCRIPTION OF SYMBOLS 100 ... Cluster tool, 101 ... Vacuum-tight process platform, 102 ... Factory interface, 103, 104 ... Vacuum substrate transfer chamber, 105, 107 ... Robot, 106A, 106B ... Substrate transfer platform, 108, 110, 112, 114, 116, 118 ... Processing chamber, 120 ... Load lock chamber, 128 ... Front opening unified pod, 128A, 128B ... FOUP, 138 ... Substrate transfer robot, 140 ... Substrate aligner, 200 ... Process sequence, 202, 204, 205, 206, 208, 210, 410, 420, 430, 440, 450, 460, 470, 480, 490, 502, 504, 506, 508, 510, 512, 514, 516, 518, 520, 521, 522 524,526,528,530,532,602,604,606,608,612,614 ... Step, 400 ... scheduling method, 500 ... resource conflict removal method, a method determines the 600 ... regular cleaning schedule

Claims (15)

プロセスシーケンスをスケジューリングするための方法であって、
前記プロセスシーケンスを実行するためにリソースを割り当てることによって個別スケジュールを判断するステップであって、前記個別スケジュールが、個別基板が前記プロセスシーケンスにおける複数のプロセスステップを開始する時間を示す開始時間を備えるステップと、
基本周期を算出するステップであって、前記基本周期が2つの連続基板の開始時間の間の期間分として画成されるステップと、
前記個別スケジュールおよび前記基本周期から生成されたスケジュールのリソース衝突を検出するステップと、
検出されたリソース衝突を除去するように前記個別スケジュールを調整するステップと、を備える方法。
A method for scheduling a process sequence comprising:
Determining an individual schedule by allocating resources to execute the process sequence, the individual schedule comprising a start time indicating a time at which the individual substrate starts a plurality of process steps in the process sequence; When,
Calculating a fundamental period, wherein the fundamental period is defined as a period between two continuous substrate start times;
Detecting a resource collision of the schedule generated from the individual schedule and the basic period;
Adjusting the individual schedule to eliminate detected resource conflicts.
リソース衝突を検出する前記ステップおよび前記個別スケジュールを調整する前記ステップが、リソース衝突が検出されなくなるまで反復される、請求項1に記載の方法。   The method of claim 1, wherein the step of detecting resource collisions and the step of adjusting the individual schedule are repeated until no resource collisions are detected. 前記個別スケジュールを調整するステップが、除去されるべき前記検出されたリソース衝突と関連したプロセスステップを遅延させるためにキュー時間を付加する工程を備える、請求項1に記載の方法。   The method of claim 1, wherein adjusting the individual schedule comprises adding a queue time to delay a process step associated with the detected resource collision to be removed. 遅延中の前記プロセスステップが、除去されるべき前記リソース衝突と関連した別のプロセスステップと比較して早い開始時間を有する、請求項2に記載の方法。   The method of claim 2, wherein the process step being delayed has an earlier start time compared to another process step associated with the resource conflict to be removed. 個別スケジュールを調整するステップがさらに、キュー時間制約以内に前記キュー時間を抑制する工程を備える、請求項3に記載の方法。   The method of claim 3, wherein adjusting the individual schedule further comprises suppressing the cue time within a cue time constraint. 前記基本周期を判断するステップが、
前記プロセスシーケンスを実行するために割り当てられたすべての前記リソースの使用期間を算出する工程と、
前記プロセスシーケンスを実行するために割り当てられたすべての前記リソースの最長使用期間に従って前記基本周期を設定する工程と、
を備える、請求項1に記載の方法。
Determining the fundamental period comprises:
Calculating usage periods of all the resources allocated to execute the process sequence;
Setting the basic period according to the longest usage period of all the resources allocated to execute the process sequence;
The method of claim 1, comprising:
前記個別スケジュールを調整することによってリソース衝突を除去できない場合に前記基本周期を延長するステップと、
前記個別スケジュールおよび前記延長された基本周期から生成された更新済みスケジュールのリソース衝突を検出するステップと、
前記更新済みスケジュールの前記リソース衝突を除去するように前記個別スケジュールを調整するステップと、
をさらに備える、請求項1に記載の方法。
Extending the fundamental period if resource conflicts cannot be eliminated by adjusting the individual schedule; and
Detecting a resource collision of the updated schedule generated from the individual schedule and the extended basic period;
Adjusting the individual schedule to remove the resource conflict of the updated schedule;
The method of claim 1, further comprising:
プロセスによって実行される場合にオペレーションを実行するプロセスシーケンスをスケジューリングするためのコンピュータプログラムを含有するコンピュータ読み取り可能な媒体であって、
前記プロセスシーケンスを実行するためにリソースを割り当てることによって個別スケジュールを判断するステップであって、前記個別スケジュールが、個別基板が前記プロセスシーケンスにおける複数のプロセスステップを開始する時間を示す開始時間を備えるステップと、
基本周期を算出するステップであって、前記基本周期が2つの連続基板の開始時間の間の期間分として画成されるステップと、
前記個別スケジュールおよび前記基本周期から生成されたスケジュールのリソース衝突を検出するステップと、
検出されたリソース衝突を除去するように前記個別スケジュールを調整するステップと、
を備えるコンピュータ読み取り可能な媒体。
A computer readable medium containing a computer program for scheduling a process sequence for performing operations when executed by a process,
Determining an individual schedule by allocating resources to execute the process sequence, the individual schedule comprising a start time indicating a time at which the individual substrate starts a plurality of process steps in the process sequence; When,
Calculating a fundamental period, wherein the fundamental period is defined as a period between two continuous substrate start times;
Detecting a resource collision of the schedule generated from the individual schedule and the basic period;
Adjusting the individual schedule to eliminate detected resource conflicts;
A computer readable medium comprising:
リソース衝突を検出する前記ステップおよび前記個別スケジュールを調整する前記ステップが、リソース衝突が検出されなくなるまで反復され、前記個別スケジュールを調整するステップが、除去されるべき前記検出されたリソース衝突と関連したプロセスステップを遅延させるためにキュー時間を付加する工程を備える、請求項8に記載のコンピュータ読み取り可能な媒体。   The steps of detecting resource collisions and adjusting the individual schedule are repeated until no resource collisions are detected, and the step of adjusting the individual schedule is associated with the detected resource collision to be removed. 9. The computer readable medium of claim 8, comprising adding a queue time to delay a process step. 前記基本周期を判断するステップが、
前記プロセスシーケンスを実行するように割り当てられたすべての前記リソースの使用期間を算出する工程と、
前記プロセスシーケンスを実行するように割り当てられたすべての前記リソースの最長使用期間に従って前記基本周期を設定する工程と、
を備える、請求項8に記載のコンピュータ読み取り可能な媒体。
Determining the fundamental period comprises:
Calculating the duration of use of all the resources allocated to execute the process sequence;
Setting the base period according to the longest usage period of all the resources allocated to execute the process sequence;
The computer-readable medium of claim 8, comprising:
前記個別スケジュールを調整することによってリソース衝突を除去できない場合に前記基本周期を延長するステップと、
前記個別スケジュールおよび前記延長された基本周期から生成された更新済みスケジュールのリソース衝突を検出するステップと、
前記更新済みスケジュールの前記リソース衝突を除去するように前記個別スケジュールを調整するステップと、
をさらに備える、請求項8に記載のコンピュータ読み取り可能な媒体。
Extending the fundamental period if resource conflicts cannot be eliminated by adjusting the individual schedule; and
Detecting a resource collision of the updated schedule generated from the individual schedule and the extended basic period;
Adjusting the individual schedule to remove the resource conflict of the updated schedule;
The computer-readable medium of claim 8, further comprising:
処理シーケンスをスケジューリングするための方法であって、
処理スケジュールを生成するステップであって、前記処理シーケンスにおける複数の処理ステップの各々について待機期間がないステップと、
ボトルネックリソースの使用期間に従って基本周期を判断するステップと、
前記基本周期に基づいて前記処理スケジュールのリソース衝突を検出するステップと、
前記検出されたリソース衝突を除去するように前記処理スケジュールおよび前記基本周期の少なくとも一方を調整するステップと、
を備える方法。
A method for scheduling a processing sequence, comprising:
A step of generating a processing schedule, wherein there is no waiting period for each of the plurality of processing steps in the processing sequence;
Determining a basic period according to a bottleneck resource usage period;
Detecting a resource collision of the processing schedule based on the basic period;
Adjusting at least one of the processing schedule and the fundamental period to remove the detected resource conflict;
A method comprising:
リソース衝突を検出するステップが、前記処理シーケンスにおける2つ以上のステップによって占有されているリソースの衝突を検出する工程を備える、請求項12に記載の方法。   The method of claim 12, wherein detecting a resource collision comprises detecting a collision of resources occupied by two or more steps in the processing sequence. 調整ステップが、除去されるべきリソース衝突と関連したステップを遅延させるためにキュー時間を挿入する工程を備える、請求項12に記載の方法。   13. The method of claim 12, wherein the adjusting step comprises inserting a cue time to delay a step associated with a resource collision to be removed. 調整ステップが、
前記検出されたリソース衝突を除去するために1つ以上の処理ステップにキュー時間を挿入する工程と、
前記基本周期に基づいて前記調整された処理スケジュールのリソース衝突を検出する工程と、
前記検出された衝突が再発する場合に前記基本周期を延長する工程と、
を備える、請求項12に記載の方法。
The adjustment step
Inserting a cue time into one or more processing steps to remove the detected resource conflict;
Detecting a resource collision of the adjusted processing schedule based on the basic period;
Extending the fundamental period when the detected collision recurs;
The method of claim 12 comprising:
JP2008052401A 2007-03-02 2008-03-03 Software sequencer for integrated substrate processing system Pending JP2008263177A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/681,394 US20080216077A1 (en) 2007-03-02 2007-03-02 Software sequencer for integrated substrate processing system

Publications (1)

Publication Number Publication Date
JP2008263177A true JP2008263177A (en) 2008-10-30

Family

ID=39523628

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008052401A Pending JP2008263177A (en) 2007-03-02 2008-03-03 Software sequencer for integrated substrate processing system

Country Status (5)

Country Link
US (1) US20080216077A1 (en)
EP (1) EP1965282A2 (en)
JP (1) JP2008263177A (en)
KR (1) KR100978974B1 (en)
TW (1) TW200843012A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8655472B2 (en) 2010-01-12 2014-02-18 Ebara Corporation Scheduler, substrate processing apparatus, and method of transferring substrates in substrate processing apparatus
JP2014107570A (en) * 2012-11-29 2014-06-09 Asm Ip Holding B V Scheduler for processing system
JP2019153787A (en) * 2018-03-01 2019-09-12 株式会社荏原製作所 Scheduler, substrate processing apparatus, and substrate transfer method
CN111316416A (en) * 2017-09-15 2020-06-19 应用材料公司 Substrate routing and yield modeling
JP2021522695A (en) * 2018-06-22 2021-08-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Use of graphics processing unit for board routing and throughput modeling

Families Citing this family (376)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US9723070B2 (en) * 2008-01-31 2017-08-01 International Business Machines Corporation System to improve cluster machine processing and associated methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9003414B2 (en) * 2010-10-08 2015-04-07 Hitachi, Ltd. Storage management computer and method for avoiding conflict by adjusting the task starting time and switching the order of task execution
US9495477B1 (en) 2011-04-20 2016-11-15 Google Inc. Data storage in a graph processing system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5852908B2 (en) * 2011-09-16 2016-02-03 株式会社Screenホールディングス Schedule creation method and schedule creation program for substrate processing apparatus
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9798947B2 (en) 2011-10-31 2017-10-24 Applied Materials, Inc. Method and system for splitting scheduling problems into sub-problems
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (en) 2012-05-02 2018-05-01 Asm智慧財產控股公司 Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) * 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
JP6002532B2 (en) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ Vacuum processing apparatus and vacuum processing method
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9671779B2 (en) * 2013-03-15 2017-06-06 Applied Materials, Inc. Method and system for filtering lot schedules using a previous schedule
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9740529B1 (en) * 2013-12-05 2017-08-22 The Mathworks, Inc. High throughput synchronous resource-constrained scheduling for model-based design
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
WO2016099865A1 (en) * 2014-12-19 2016-06-23 Thomson Licensing Program sequencer for multi-display environment
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
CN107871194B (en) * 2016-09-28 2020-10-16 北京北方华创微电子装备有限公司 Scheduling method and device for production line equipment
US10438828B2 (en) * 2016-10-03 2019-10-08 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10043693B1 (en) 2017-06-06 2018-08-07 Applied Materials, Inc. Method and apparatus for handling substrates in a processing system having a buffer chamber
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
TWI633504B (en) * 2017-11-16 2018-08-21 財團法人工業技術研究院 Tree search-based scheduling method and an apparatus using the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (en) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 A storage device for storing wafer cassettes and a batch furnace assembly
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
DE102017130551A1 (en) * 2017-12-19 2019-06-19 Aixtron Se Apparatus and method for obtaining information about layers deposited in a CVD process
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10504762B2 (en) 2018-02-06 2019-12-10 Applied Materials, Inc. Bridging front opening unified pod (FOUP)
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (en) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. Method for depositing a ruthenium-containing film on a substrate by a cyclic deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP6730355B2 (en) * 2018-03-27 2020-07-29 ファナック株式会社 Integrated simulation system with improved operability
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (en) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (en) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 Thin-film deposition method
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11099778B2 (en) * 2018-08-08 2021-08-24 Micron Technology, Inc. Controller command scheduling in a memory system to increase command bus utilization
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10956221B2 (en) 2018-11-26 2021-03-23 International Business Machines Corporation Estimating resource requests for workloads to offload to host systems in a computing environment
US10877814B2 (en) 2018-11-26 2020-12-29 International Business Machines Corporation Profiling workloads in host systems allocated to a cluster to determine adjustments to allocation of host systems to the cluster
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10841369B2 (en) * 2018-11-26 2020-11-17 International Business Machines Corporation Determining allocatable host system resources to remove from a cluster and return to a host service provider
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (en) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method and system for forming device structures using selective deposition of gallium nitride - Patents.com
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP7509548B2 (en) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー Cyclic deposition method and apparatus for filling recesses formed in a substrate surface - Patents.com
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (en) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
CN112992667A (en) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (en) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (en) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride–containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
US11385628B2 (en) 2020-06-24 2022-07-12 Applied Materials, Inc. Scheduling substrate routing and processing
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220006455A (en) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. Method for processing a substrate
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235649A (en) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Methods for filling a gap and related systems and devices
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117836919A (en) * 2021-11-19 2024-04-05 应用材料公司 Apparatus and method for reducing substrate cooling time
CN116774603B (en) * 2023-04-18 2024-01-30 湖南大学 Multi-AGV cooperative scheduling simulation platform and simulation method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002534789A (en) * 1998-12-31 2002-10-15 シリコン ヴァレイ グループ インコーポレイテッド Method and apparatus for synchronizing a substrate processing system

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3654684B2 (en) * 1995-05-01 2005-06-02 東京エレクトロン株式会社 Processing method and processing apparatus
US5975740A (en) * 1996-05-28 1999-11-02 Applied Materials, Inc. Apparatus, method and medium for enhancing the throughput of a wafer processing facility using a multi-slot cool down chamber and a priority transfer scheme
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US6865437B1 (en) * 1998-12-31 2005-03-08 Asml Holdings N.V. Robot pre-positioning in a wafer processing system
KR100508679B1 (en) * 1998-12-31 2005-08-17 에이에스엠엘 유에스, 인크. Method and apparatus for synchronizing a substrate processing system
US6408220B1 (en) * 1999-06-01 2002-06-18 Applied Materials, Inc. Semiconductor processing techniques
US6456894B1 (en) * 1999-06-01 2002-09-24 Applied Materials, Inc. Semiconductor processing techniques
KR100824443B1 (en) * 2000-09-15 2008-04-23 어드밴스드 마이크로 디바이시즈, 인코포레이티드 Adaptive sampling method for improved control in semiconductor manufacturing
US6889105B2 (en) * 2001-05-16 2005-05-03 Dainippon Screen Mfg. Co., Ltd. Scheduling method and program for a substrate processing apparatus
US6801819B1 (en) * 2002-08-30 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for evaluating bids for scheduling a resource
US7127310B1 (en) * 2002-08-30 2006-10-24 Advanced Micro Devices, Inc. Method and apparatus for determining cost functions using parameterized components
US6782302B1 (en) * 2002-08-30 2004-08-24 Advanced Micro Devices, Inc. Method and apparatus for scheduling workpieces with compatible processing requirements
US7069097B1 (en) * 2002-08-30 2006-06-27 Advanced Micro Devices, Inc. Method and apparatus for reducing scheduling conflicts for a resource
JP2005259931A (en) 2004-03-11 2005-09-22 Hitachi Kokusai Electric Inc Substrate treatment device
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
US20070003842A1 (en) * 2005-06-29 2007-01-04 Applied Materials, Inc. Software sequencer to dynamically adjust wafer transfer decision
JP4772401B2 (en) * 2005-07-06 2011-09-14 株式会社東芝 Optimization method, optimization system, and method for manufacturing apparatus
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002534789A (en) * 1998-12-31 2002-10-15 シリコン ヴァレイ グループ インコーポレイテッド Method and apparatus for synchronizing a substrate processing system

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8655472B2 (en) 2010-01-12 2014-02-18 Ebara Corporation Scheduler, substrate processing apparatus, and method of transferring substrates in substrate processing apparatus
JP2014107570A (en) * 2012-11-29 2014-06-09 Asm Ip Holding B V Scheduler for processing system
CN111316416A (en) * 2017-09-15 2020-06-19 应用材料公司 Substrate routing and yield modeling
JP2020534677A (en) * 2017-09-15 2020-11-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Board routing and throughput modeling
JP7235729B2 (en) 2017-09-15 2023-03-08 アプライド マテリアルズ インコーポレイテッド Substrate routing and throughput modeling
CN111316416B (en) * 2017-09-15 2023-08-18 应用材料公司 Substrate routing and yield modeling
JP2019153787A (en) * 2018-03-01 2019-09-12 株式会社荏原製作所 Scheduler, substrate processing apparatus, and substrate transfer method
JP6995072B2 (en) 2018-03-01 2022-01-14 株式会社荏原製作所 Scheduler, board processing device, and board transfer method
JP2021522695A (en) * 2018-06-22 2021-08-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Use of graphics processing unit for board routing and throughput modeling
JP2022000891A (en) * 2018-06-22 2022-01-04 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated Using graphics processing unit for substrate routing and throughput modeling
JP7136977B2 (en) 2018-06-22 2022-09-13 アプライド マテリアルズ インコーポレイテッド Using a graphics processing unit for board routing and throughput modeling

Also Published As

Publication number Publication date
TW200843012A (en) 2008-11-01
KR100978974B1 (en) 2010-08-30
KR20080080954A (en) 2008-09-05
US20080216077A1 (en) 2008-09-04
EP1965282A2 (en) 2008-09-03

Similar Documents

Publication Publication Date Title
JP2008263177A (en) Software sequencer for integrated substrate processing system
US10566223B2 (en) Systems and methods for dynamic semiconductor process scheduling
US8019467B2 (en) Scheduling method for processing equipment
US7522968B2 (en) Scheduling method for processing equipment
US20080051930A1 (en) Scheduling method for processing equipment
TWI691822B (en) Method, system and non-transitory computer readable medium for substrate routing and throughput modeling
Yu et al. Scheduling single-armed cluster tools with chamber cleaning operations
US20150162180A1 (en) Method, storage medium and system for controlling the processing of lots of workpieces
US7571020B2 (en) Method and system for controlling process tools by interrupting process jobs depending on job priority
US9633841B2 (en) Methods for depositing amorphous silicon
US20070003842A1 (en) Software sequencer to dynamically adjust wafer transfer decision
US20160103713A1 (en) Method for sequencing a plurality of tasks performed by a processing system and a processing system for implementing the same
Zhu et al. Scheduling a Single-Arm Multi-Cluster Tool With a Condition-Based Cleaning Operation
JP5075835B2 (en) Semiconductor manufacturing system
US20170083000A1 (en) Petri Net-based Scheduling of Time Constrained Single-arm Cluster Tools with Wafer Revisiting
CN113658890B (en) Method and system for improving productivity of semiconductor equipment
EP1202144A1 (en) Method for controlling a process line in semiconductor device manufacturing
JP2006523948A (en) Method and system for compensating timing variations of a scanner system in a semiconductor wafer manufacturing system
JP6320457B2 (en) Substrate processing apparatus, substrate processing method, program, and article manufacturing method
JP2009231726A (en) System and method for processing substrate

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110301

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130129

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130430

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130507

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20131008