JP2022000891A - 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用 - Google Patents

基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用 Download PDF

Info

Publication number
JP2022000891A
JP2022000891A JP2021123961A JP2021123961A JP2022000891A JP 2022000891 A JP2022000891 A JP 2022000891A JP 2021123961 A JP2021123961 A JP 2021123961A JP 2021123961 A JP2021123961 A JP 2021123961A JP 2022000891 A JP2022000891 A JP 2022000891A
Authority
JP
Japan
Prior art keywords
processing
parallel
batch
gpu
semiconductor substrates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021123961A
Other languages
English (en)
Other versions
JP7136977B2 (ja
JP2022000891A5 (ja
Inventor
シャム サンダー エマニ,
Sunder Emani Shyam
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022000891A publication Critical patent/JP2022000891A/ja
Publication of JP2022000891A5 publication Critical patent/JP2022000891A5/ja
Application granted granted Critical
Publication of JP7136977B2 publication Critical patent/JP7136977B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T1/00General purpose image data processing
    • G06T1/20Processor architectures; Processor configuration, e.g. pipelining
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/34Director, elements to supervisory
    • G05B2219/34417Multiprocessor scheduling
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/35Nc in input of data, input till input file format
    • G05B2219/35499Model of process, machine and parameters
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2200/00Indexing scheme for image data processing or generation, in general
    • G06T2200/28Indexing scheme for image data processing or generation, in general involving image processing hardware
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Theoretical Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • General Factory Administration (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

【課題】一体型基板処理システムにおける基板処理シーケンスをスケジューリングするための方法、システム及び非一過性のコンピュータ可読媒体を提供する。【解決手段】クライアントデバイスの処理デバイスは、半導体基板のバッチの処理モデルを生成する。処理モデルは、一体型基板処理システムにおける各処理チャンバ内の半導体基板夫々について、対応する始動時点を規定する。一又は複数のグラフィック処理ユニット(GPU)が、処理モデルに基づいて並列入力を生成し、かつ、一又は複数のGPUの複数のコアによって並列入力を同時処理して、半導体基板のバッチのための並列出力を生成する。並列入力の各々は、対応する並列出力を生成するために、一又は複数のGPUの複数のコアの個別のコアで処理される。処理デバイスは、並列出力に基づいて、一体型基板処理システムにおいて半導体基板のバッチが処理されるようにする。【選択図】図2

Description

本開示は、一体型処理システムにおいて基板を移送することに関し、より詳細には、一体型処理システムにおける基板ルーティング及びスループットモデリングを改善するためにグラフィック処理ユニット(GPU)を使用することに関する。
半導体処理では、多数の処理動作を有する特定の処理レシピを使用して、多層フィーチャが半導体基板上に製造される。半導体基板を処理する際には、処理環境(例えば制御された環境)から基板を取り出すことなくプロセスシーケンスを実行するためにいくつかのプロセスチャンバを一体化しているクラスタツールが、一般に使用される。プロセスシーケンスは、一般に、クラスタツールにおける一又は複数の処理チャンバ内で完遂されるデバイス製造動作(すなわちプロセスレシピ動作)のシーケンスと定義される。プロセスシーケンスは、一般に、(例えば、電子デバイス製造のための)様々な基板処理動作を包含しうる。
クラスタツールは、基板を種々の位置に移動させ、ユーザ入力に基づいて基板上にプロセスを実行する上で責任を負う、シーケンサを含みうる。このシーケンサは、基板移動を改善することによりスループットを増大させうるよう構成される。シーケンサにより、クラスタツール内で基板を移送している時、プロセスエンジニア又はユーザによって指定された全ての制約条件が満たされることも確実になる。従来的なアプローチはヒューリスティックである(すなわち、各製品は、クラスタツールが関与しうる最も一般的な統計とトポロジとを扱うカスタムソフトウェアコードで書かれている)。新製品のためにこのコードを書くには時間がかかり、安定化にも長い時間を要する。
以下に示しているのは、本開示の態様の一部の基本的な理解を提供するための、簡略化された本開示の概要である。この概要は、本開示の網羅的な要約ではない。これは、本開示の主要点又は重要要素を特定するためのものでも、本開示の特定の実行形態の何らかの範囲又は特許請求の何らかの範囲を画定するためのものでもない。この概要の唯一の目的は、本開示の概念の一部を、後述するより詳細な説明の導入部として、簡略化した形態で提示することである。
本開示の一態様では、方法は、半導体基板のバッチの処理モデルを生成することを含みうる。この処理モデルは、一体型基板処理システムにおける各処理チャンバ内の各半導体基板について、対応する始動時点を規定しうる。この方法は、処理モデルに基づいて、並列入力を生成することを更に含みうる。方法は、一又は複数のGPUの複数のコアによって並列入力を同時処理して、半導体基板のバッチのための並列出力を生成することを更に含みうる。並列入力の各々は、対応する並列出力を生成するために、一又は複数のGPUの複数のコアの個別のコアで処理される。方法は、並列出力に基づいて、一体型基板処理システムにおいて半導体基板のバッチが処理されるようにすることを更に含みうる。
本開示は、添付図面の図において、限定としてではなく例として示されている。
ある種の実施形態による演算環境を示す。 ある種の実施形態による、並列出力に基づいて半導体基板が処理されるようにするための方法のフロー図である。 ある種の実施形態による、タイムテーブルに基づいて半導体基板のスループットを予測するための方法のフロー図である。 ある種の実施形態による、一又は複数のGPUによって並列入力を処理するための方法のフロー図である。 ある種の実施形態による演算プラットフォームを示す。
本書では、基板ルーティング及び(例えばクラスタツール内の基板の)スループット予測を対象とする技術について説明している。多くの場合、基板ルーティングのための新たな基板処理シーケンスが受け入れられる(例えば、各基板オーダーで基板処理シーケンスが異なる)。基板処理シーケンスは更新されうる。基板処理シーケンスは、不具合に対応する(例えば、クラスタツールの部分的な不具合に応じて基板をルーティングし直す)ものである。基板処理シーケンスの複雑度は、処理チャンバの数が増加するにつれて増大しうる。基板処理が厳密なタイミング制御を有することにより、基板処理中に発生しうるイベントの各々が勘案されることになりうる。イベントとは、ロボットスケジュール、予防的保守タスク、ダミーウエハ移動、洗浄、複雑なシーケンス、又は同じ基板が同じチャンバに複数回入ること、のうちの一又は複数を含みうる。基板処理では、キュー時間(例えば、基板がプロセス完了後に処理チャンバ内で待機する時間量)の限度がありうる。次の処理チャンバが利用できない場合、又はロボットが別の材料を移動させている場合、キュー時間は増大しうる。
基板ルーティング及び/又は基板の予測スループットのためのソフトウェアコードが書かれることがある。基板ルーティング及び/又は予測スループットのためのソフトウェアコードを書くことは、時間がかかり、安定化にも長時間を要し、かつ、発生しうるイベント及び不具合が勘案されない(例えば不正確である)可能性がある。
本書で開示されているデバイス、システム、及び方法は、基板ルーティング及びスループットモデリングのための一又は複数のGPUの使用を提供するものである。(例えば複雑な順序付け要件を伴う)基板ルーティング、及びスループットは、処理モデルを使用してモデル化されうる。この処理モデルでは、イベント、不具合、キュー時間限度などのうちの一又は複数が勘案されうる。処理モデルに基づいて並列入力が生成されてよく、一又は複数のGPUのコアが並列入力を同時処理して、並列出力を生成しうる。並列出力は、処理持続時間を最小化するための値(例えば、別々の処理チャンバにおける別々のプロセスの始動時点など)を示しうる。基板は処理され、基板スループットは並列出力に基づいて予測されうる。障害の検出に応じて、この障害に基づいて更新された処理モデルが生成され、更新された並列入力が生成されてよく、更新された並列入力は、基板をルーティングし直すため又はスループットを再予測するための更新された並列出力を生成するために、一又は複数のGPUのコアによって処理されうる。
基板ルーティング及びスループットモデリングのために一又は複数のGPUを使用することで、技術的利点が提供される。これらの技術的利点は、処理モデルを生成し、この処理モデルを短時間で(例えば、リアルタイムで又は数秒以内で)解くことによって、新たな処理シーケンスに対する対処法(例えば、新たな基板順序や処理シーケンスの更新など)を見いだすことを含む。技術的利点は、障害を検出すること、この障害に基づいて処理モデルを更新すること、及び更新された処理モデルを短時間で解くことによって、不具合に対応することも含む。技術的利点は、キュー時間の限度に合致した基板処理のためのタイムテーブルを生成すること、及び不具合に応じてこのタイムテーブルを更新することも含む。
本開示の態様は、エネルギー消費、帯域幅、処理持続時間などの大幅削減という技術的利点をもたらす。本開示の態様は、基板処理中の待機時間を減少させ、これにより、全体的なエネルギー消費を削減する。処理モデルを生成し、クライアントデバイスの一又は複数のGPUを使用してこの処理モデルを解き、解かれた処理モデルに基づいてタイムテーブルを生成し、かつこのタイムテーブルを送信するクライアントデバイスは、処理モデル、この処理モデルに基づく並列入力、又は解かれた処理モデル、のうちの一又は複数を、ネットワークを介して別の構成要素に送信するクライアントデバイスよりも、狭い帯域幅を使用する。
図1は、ある種の実施形態による演算環境150を示す。演算環境150は、コントローラ160と、クライアントデバイス170とを含む。クライアントデバイス170は、一又は複数のGPU180を含みうる。各GPU180は、並列入力を同時処理して並列出力を生成しうる、複数のコア(例えば数百のコア)を含みうる。コントローラ160は、ネットワーク190を介してクライアントデバイス170と通信する。演算環境150は、クラスタツール100を含みうる。クラスタツール100は、基板処理に使用されうる。本書に記載の方法は、プロセスシーケンスを実施するよう構成された別のツールと共に使用されることもある。一例では、図1のクラスタツール100は、カリフォルニア州Santa ClaraのApplied Materials,Inc.から市販されているEndura(登録商標)クラスタツールでありうる。
クライアントデバイス170は、種々の段階で基板が入る実現可能な処理チャンバと、各処理チャンバ内で実行される対応プロセスとを記述するシーケンスレシピを、(例えばユーザ入力を介して)受信しうる。クライアントデバイス170は、処理モデルを生成すること、この処理モデルに基づいて並列入力を生成すること、及び一又は複数のGPU180のコアによって並列入力を同時処理して並列出力を生成することが、可能である。クライアントデバイス170は、並列出力に基づいてクラスタツール100内で処理される基板のバッチの、プロセススケジュール(例えば、基板が比較的短い持続時間で処理されうるような基板移動のスケジュール)を生成しうる。例えば、クライアントデバイス170は、クラスタツール100の数理モデルを作成し、次いで、クラスタツール100の既定の制約条件を満たしつつ、クラスタツール100内で基板を移送する方式を改善するための対処法を提供するよう、このモデルを最適化する。
一部の実施形態では、クライアントデバイスは(例えば、クライアントツール100に連結されていなくとも)、タイムテーブルに基づいてクラスタツール100のスループットを予測しうる。一部の実施形態では、クライアントデバイス170は、基板処理スケジュール(例えばタイムテーブル)及び命令を、コントローラ160に伝送しうる。コントローラ160は、これらの基板処理スケジュール及び命令に基づいて、半導体基板のバッチがクラスタツール100によって処理されるようにしうる。シーケンスレシピの更新やクラスタツール100内の不具合などに応じて、クライアントデバイス170は、更新された処理スケジュール及び命令を生成しうる。
クラスタツール100は、真空気密処理プラットフォーム101と、ファクトリインターフェース102とを含む。プラットフォーム101は、真空基板移送チャンバ103、104に連結されている、複数の処理チャンバ110、108、114、112、118、116及び少なくとも1つのロードロックチャンバ120を備える。ファクトリインターフェース102は、ロードロックチャンバ120によって移送チャンバ104に連結されている。
一実施形態では、ファクトリインターフェース102は、少なくとも1つのドッキングステーションと、少なくとも1つの基板移送ロボット138と、少なくとも1つの基板整列器140とを備える。ドッキングステーションは、一又は複数の前方開口型統一ポッド128(FOUP)を受容するよう構成される。図1の実施形態では、2つのFOUP128A、128Bが図示されている。基板移送ロボット138は、ファクトリインターフェース102からロードロックチャンバ120に基板を移送するよう構成される。
ロードロックチャンバ120は、ファクトリインターフェース102に連結された第1ポートと、第1移送チャンバ104に連結された第2ポートとを有する。ロードロックチャンバ120は、移送チャンバ104の真空環境とファクトリインターフェース102の実質的周囲環境(例えば大気環境)との間の基板の通行を容易にするために、必要に応じて、チャンバ120をポンプダウンし、通気する、圧力制御システムに連結されている。
第1移送チャンバ104と第2移送チャンバ103はそれぞれ、内部に配置された第1ロボット107と第2ロボット105を有する。ロボット105と107の間での基板の移送を容易にするために、2つの基板移送プラットフォーム106A、106Bが、移送チャンバ104内に配置される。プラットフォーム106A、106Bは、移送チャンバ103、104に通じているか、又は、移送チャンバ103、104の各々内に異なる動作圧力を維持することを可能にするよう、移送チャンバ103、104から選択的に隔離されて(すなわち密封されて)いるかの、いずれかでありうる。
第1移送チャンバ104内に配置されたロボット107は、ロードロックチャンバ120と、処理チャンバ116、118と、基板移送プラットフォーム106A、106Bとの間で、基板を移送することが可能である。第2移送チャンバ103内に配置されたロボット105は、基板移送プラットフォーム106A、106Bと処理チャンバ112、114、110、108との間で、基板を移送することが可能である。
クライアントデバイス170は、基板のリストと、このリストの各基板に対応する処理シーケンスと、リスト内の各基板に対応するシーケンスにおける各プロセスに対応する処理チャンバ(例えば処理チャンバ112、114、110、108)とに基づいて、スケジュール(例えばタイムテーブル)を生成しうる。
図2〜図4は、ある種の実施形態による、(例えば基板ルーティング及び/又はスループット予測のために、)一又は複数のGPUを使用して、一又は複数のGPUによって並列入力を処理する方法200、300、及び400のフロー図である。方法200、300、及び400は、ハードウェア(例えば回路、専用ロジック、プログラマブルロジック、マイクロコードなど)、ソフトウェア(処理デバイス、一又は複数のGPU、汎用コンピュータシステム、又は専用マシンで実行される命令など)、ファームウェア、マイクロコード、又はこれらの組合せを含みうる、処理ロジックによって実施されうる。一実施形態では、方法200、300、及び400は、部分的にクライアントデバイス170によって実施されうる。一部の実施形態では、非一過性の記憶媒体が命令を記憶し、この命令は、クライアントデバイス170(例えば、クライアントデバイス170の処理デバイスと一又は複数のGPUとの少なくとも一方)によって実行されると、クライアントデバイス170(例えば、処理デバイスと一又は複数のGPUとの少なくとも一方)に方法200、300、及び400を実施させる。
説明をシンプルにするために、方法200、300、及び400は、一連の動作として図示され、記述されている。しかし、本開示に従った複数の動作は、様々な順序でかつ/又は同時に行われ、本書で提示も説明もされていない別の動作と共に行われうる。更に、開示されている主題に従って方法200、300、及び400を実装するために、図示している動作の全てが実施されないこともある。更に、当業者には、方法200、300、及び400が、状態図を通じて一連の相互関連状態として、又はイベントとして、代替的に表わされうることが理解され、認識されよう。
図2は、ある種の実施形態による、並列出力に基づいて半導体基板が処理されるようにするための方法200のフロー図である。
図2を参照するに、ブロック202において、クライアントデバイス170は、(例えばクライアントデバイス170の処理デバイスによって)半導体基板のバッチの処理モデルを生成する。
処理モデルを生成するために、クライアントデバイス170は、基板のバッチ内の各基板のシーケンスを定義しうる。一実施形態では、クライアントデバイス170は、ユーザから各基板のシーケンスを受信する。例えば、ユーザは、進入、堆積、アニール、エッチング、アニール、堆積、退出という、処理シーケンスを定義しうる。数学的には、基板の第1バッチは{W}と定義されてよく、ここでiは1〜nの範囲にわたる。一部の実施形態では、各基板Wは、同じ動作シーケンスを経ることがある。シーケンスにおける動作は、数学的には、{s}と表わされてよく、ここでiは1〜nの範囲にわたる。ゆえに、各基板Wは、クライアントデバイス170によって定義されたシーケンスにおける各動作sを経ることが可能である。
処理モデルを生成するために、クライアントデバイス170は、処理シーケンスにおける各動作につき、各基板に処理チャンバを割り当てうる。例えば、図1を参照するに、基板のバッチ内の各基板のプロセスシーケンスを支援するために、チャンバ108、110、112、114、116、及び118から適したチャンバが選択されうる。一具体例では、チャンバ116、118は化学気相堆積(CVD)チャンバであってよく、チャンバ108、114は分離プラズマ窒化(DPN)チャンバであってよく、チャンバ110、112は急速熱プロセス(RTP)チャンバでありうる。一又は複数の冷却チャンバが、基板移送プラットフォーム106A、106Bの上方に配置されることもある。したがって、クラスタツール100内の構成が決定されると、クライアントデバイス170は、処理シーケンスにおける各プロセス動作及び動作間の移行に対して、チャンバ、ロードロック、及びロボットを割り当てうる。
クライアントデバイス170は、処理チャンバの割り当てに基づいて処理モデルを生成しうる。一般に、各基板Wは、時点T.に動き出す。各シーケンス動作sのプロセス持続時間はDと定義され、ここでsは、シーケンスの動作番号である。例えばDは、シーケンス動作s.のプロセス時間となる。一般に、基板は、プロセスチャンバ内でのプロセスが完了した後に、そのプロセスチャンバ内で待機することがある。この待機時間はQxsと定義され、ここで、xは基板番号であり、sはシーケンス動作番号である。例えばQ21は、シーケンス動作sにおける基板Wの待機時間と解釈される。前述の定義を踏まえると、基板Wは、T+D+Q11と等しい時点において動作sを開始する。概括的には、基板Wは、いかなる動作sも、
Figure 2022000891
と等しい時点において開始することになる。
ブロック202は、クラスタツール100内の各処理チャンバについて、クライアントデバイス170がシーケンス制約条件を定義することを更に含みうる。このシーケンス制約条件は、基板のバッチ内の全ての基板を処理するのにかかる時間を減少させるか、又は最終的には最小化するという目的に役立つ。このことは、コントローラ160が、可能な限り迅速に基板をクラスタツール100内に送り、基板をクラスタツール100から取り出すということを意味しうる。このために、クライアントデバイス170は、プロセスモデルの生成に線形最適化の原理を活用する。
線形最適化とは、数理モデルであって、その要件が線形関係によって表わされる数理モデル(例えば行列)において、「最良の(best)」結果(例えば最短プロセス時間)を達成する方法である。数学的には、これは次のように表わされうる。
最小化:
Figure 2022000891
ただし、以下を条件とする:
11+A12+A13+...≦B
21+A22+A23+...≦B

m1+Am2+Am3+...≦B
ここでXは変数であり、
Figure 2022000891
である。
この原理を上記に適用することで、クライアントデバイス170は、次のような最小化を行い、
Figure 2022000891
ここで、A、Bは、始動時点変数Tと待機時間Qjkにそれぞれ適用されうる重みである。例えば、これらの重みは、半導体製造プロセスの追加フィーチャを対象としうる。一実施形態では、重みは、処理チャンバ内で基板の処理が終了した後に洗浄プロセスが実行されることに応じて調整されうる。別の実施形態では、重みは、クラスタツール100全体にわたる「ダミー(dummy)」基板の移動に応じて調整されうる。別の実施形態では、重みは、ロボットが単一ブレードロボットであるか、それともデュアルブレードロボットであるかに応じて調整されうる。別の実施形態では、重みは、バッチ処理チャンバである処理チャンバ(すなわち、この処理チャンバは、2つ以上の基板を一度に処理することが可能である)に応じて調整されうる。更に別の実施形態では、重みは、ある特定の処理チャンバに基板が再度入ることが必要な基板処理シーケンスに応じて、調整されうる。
一般に、所与の基板は先行基板の処理が完了するまで所与の処理チャンバに入ることができないという、制約条件が定義されうる。数学的には、シーケンス動作sにおいて同じ処理チャンバを使用する、2つの基板W、W、があると仮定される。Wは、W.よりも前にこのチャンバに到達する。したがって、Wの始動時点は、Wの始動時点+動作sの持続時間+動作sの後のWの待機時間よりも後になる。始動時点の定義を使用すると、この制約条件は次のように表わされうる。
Figure 2022000891
この制約条件は、(例えば、基板ルーティングに関連する一又は複数の問題を解決するよう)基板ルーティングを最適化するために解かれることがある。例えば、
Figure 2022000891
は、
Figure 2022000891
を条件として、
このシーケンス動作で使用される各チャンバについて、かつ、W、Wがシーケンス動作sにおいて連続して同じチャンバを使用する場合の連続する基板の全ての対(すなわち各(x,y))について、最小化されることが可能である。別の例では、この制約条件は、ロボットの動きを最小化するために解かれることもある。別の例では、この制約条件は、チャンバのアイドル時間を最小化するために解かれることもある。別の例では、この制約条件は、チャンバの障害、及び、システムが全ての基板を連続して処理することができなくなることに応じて、生産を停止することなく残りの基板が処理されうるようにFOUPに送り返されうる基板の最小数を決定するために、解かれることもある。一部の実施形態では、この制約条件は、基板のスループットを予測するために解かれることもある。
クライアントデバイス170は、全てのシーケンス制約条件に基づいて、バッチ内の全ての基板の処理モデルを同時に生成しうる。一部の実施形態では、クライアントデバイス170は、各基板に同じ処理シーケンスが割り当てられることに応じて、処理モデルを一度に生成しうる。
ブロック204において、クライアントデバイス170は、処理モデルに基づいて、(例えば、クライアントデバイス170の一又は複数のGPU180の第1GPU180Aによって)並列入力を生成する。例えば、処理モデルは行列であってよく、並列入力を生成することは、この行列に基づいて複数の行列を生成することを含みうる。
行列の中の一又は複数の値は1つの変数に対応しうる。例えば、一又は複数の値は、基板の数という変数に対応しうる。一部の変数は、対応する値に対する要件を有しうる。例えば、基板の数という変数は、対応する値が整数でなくてはならないという要件を有しうる。クライアントデバイス170は、対応する変数の要件を満たさない値の全てについて、追加の行列を生成しうる。例えば、クライアントデバイス170は、行列内の、整数でなくてはならない変数(例えば基板の数)に対応する非整数値を特定しうる。クライアントデバイス170は、非整数値(例えば3.5)をこの非整数値よりも大きい第1の整数(例えば4)で置換することによって、複数の行列うちの第1行列を生成しうる。クライアントデバイス170は、非整数値(例えば3.5)をこの非整数値よりも小さい第2の整数(例えば3)で置換することによって、複数の行列のうちの第2行列を生成しうる。クライアントデバイス170は、クライアントデバイス170のGPU180を介して、並列入力を生成しうる。第1行列及び第2行列は行列のスーパーセットであってよく、このスーパーセットは、非整数値を整数(例えば、第1行列では4、第2行列では3)で置換するという、追加の制限を含む。
ブロック206において、クライアントデバイス170は、一又は複数のGPU180のコアによって並列入力を同時処理して、半導体基板のバッチのための並列出力を生成する。並列入力の各々(例えば、複数の行列のうちの対応する一行列)は、対応する並列出力を生成するために、一又は複数のGPU180の複数のコアのうちの個別のコアで処理されうる。各コアは、対応する行列を解いて、各行列について、半導体基板のバッチの処理のための対応する値のセットを生成しうる。クライアントデバイス170は、半導体基板のバッチの処理の最小処理持続時間に対応する値のセットを、(例えばGPU180を介して)選択しうる。
一部の実施形態では、クライアントデバイス170は、並列出力に基づいて、(一体型基板処理システムの、すなわちクラスタツール100の)スループットを(例えばクライアントデバイス170の処理デバイスによって)予測しうる。スループットを予測すること(及び、予測されたスループットが表示されるようにすること)に応じて、クライアントデバイス170は、予測されたスループットに基づく処理モデルの更新を(例えばユーザ入力を介して)受信してよく、フローはブロック202に戻りうる。フローは、処理モデルの更なる更新を受信しないことに応じて、ブロック208に続きうる。
ブロック208において、クライアントデバイス170は、半導体基板が、並列出力(例えば、最小処理持続時間に対応する選択された値のセット)に基づいて、(例えばクライアントデバイス170の処理デバイスによって)処理されるようにする。一部の実施形態では、クライアントデバイスデバイス170は、並行出力に基づく基板のタイムテーブル(例えば、各基板の始動時点T及び各処理チャンバにおける基板処理の順序を含むタイムテーブル)を生成する。クライアントデバイス170は、オプションで、タイムテーブルをコントローラ160に送信しうる。クライアントデバイス170とコントローラ160とが同じ1つのものである実施形態では、クライアントデバイス170がタイムテーブルを送信する必要はない。
ブロック208において、クライアントデバイス170は、一体型クラスタツール(図1のクラスタツール100など)内で基板上に電気層を堆積させるためのプロセスシーケンスに従って、半導体基板のバッチが処理されるようにしうる。ブロック208は、ブロック210〜220のうちの一又は複数のブロックを含みうる。ブロック210において、クライアントデバイス170は、基板がクラスタツール100内に配置されるようにしうる。
ブロック212において、クライアントデバイス170は、基板上に誘電体層が堆積されるようにしうる。この誘電体層は、金属酸化物であってよく、かつALDプロセス、MOCVDプロセス、従来的なCVDプロセス、又はPVDプロセスによって堆積されうる。
ブロック214において、クライアントデバイスは、(例えば堆積プロセスの後に)基板が堆積後アニール(PDA)プロセスに曝露されるようにしうる。このPDAプロセスは、急速アニール処理チャンバ(カリフォルニア州Santa ClaraのApplied Materials,Inc.から市販されているRadiance(登録商標)RTPチャンバなど)において実施されうる。
ブロック216において、クライアントデバイス170は、誘電体材料を高密度化してプラズマ処理層を形成するために、誘電体層が不活性プラズマプロセスに曝露されるようにしうる。不活性プラズマプロセスは、分離プラズマ窒化(DPN)チャンバに不活性ガスを流入させることによって実施される、分離不活性ガスプラズマプロセスを含みうる。
ブロック218において、クライアントデバイス170は、基板上に配置されたプラズマ処理層が熱アニール処理プロセスに曝露されるようにしうる。
ブロック220において、クライアントデバイス170は、ゲート電極層がアニール処理された誘電体層を覆って堆積されるようにしうる。このゲート電極層は、多結晶Si、アモルファスSi、又は、例えばLPCVDチャンバを使用して堆積される他の好適な材料でありうる。
図1を再度参照するに、クラスタツール100はコントローラ160と通信しうる。コントローラ160は、クラスタツール100内の基板処理チャンバ108、110、112、114、116、及び118の各々のプロセスパラメータの制御に役立つコントローラでありうる。更に、コントローラ160は、クラスタツール100内で処理される基板の順序付け及びスケジューリングにも役立ちうる。ブロック208において、クライアントデバイス170は、並列出力に基づいて半導体基板が処理されるようにするために、コントローラ160に、クラスタツール100の処理パラメータを制御させうる。
図3は、ある種の実施形態による、タイムテーブルに基づいて半導体基板のスループットを予測するための方法300のフロー図である。方法300は、半導体基板が図1のクラスタツール100において処理されるようにしうる。他の例では、方法300は、半導体基板が他のクラスタツールで処理されるようにしうる。一部の実施形態では、全ての基板Wが同じ動作シーケンスを経るわけではない。
図3を参照するに、ブロック302において、クライアントデバイス170は、半導体基板のバッチに基づいて、処理されるべき半導体基板のリストを(例えばクライアントデバイス170の処理デバイスによって)生成する。例えば、クラスタツール100の処理チャンバに進入する2つの基板(例えばW、W)が選択されうる。
ブロック304において、クライアントデバイス170は、半導体基板のリストに対応する各半導体基板に、対応する処理シーケンスを(例えばクライアントデバイス170の処理デバイスによって)割り当てる。クラスタツール100に進入するよう選択された各基板のシーケンスが定義されうる。一実施形態では、クライアントデバイス170は、各基板のシーケンスをユーザから受信する。例えば、ユーザは、進入、堆積、アニール、エッチング、アニール、堆積、退出という、処理シーケンスを定義しうる。シーケンスにおける動作は、数学的には、{s}と表わされてよく、ここでiは1〜nの範囲にわたる。ゆえに、Wは動作のセット{s}を含み、Wは動作のセット{s}を含み、{s}という成分は{s}という成分と等しくない。
ブロック306において、クライアントデバイス170は、半導体基板のリスト内の各半導体基板について、対応する処理シーケンス内の各プロセスに、対応する処理チャンバを(例えばクライアントデバイス170の処理デバイスによって)割り当てる。例えば、図1を参照するに、ブロック402において上記で定義したプロセスシーケンスを支援にするために、チャンバ108、110、112、114、116、及び118から好適なチャンバが選択されうる。一具体例では、チャンバ116、118は化学気相堆積(CVD)チャンバであってよく、チャンバ108、114は分離プラズマ窒化(DPN)チャンバであってよく、チャンバ110、112は急速熱プロセス(RTP)チャンバでありうる。一又は複数の冷却チャンバが、基板移送プラットフォーム106A、106Bの上方に配置されることもある。したがって、クライアントデバイス170は、Wに関してはセット{s}内の各動作に1つの処理チャンバを割り当て、Wに関してはセット{s}内の各動作に1つの処理チャンバを割り当てる。ゆえに、クラスタツール100内の構成が決定されると、クライアントデバイス170は、W、Wの処理シーケンスにおける各プロセス動作及び動作間の移行に対して、チャンバ、ロードロック、及びロボットを割り当てうる。
ブロック308において、クライアントデバイス170は、半導体基板(例えば、クラスタツール100に進入するよう選択された全ての基板)のリスト、各半導体基板に対応する処理シーケンス、及び各半導体基板の各プロセスに対応する処理チャンバ(例えば処理チャンバの割り当て)に基づいて、(例えばクライアントデバイス170の処理デバイスによって)処理モデルを生成する。例えば、クライアントデバイス170は、基板W、Wの処理チャンバ割り当てに基づいて、モデルを生成する。一部の実施形態では、ブロック308は、クラスタツール100内の各処理チャンバについて、クライアントデバイス170がシーケンス制約条件を定義することを含みうる。このシーケンス制約条件は、基板のバッチ内の全ての基板を処理するのにかかる時間を減少させるか、又は最終的に最小化するという目的に役立ちうる。直感的には、このことは、コントローラ160が、可能な限り迅速に基板をクラスタツール100内に送り、基板をクラスタツール100から取り出すということを意味しうる。このために、クライアントデバイス170は、プロセスモデルの生成に線形最適化の原理を活用する。
例えば、クライアントデバイス170は、基板W、Wがその処理シーケンスにおいて進んで行くクラスタツール100内の各処理チャンバについて、シーケンス制約条件を生成しうる。クライアントデバイス170は、上述した方法に従ってシーケンス制約条件を生成しうる。
一部の実施形態では、基板のバッチ内の各基板のシーケンスは、同じではないことがある。したがって、クライアントデバイス170は、2つの基板(すなわちW、W)から開始すること、及びバッチ内のすべての基板が追加されるまで追加基板(例えばW)を追加することによって、区分的に処理するためのタイムテーブルを生成しうる。
ブロック310において、クライアントデバイス170は、基板のバッチ内に解析される基板がまだ残っているかどうかを(例えばクライアントデバイス170の処理デバイスによって)判定する。基板のバッチ内に解析される基板が残っている場合、フローはブロック312に進む。しかし、ブロック310において、クライアントデバイス170が、基板のバッチ内に残っている基板はないと判定した場合には、フローはブロック314に進む。
ブロック312において、クライアントデバイス170は、処理されるべき基板のリストに基板(例えばW)を(例えばクライアントデバイス170の処理デバイスによって)追加する(すなわち、クライアントデバイス170は処理されるべき基板W、WにWを追加する)。方法300は次いで、基板W、W、Wに関する解析のために、ブロック304に戻る。
ブロック314において、クライアントデバイス170は、処理モデルに基づいて、(例えばクライアントデバイス170のGPU180によって)並列入力を生成する。ブロック314は、図2のブロック204と同様でありうる。
ブロック316において、クライアントデバイス170は、一又は複数のGPU180のコアによって並列入力を同時処理して、半導体基板のバッチのための並列出力を生成する。ブロック316は、図2のブロック206と同様でありうる。
ブロック318において、クライアントデバイス170は、ブロック316で生成された並列出力に基づいて、基板のバッチのタイムテーブル(例えばスケジュール)を(例えばクライアントデバイス170の処理デバイスによって)生成する。例えば、このタイムテーブルは、各基板の始動時点T、及び各処理チャンバにおける基板処理の順序を含む。
一部の実施形態では、ブロック320において、クライアントデバイス170は、タイムテーブルに基づいて(例えばクライアントデバイス170の処理デバイスによって)スループットを予測する(例えば、スループットモデリングを実施する)。例えば、クライアントデバイス170は、ツール(例えば図1のクラスタツール100)がタイムテーブルに基づいて設定された時間量(例えば1時間)で処理しうる、基板の数を予測しうる。ブロック320において、クライアントデバイス170は(例えばGPUも)、クラスタツール(例えばクラスタツール100)に接続されていないことがあるが、スループットを予測するための数理モデルソルバとして使用されうる。一部の実施形態では、クライアントデバイス170は、予測スループットを生成し、この予測スループットを別のデバイスに送信する。一部の実施形態では、クライアントデバイス170は、予測スループットを生成し、この予測スループットを、クライアントデバイス170のグラフィカルユーザインターフェース(GUI)を介してユーザに表示する。
ブロック322において、クライアントデバイス170は、何らかの更新があるかどうかを(例えばクライアントデバイス170の処理デバイスによって)判定する。この更新は、クライアントデバイス170のGUIを介して、ユーザ入力を通じて受信されうる。更新は、処理モデルの少なくとも1つの制約条件、基板のリスト、少なくとも1つの処理シーケンス、又は少なくとも1つの割り当てられた処理チャンバ、のうちの一又は複数、又はこれらのうちの新たに受信された一又は複数に対する改変でありうる。例えば、予測スループットを表示すること、又は送信(して表示されるように)することに応じて、クライアントデバイス170は、(例えば制約条件、リスト、処理シーケンス、処理チャンバ、処理モデルなどに対する)一又は複数の更新を受信して、(例えば予測スループットを変える)予測スループットに対する更新の影響を特定しうる。更新があれば、フローはブロック324に進む。しかし、ブロック322において、クライアントデバイス170が更新はないと判定した場合には、フローは終了する。
ブロック324において、クライアントデバイス170は、(例えばクライアントデバイス170の処理デバイスによって)処理モデルを更新して、(この更新に基づいて)更新された処理モデルを生成する。フローはブロック314に続く。ブロック314からブロック324までのフローは、望ましい予測スループットが達成されるまで継続されうる。
クライアントデバイス170は、スループットを予測することを通じて、開発早期段階の新たな機器アーキテクチャがあればそれを評価して、そのスループットがどのようになるかを特定することが可能であり、多くの代替例のうち最良のものが、投資及び更なる開発の対象として選択されうる。既存のツールでは、クライアントデバイス170は、スループットを予測して、スループットに対する変化があればその影響を定量化するために、モデリングを実施しうる。この変化は、基板処理動作、ツールトポロジ、又はプロセス制約条件のいずれかにおけるものでありうる。スループットを予測するクライアントデバイス170は、顧客に正確なスループットの見積もりを提供しうる。障害又は予期しないイベントが発生した時に実際のツールがどのように反応するかをシミュレートするために、全体を通じて予測を行うクライアントデバイス170が使用されうる。かかるシミュレーションの結果は、数分以内に入手可能であるので、試験及び開発における項目(item)が削減されうる。
一部の実施形態では、スループットを予測することに(例えば、処理モデルを更新することにも)応じて、クライアントデバイスは、タイムテーブルに基づいて(例えばクライアントデバイス170の処理デバイスによって)半導体基板のバッチが処理されるようにする。例えば、クライアントデバイス170は、(例えばクライアントデバイス170の処理デバイスによって)コントローラ160にタイムテーブルを送信し、このタイムテーブルに基づいて、コントローラ160に基板処理を開始させて(例えば、基板処理を開始するようクラスタツール100を制御させて)よい。クライアントデバイス170とコントローラとが同じ1つのものである実施形態では、クライアントデバイス170は、タイムテーブルを送信しないことがある。
図4は、ある種の実施形態による、一又は複数のGPUによって並列入力を処理するための方法400のフロー図である。
図4を参照するに、ブロック402において、クライアントデバイス170は、(例えばクライアントデバイス170の処理デバイスによって)半導体基板のバッチの処理モデルを生成する。ブロック402は、図2のブロック202又は図3のブロック302〜312、のうちの一又は複数と同様でありうる。
ブロック404において、クライアントデバイス170は、第1コアを含む第1GPU180Aによって、処理モデルを受信する。クライアントデバイス170は、GPUのクラスタ180(例えば2つ以上のGPU)を含みうる。一部の実施形態では、第1GPU180Aは、GPUのクラスタ180のマスタGPU(例えばマスタノード)である。
ブロック406で、クライアントデバイス170は、第1GPU180Aによって、処理モデルに基づいて並列入力を生成する。ブロック406は図2のブロック204と同様でありうる。
ブロック408において、クライアントデバイス170は、第1GPU180Aによって、並列入力の第1の量が第1GPU180Aの第1コアの第2の量よりも大きいかどうかを判定する。並列入力の第1の量が第1コアの第2の量よりも大きくない(例えば、500の並列入力という第1の量が786の第1コアという第2の量のよりも大きくない)場合、フローはブロック410に進む。しかし、ブロック408において、クライアントデバイス170(例えば第1GPU180A)が、並列入力の第1の量が第1コアの第2の量よりも大きい(例えば、1000の並列入力という第1の量が786の第1コアという第2の量のよりも大きい)と判定した場合には、フローはブロック412に進む。
ブロック410において、クライアントデバイス170は、第1GPU180Aの第1コアによって並列入力を同時処理して、半導体基板のバッチのための並列出力を生成する。ブロック410は、図2のブロック206と同様でありうる。
ブロック412において、クライアントデバイス170は、第1GPU180Aによって、第1GPU180Aの第1コアに並列入力の第1サブセットを割り当て、第2GPU180Bの第2コアに並列入力の第2サブセットを割り当てる。一部の実施形態では、第1GPU180Aは、並列入力のほぼ半分を第1GPU180Aの第1コアに割り当て、並列入力のほぼ半分を第2GPU180Bの第2コアに割り当てる。一部の実施形態では、第1GPU180Aは、並列入力を3つ以上のGPU180に割り当てる。
ブロック414において、クライアントデバイス170は、第1GPU180Aの第1コアによって第1サブセットを処理し、それと同時に第2GPU180Bの第2コアによって第2サブセットを処理して、半導体基板のバッチのための並列出力を生成する。ブロック414は、図2のブロック206と同様でありうる。
ブロック416において、クライアントデバイス170は、並列出力に基づいてタイムテーブルを生成する。ブロック416は、図3のブロック318と同様でありうる。
ブロック418において、クライアントデバイス170は、オプションで、タイムテーブルに基づいてスループットを予測する。ブロック418は、図3のブロック320と同様でありうる。(例えば処理モデル、制約条件、処理シーケンス、処理チャンバなどに対する)更新の受信に応じて、処理モデルが更新されてよく、フローはブロック402に続きうる。
ブロック420において、クライアントデバイス170は、タイムテーブルに基づいて半導体基板のバッチが処理されるようにする。ブロック420は、図3のブロック322又は図2のブロック208と同様でありうる。
ブロック422において、クライアントデバイス170は、(例えば一体型基板処理システム内で)障害が発生したかどうかを(例えばクライアントデバイス170の処理デバイスによって)判定する。クライアントデバイス170が障害が発生したと判定すると、フローはブロック402に進み、ブロック402において、クライアントデバイスは、この障害に基づいて更新された処理モデルを生成する。しかし、ブロック422において、クライアントデバイス170が障害は発生していないと(例えば、基板処理は終了したとも)判定した場合、方法400は終了しうる。
図5は、ある種の実施形態による演算プラットフォーム500を示している。演算プラットフォーム500は、コントローラ510(例えばコントローラ160)と、クライアントデバイス550(例えばクライアントデバイス170)とを含む。コントローラ510は、処理デバイス512、メモリ514、ストレージ516、及びネットワークインターフェース518を含む。一部の実施形態では、コントローラ510は、連結された一又は複数の入出力(I/O)デバイス520を更に含みうる。処理デバイス512は、メモリ514に記憶されたプログラミング命令(プログラムコード522など)を読み出して実行する。単一の処理デバイス、複数の処理デバイス、複数の処理コアを有する単一の処理デバイス、プロセッサ、中央処理デバイス(CPU)などを代表する、処理デバイス512が含まれる。
ストレージ516はディスクドライブストレージでありうる。ストレージ516は、単一ユニットとして図示されているが、取り外し可能なストレージデバイス及び/又は不可能なストレージデバイス(例えば取り外し不可能なディスクドライブ、取り外し可能なメモリカード、光ストレージ、ネットワーク接続ストレージ(NAS)、又はストレージエリアネットワーク(SAN))の組み合わせでありうる。ネットワークインターフェース518は、コントローラ510がネットワーク530(例えばネットワーク190)を介して他のコンピュータと(例えばクライアントデバイス550などと)通信することを可能にする、任意の種類のネットワーク通信でありうる。
クライアントデバイス550は、処理デバイス552、メモリ554、ストレージ556、及びネットワークインターフェース558を含む。一部の実施形態では、クライアントデバイス550は、連結された一又は複数のI/Oデバイス560を更に含みうる。単一の処理デバイス、複数の処理デバイス、複数の処理コアを有する単一の処理デバイス、プロセッサ、CPUなどを代表する、処理デバイス552が含まれる。クライアントデバイス550は、一又は複数のGPU580(例えばGPU180)を更に含みうる。
処理デバイス552は、処理モデル生成器562、タイムテーブル生成器564、及び予測スループット生成器565を含みうる。処理モデル生成器562は、処理シーケンス内の各動作について各基板に1つの処理チャンバを割り当て、その後、この処理チャンバ割り当てに基づいて処理モデル572を生成するよう構成されうる。例えば、処理モデル生成器562は、図2〜図4と併せて上述した一又は複数のブロックのプロセスを実行するよう構成されうる。生成された処理モデルはストレージ556に記憶されうる。例えば、処理モデル572はストレージ556内にありうる。タイムテーブル生成器564は、並列出力574に基づいて処理タイムテーブルを生成するよう構成される。例えば、タイムテーブル生成器564は、図3のブロック318又は図4のブロック416に従って上述のプロセスを実行するよう構成されうる。生成されたタイムテーブルはストレージ556に記憶されうる。例えば、タイムテーブル576はストレージ556内にありうる。予測スループット生成器565は、タイムテーブルに基づいてスループットを予測するよう構成される。例えば、予測スループット生成器565は、図3のブロック320又は図4のブロック418に従って上述のプロセスを実行するよう構成されうる。
メモリ554はプログラムコード566を含む。処理デバイス552と一又は複数のGPU580との一方又は両方は、メモリ554に記憶されたプログラミング命令(例えばプログラムコード566)を読み出して実行しうる。プログラムコード566は、(例えば、処理スケジュール、タイムテーブル、並列出力などに基づいて、)基板のバッチが処理されるようにする命令を実行するよう構成されうる。例えば、プログラムコード566は、図2〜図4と併せて上述した一又は複数のブロックを含みうる。
一又は複数のGPU580はコア586、588を含みうる(例えば、GPU580Aはコア586A〜Nを含み、GPU580Nはコア588A〜Nを含む)。GPU580のうちの一又は複数は、並列入力生成器582、並列出力生成器584、又は並列出力セレクタ592のうちの一又は複数を含みうる。並列出力生成器584はコア586又は588を含みうる。
一部の実施形態では、GPU580Aは、処理モデル572を受信し、並列出力574を出力する(例えば、GPU580Aは並列入力生成器582A及び並列出力セレクタ592Aを含む)。一部の実施形態では、一又は複数のGPU580は、並列入力を受信し、かつ並列出力を出力する(例えば、処理デバイス552は並列入力生成器582A及び並列出力セレクタ592Aを含む)。
一例では、処理モデル生成器562は、半導体基板のバッチの処理モデル572を生成しうる(例えば、図2のブロック202、図3のブロック302〜312、図4のブロック402など)。並列入力生成器582Aは、処理モデル572を受信し、この処理モデル572に基づいて並列入力を生成しうる(例えば、図2のブロック204、図3のブロック314、図4のブロック404〜406など)。
並列入力生成器582Aは、並列入力の第1の量はGPU580Aの第1コア586の第2の量を超えないと判定したことに応じて、並列入力を並列出力生成器584Aに送信する(例えば、並列入力生成器582Aは、並列入力の各々を並列出力生成器584Aの個別のコア586に分配する)。
並列入力生成器582Aは、並列入力の第1の量がGPU580Aの第1コア586の第2の量を超えると判定したことに応じて、並列入力を2つ以上の並列出力生成器584に送信する(例えば、並列入力生成器582Aは、並列入力を2つ以上の並列出力生成器584の個別のコア586、588に分配する)。
並列入力生成器582Aは、並列入力の第1の量がクライアントデバイス550のGPU580の総コアの第3の量を超えると判定したことに応じて、並列入力の第1のセットを、同時処理されるようGPUのコアに分配しうる。コアの各々に関して、並列入力生成器582Aは、コアが利用可能になると(例えば、対応する並列入力の処理を完了すると)、利用可能になったコアに別の並列入力を分配しうる。並列入力生成器582Aは、全ての並列入力が処理されるまで、利用可能なコアに並列入力を分配し続けうる。
並列出力セレクタ592(例えば、GPU580Aの並列出力セレクタ592A)は、並列出力同士を比較して、(例えば、並列出力の全ての中で)最小の処理持続時間を提供する並列出力574を選択しうる。一部の実施形態では、並列出力セレクタ592は、GPU580A内に配置される。一部の実施形態では、並列出力セレクタ592は、GPU580の各々内に配置される。一部の実施形態では、並列出力セレクタ592は、処理デバイス552内に配置される。
タイムテーブル生成器564は、(例えば、並列出力セレクタ592によって選択された)並列出力574を受信し、この並列出力574に基づいてタイムテーブル576を生成しうる。ネットワークインターフェース558は、タイムテーブル576に基づいて半導体基板のバッチが基板処理システム内で処理されるようにするために、タイムテーブル576を受信し、ネットワーク530を介してこのタイムテーブル576をコントローラ510のネットワークインターフェース518に送信しうる。
上記の説明は、本書に記載の実施形態を対象としているが、本書の基本的な範囲から逸脱することなく、その他の実施形態及び更なる実施形態が考案されうる。例えば、本開示の態様は、ハードウェア若しくはソフトウェアにおいて、又はハードウェアとソフトウェアとの組み合わせにおいて、実装されうる。本書に記載の一実施形態は、コンピュータシステムと共に使用されるプログラム製品として実装されうる。プログラム製品のプログラム(複数可)は、実施形態(本書に記載の方法を含む)の機能を定義するものであり、多種多様なコンピュータ可読記憶媒体に包含されうる。例示的なコンピュータ可読記憶媒体は、(i)情報を恒久的に記憶する、書き込み不能記憶媒体(例えば、CD−ROMドライブによって可読なCD−ROMディスク、フラッシュメモリ、ROMチップ、又は任意の種類のソリッドステート不揮発性半導体メモリといった、コンピュータ内の読み出し専用メモリデバイス)、及び、(ii)変更可能な情報を記憶する、書き込み可能記憶媒体(例えば、ディスケットドライブ若しくはハードディスクドライブの中のフロッピーディスク、又は、任意の種類のソリッドステートランダムアクセス半導体メモリ)、を含むが、これらに限定されるわけではない。かかるコンピュータ可読記憶媒体は、開示されている実施形態の機能を指示するコンピュータ可読命令を伴う場合に、本開示の実施形態となる。
前述した例は例示的なものであって限定的なものではないことが、当業者には認識されよう。本明細書を読み、図面を精査することで当業者に明らかになる、これらの例の置換例、強化例、均等物、及び改良例は全て、本開示の本質及び範囲内に含まれることが意図されている。したがって、本書に付随する以下の特許請求の範囲も、これらの教示の本質及び範囲に内包されるものとして、かかる改変例、置換例、及び均等物の全てを含むことが意図されている。
上記の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱しなければ、本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (20)

  1. 一体型基板処理システムにおいて半導体基板の処理シーケンスをスケジューリングする方法であって、
    半導体基板のバッチの処理モデルを生成することであって、前記処理モデルは、前記一体型基板処理システムにおける各処理チャンバ内の各半導体基板について、対応する始動時点を規定する、処理モデルを生成することと、
    前記処理モデルに基づいて、並列入力を生成することと、
    一又は複数のグラフィック処理ユニット(GPU)の複数のコアによって、前記並列入力を同時処理して、前記半導体基板のバッチのための並列出力を生成することであって、前記並列入力の各々は、対応する並列出力を生成するために前記一又は複数のGPUの前記複数のコアの個別のコアで処理される、並列出力を生成することと、
    前記並列出力に基づいて、前記一体型基板処理システムにおいて前記半導体基板のバッチが処理されるようにすることとを含む、
    方法。
  2. 前記一又は複数のGPUの第1GPUによって、行列を含む前記処理モデルを受信することを更に含み、前記並列入力を生成することが、前記第1GPUによって、前記行列に基づいて複数の行列を生成することを含み、前記並列出力が、前記半導体基板のバッチを処理するための値のセットに対応する、請求項1に記載の方法。
  3. 前記並列出力を生成することが、
    前記複数の行列の各々を解いて、前記複数の行列の各々について複数の値のセットのうちの対応する値のセットを生成することであって、前記複数の値のセットの各々が、前記半導体基板のバッチの処理のための各処理持続時間に対応する、対応する値のセットを生成することと、
    前記複数の値のセットのうちの、前記半導体基板のバッチの前記処理のための最小処理持続時間に対応する値のセットを選択することとを含む、請求項2に記載の方法。
  4. 前記並列出力に基づいて、前記一体型基板処理システムのスループットを予測することを更に含む、請求項1に記載の方法。
  5. 前記一体型基板処理システムにおける障害を検出することと、
    前記障害に基づいて、更新された処理モデルを生成することと、
    前記更新された処理モデルに基づいて、更新された並列入力を生成することと、
    前記一又は複数のGPUによって、前記更新された並列入力を処理して、前記半導体基板のバッチのための更新された並列出力を生成することと、
    前記更新された並列出力に基づいて、前記基板処理システムにおいて前記半導体基板のバッチが処理されるようにすることとを更に含む、請求項1に記載の方法。
  6. 前記並列出力に基づいて前記半導体基板のバッチが処理されるようにすることが、前記並列出力に基づいて、前記一体型基板処理システム内で前記半導体基板のバッチをルーティングすることを含み、
    前記更新された並列出力に基づいて前記半導体基板のバッチが処理されるようにすることが、前記更新された並列出力に基づいて、前記一体型基板処理システム内で一又は複数の半導体基板をルーティングし直すことを含む、請求項5に記載の方法。
  7. 前記一又は複数のGPUが第1GPU及び第2GPUを含み、
    前記第1GPUによって前記処理モデルを受信することであって、前記並列入力を生成することが前記第1GPUによる、前記処理モデルを受信することと、
    前記第1GPUによって、前記並列入力の第1の数量が前記第1GPUの第1の複数のコアの第2の数量を超えると判定することと、
    前記第1GPUに前記並列入力の第1サブセットを割り当て、前記第2GPUに前記並列入力の第2サブセットを割り当てることであって、前記第1GPUが前記第1サブセットを処理するものであり、前記第2GPUが前記第2サブセットを処理するものである、サブセットを割り当てることとを更に含む、請求項1に記載の方法。
  8. 前記半導体基板のバッチに基づいて、処理されるべき半導体基板のリストを生成することと、
    前記半導体基板のリストに対応する各半導体基板に、対応する処理シーケンスを割り当てることと、
    前記半導体基板のリスト内の各半導体基板について、前記対応する処理シーケンスにおける各プロセスに、対応する処理チャンバを割り当てることとを更に含み、前記半導体基板のリスト、前記半導体基板のリストの各半導体基板の前記対応する処理シーケンス、及び、前記半導体基板のリストの各半導体基板の前記対応するシーケンスにおける各プロセスのための前記対応する処理チャンバに基づいて、前記処理モデルが生成される、請求項1に記載の方法。
  9. 前記並列出力に基づいて、前記半導体基板のバッチのためのタイムテーブルを生成することを更に含み、前記並列出力に基づいて前記半導体基板のバッチが処理されるようにすることが、前記タイムテーブルにしたがって前記半導体基板のバッチが処理されるようにすることを含む、請求項1に記載の方法。
  10. メモリと、
    半導体基板のバッチの処理モデルを生成するための、前記メモリに連結された処理デバイスであって、前記処理モデルが、一体型基板処理システムにおける各処理チャンバ内の各半導体基板について、対応する始動時点を規定する、処理デバイスと、
    前記メモリ及び前記処理デバイスに連結されたグラフィック処理ユニット(GPU)であって、前記処理モデルに基づいて並列入力を生成し、かつ前記GPUの複数のコアによって前記並列入力を同時処理して、前記半導体基板のバッチのための並列出力を生成するための、GPUとを備える、システムであって、前記並列入力の各々は、対応する並列出力を生成するために前記GPUの前記複数のコアの個別のコアで処理され、前記処理デバイスは、前記並列出力に基づいて、前記一体型基板処理システムにおいて前記半導体基板のバッチが処理されるようにするものである、
    システム。
  11. 前記GPUは、行列を含む前記処理モデルを受信することを更に行うものであり、前記GPUは、前記並列入力を生成するために、前記行列に基づいて複数の行列を生成するものであり、前記並列出力が、前記半導体基板のバッチを処理するための値のセットに対応する、請求項10に記載のシステム。
  12. 前記GPUは、前記並列出力を生成するために、
    前記複数の行列の各々を解いて、前記複数の行列の各々について複数の値のセットのうちの対応する値のセットを生成することであって、前記複数の値のセットの各々が、前記半導体基板のバッチの処理のための各処理持続時間に対応する、対応する値のセットを生成することと、
    前記複数の値のセットのうちの、前記半導体基板のバッチの前記処理のための最小処理持続時間に対応する値のセットを選択することとを行うものである、請求項11に記載のシステム。
  13. 前記処理デバイスは、前記並列出力に基づいて前記一体型基板処理システムのスループットを予測することを更に行うものである、請求項11に記載のシステム。
  14. 前記処理デバイスは、前記一体型基板処理システムにおける障害を検出し、かつ前記障害に基づいて更新された処理モデルを生成するものであり、
    前記GPUは、前記更新された処理モデルに基づいて更新された並列入力を生成し、かつ前記更新された並列入力を処理して、前記半導体基板のバッチのための更新された並列出力を生成するものであり、前記処理デバイスは、前記更新された並列出力に基づいて、前記基板処理システムにおいて前記半導体基板のバッチが処理されるようにするものである、請求項10に記載のシステム。
  15. 前記処理デバイスは、前記並列出力に基づいて前記半導体基板のバッチが処理されるようにするために、前記並列出力に基づいて、前記一体型基板処理システム内で前記半導体基板のバッチをルーティングするものであり、前記処理デバイスは、前記更新された並列出力に基づいて前記半導体基板のバッチが処理されるようにするために、前記更新された並列出力に基づいて、前記一体型基板処理システム内で一又は複数の半導体基板をルーティングし直すものである、請求項14に記載のシステム。
  16. 前記処理デバイスは、
    前記半導体基板のバッチに基づいて、処理されるべき半導体基板のリストを生成することと、
    前記半導体基板のリストに対応する各半導体基板に、対応する処理シーケンスを割り当てることと、
    前記半導体基板のリスト内の各半導体基板について、前記対応する処理シーケンスにおける各プロセスに、対応する処理チャンバを割り当てることとを更に行うものであり、前記半導体基板のリスト、前記半導体基板のリストの各半導体基板の前記対応する処理シーケンス、及び、前記半導体基板のリストの各半導体基板の前記対応するシーケンスにおける各プロセスのための前記対応する処理チャンバに基づいて、前記処理モデルが生成される、請求項10に記載のシステム。
  17. 命令が記憶された非一過性のコンピュータ可読媒体であって、前記命令は、グラフィック処理ユニット(GPU)によって実行されると、前記GPUに、
    半導体基板のバッチの処理モデルを受信することであって、前記処理モデルは、一体型基板処理システムにおける各処理チャンバ内の各半導体基板について、対応する始動時点を規定する、処理モデルを受信することと、
    前記処理モデルに基づいて、並列入力を生成することと、
    前記GPUの複数のコアによって、前記並列入力を同時処理して、前記半導体基板のバッチのための並列出力を生成することであって、前記並列入力の各々は、対応する並列出力を生成するために前記GPUの前記複数のコアの個別のコアで処理される、並列出力を生成することとを実行させ、前記半導体基板のバッチは、前記並列出力に基づいて前記一体型基板処理システムにおいてが処理されるものである、
    非一過性のコンピュータ可読媒体。
  18. 前記処理モデルが行列を含み、前記GPUは、前記並列入力を生成するために、前記行列に基づいて複数の行列を生成するものであり、前記並列出力が、前記半導体基板のバッチを処理するための値のセットに対応する、請求項17に記載の非一過性のコンピュータ可読媒体。
  19. 前記GPUは、前記並列出力を生成するために、
    前記複数の行列の各々を解いて、前記複数の行列の各々について複数の値のセットのうちの対応する値のセットを生成することであって、前記複数の値のセットの各々が、前記半導体基板のバッチの処理のための各処理持続時間に対応する、対応する値のセットを生成することと、
    前記複数の値のセットのうちの、前記半導体基板のバッチの前記処理のための最小処理持続時間に対応する値のセットを選択することとを行うものである、請求項18に記載の非一過性のコンピュータ可読媒体。
  20. 前記一体型基板処理システムのスループットが、前記並列出力に基づいて予測されるものである、請求項18に記載の非一過性のコンピュータ可読媒体。
JP2021123961A 2018-06-22 2021-07-29 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用 Active JP7136977B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/015,302 2018-06-22
US16/015,302 US10698392B2 (en) 2018-06-22 2018-06-22 Using graphics processing unit for substrate routing and throughput modeling
JP2020570462A JP6923766B1 (ja) 2018-06-22 2019-06-21 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020570462A Division JP6923766B1 (ja) 2018-06-22 2019-06-21 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用

Publications (3)

Publication Number Publication Date
JP2022000891A true JP2022000891A (ja) 2022-01-04
JP2022000891A5 JP2022000891A5 (ja) 2022-02-21
JP7136977B2 JP7136977B2 (ja) 2022-09-13

Family

ID=68980629

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020570462A Active JP6923766B1 (ja) 2018-06-22 2019-06-21 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用
JP2021123961A Active JP7136977B2 (ja) 2018-06-22 2021-07-29 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020570462A Active JP6923766B1 (ja) 2018-06-22 2019-06-21 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用

Country Status (6)

Country Link
US (2) US10698392B2 (ja)
JP (2) JP6923766B1 (ja)
KR (2) KR102482316B1 (ja)
CN (2) CN112292748B (ja)
TW (2) TWI758613B (ja)
WO (1) WO2019246588A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022523870A (ja) * 2019-03-29 2022-04-26 ラム リサーチ コーポレーション 基板処理システムのためのモデルベースのスケジュール設定
US11437254B2 (en) 2020-06-24 2022-09-06 Applied Materials, Inc. Sequencer time leaping execution
US11385628B2 (en) 2020-06-24 2022-07-12 Applied Materials, Inc. Scheduling substrate routing and processing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002511193A (ja) * 1997-06-09 2002-04-09 アプライド マテリアルズ インコーポレイテッド マルチチャンバ式半導体ウェーハ処理ツール内でのウェーハ処理用スケジュールを自動的に生成するための方法および装置
US20070179652A1 (en) * 2006-01-31 2007-08-02 Joerg Weigang Method and system for scheduling a stream of products in a manufacturing environment by using a simulation process
JP2008263177A (ja) * 2007-03-02 2008-10-30 Applied Materials Inc 集積基板処理システムのソフトウェアシーケンサー
JP2018097661A (ja) * 2016-12-14 2018-06-21 オムロン株式会社 生産システム、制御装置、および制御方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442561A (en) * 1992-05-12 1995-08-15 Nippon Telegraph And Telephone Corporation Production management system and its application method
JP3315844B2 (ja) * 1994-12-09 2002-08-19 株式会社東芝 スケジューリング装置及びスケジューリング方法
US6889178B1 (en) * 1997-10-01 2005-05-03 Sony Corporation Integrated wafer fabrication production characterization and scheduling system
JPH11235648A (ja) * 1998-02-17 1999-08-31 Toshiba Corp 製造計画管理装置、製造計画管理方法、及び、製造計画管理プログラムを記録したコンピュータ読み取り可能な記録媒体
KR100303445B1 (ko) * 1998-11-04 2002-11-01 삼성전자 주식회사 작업대상물의선택처리시스템및그제어방법
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US7184850B1 (en) * 2002-09-06 2007-02-27 National Semiconductor Corporation System and method for allocating multi-function resources for a wetdeck process in semiconductor wafer fabrication
AU2003270866A1 (en) * 2002-09-30 2004-04-23 Tokyo Electron Limited Method and apparatus for the monitoring and control of a semiconductor manufacturing process
KR100935291B1 (ko) * 2002-11-28 2010-01-06 도쿄엘렉트론가부시키가이샤 기판 처리 시스템 및 도포 현상 장치
JP4233908B2 (ja) * 2003-04-02 2009-03-04 東京エレクトロン株式会社 基板処理システム
US7107112B2 (en) * 2004-05-17 2006-09-12 Brown Peter G Method and system for simulating and modeling a batch manufacturing facility
WO2006064680A1 (ja) * 2004-12-15 2006-06-22 Matsushita Electric Industrial Co., Ltd. 動作時間短縮方法、動作時間短縮装置、プログラムおよび部品実装機
US7728841B1 (en) * 2005-12-19 2010-06-01 Nvidia Corporation Coherent shader output for multiple targets
JP4845553B2 (ja) * 2006-03-27 2011-12-28 大日本スクリーン製造株式会社 基板処理装置のスケジュール実行方法及びそのプログラム
US7974723B2 (en) * 2008-03-06 2011-07-05 Applied Materials, Inc. Yield prediction feedback for controlling an equipment engineering system
US8396582B2 (en) * 2008-03-08 2013-03-12 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
US9069345B2 (en) * 2009-01-23 2015-06-30 Mks Instruments, Inc. Controlling a manufacturing process with a multivariate model
US8978045B2 (en) * 2009-03-06 2015-03-10 Applied Materials, Inc. Per-station start time constraint
KR101644569B1 (ko) * 2009-10-01 2016-08-01 삼성전자 주식회사 가상 프로세서 관리 장치 및 방법
US8655472B2 (en) * 2010-01-12 2014-02-18 Ebara Corporation Scheduler, substrate processing apparatus, and method of transferring substrates in substrate processing apparatus
KR101930981B1 (ko) * 2011-11-25 2018-12-19 도쿄엘렉트론가부시키가이샤 처리 장치군 컨트롤러, 생산 처리 시스템, 처리 장치군 제어 방법, 생산 효율화 시스템, 생산 효율화 장치 및 생산 효율화 방법
JP5738796B2 (ja) * 2012-04-11 2015-06-24 株式会社日立ハイテクノロジーズ 処理室割当設定装置及び処理室割当設定プログラム
JP5779537B2 (ja) * 2012-04-20 2015-09-16 株式会社日立製作所 生産シミュレーション装置、生産シミュレーション方法及び、生産シミュレーションプログラム
CN105917456A (zh) * 2014-01-21 2016-08-31 应用材料公司 任意基板上的膜厚度的测量
JP6298318B2 (ja) * 2014-02-25 2018-03-20 株式会社Screenホールディングス 基板処理方法および基板処理装置
US9535815B2 (en) * 2014-06-04 2017-01-03 Nvidia Corporation System, method, and computer program product for collecting execution statistics for graphics processing unit workloads
US9286675B1 (en) * 2014-10-23 2016-03-15 Applied Materials Israel Ltd. Iterative defect filtering process
US9928034B2 (en) * 2014-12-17 2018-03-27 Nvidia Corporation Work-efficient, load-balanced, merge-based parallelized consumption of sequences of sequences
US10083395B2 (en) * 2015-05-21 2018-09-25 Google Llc Batch processing in a neural network processor
CN113675115A (zh) 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US10230817B2 (en) * 2015-12-21 2019-03-12 Intel Corporation Scheduling highly parallel applications
JP6476370B2 (ja) * 2016-09-26 2019-03-06 株式会社Kokusai Electric 記録媒体、プログラム、半導体装置の製造方法および基板処理装置。
US20180307533A1 (en) * 2017-04-21 2018-10-25 Intel Corporation Faciltating multi-level microcontroller scheduling for efficient computing microarchitecture
US10359769B2 (en) 2017-09-15 2019-07-23 Applied Materials, Inc. Substrate routing and throughput modeling

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002511193A (ja) * 1997-06-09 2002-04-09 アプライド マテリアルズ インコーポレイテッド マルチチャンバ式半導体ウェーハ処理ツール内でのウェーハ処理用スケジュールを自動的に生成するための方法および装置
US20070179652A1 (en) * 2006-01-31 2007-08-02 Joerg Weigang Method and system for scheduling a stream of products in a manufacturing environment by using a simulation process
JP2008263177A (ja) * 2007-03-02 2008-10-30 Applied Materials Inc 集積基板処理システムのソフトウェアシーケンサー
JP2018097661A (ja) * 2016-12-14 2018-06-21 オムロン株式会社 生産システム、制御装置、および制御方法

Also Published As

Publication number Publication date
KR102302724B1 (ko) 2021-09-14
JP6923766B1 (ja) 2021-08-25
CN112292748A (zh) 2021-01-29
US10698392B2 (en) 2020-06-30
TWI780002B (zh) 2022-10-01
CN114895638B (zh) 2023-04-07
US20190391569A1 (en) 2019-12-26
TW202223566A (zh) 2022-06-16
CN112292748B (zh) 2022-05-24
JP7136977B2 (ja) 2022-09-13
WO2019246588A1 (en) 2019-12-26
JP2021522695A (ja) 2021-08-30
KR102482316B1 (ko) 2022-12-27
KR20210021564A (ko) 2021-02-26
TW202001634A (zh) 2020-01-01
US20200326691A1 (en) 2020-10-15
TWI758613B (zh) 2022-03-21
US11275360B2 (en) 2022-03-15
KR20210112421A (ko) 2021-09-14
CN114895638A (zh) 2022-08-12

Similar Documents

Publication Publication Date Title
JP2022000891A (ja) 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用
TWI691822B (zh) 用於基板佈線與產量模擬的方法、系統及非暫態電腦可讀取媒體
Johri Practical issues in scheduling and dispatching in semiconductor wafer fabrication
JP5586271B2 (ja) 真空処理装置及びプログラム
JP5476162B2 (ja) 真空処理装置及びプログラム
KR102460790B1 (ko) 반도체 제조에서 재고 관리를 위한 방법 및 우선 순위 시스템
Lee et al. Completion time analysis of wafer lots in single-armed cluster tools with parallel processing modules
CN115794506B (zh) 一种晶片调度方法和一种电子设备
TWI276997B (en) A system and method for target queue time calculation in semiconductor manufacturing planning, and a semiconductor product managed by a target queue time calculation method
Kim et al. Decomposition method application to a large scale linear programming WIP projection model
US6724404B1 (en) Cluster tool reporting system
JP2023020264A (ja) スケジュール作成方法、スケジュール作成装置、基板処理装置、基板処理システム、記録媒体、及びスケジュール作成プログラム
JP2009128983A (ja) 半導体デバイス製造ラインの投入計画調整方法および投入計画調整システム
JP2000114131A (ja) 生産管理装置とその方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210929

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220210

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20220210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220726

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220816

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220901

R150 Certificate of patent or registration of utility model

Ref document number: 7136977

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150