TW202001634A - 將圖形處理單元用於基板路由及通量建模 - Google Patents

將圖形處理單元用於基板路由及通量建模 Download PDF

Info

Publication number
TW202001634A
TW202001634A TW108121693A TW108121693A TW202001634A TW 202001634 A TW202001634 A TW 202001634A TW 108121693 A TW108121693 A TW 108121693A TW 108121693 A TW108121693 A TW 108121693A TW 202001634 A TW202001634 A TW 202001634A
Authority
TW
Taiwan
Prior art keywords
processing
parallel
batch
substrate
gpu
Prior art date
Application number
TW108121693A
Other languages
English (en)
Other versions
TWI758613B (zh
Inventor
尚恩尚德 伊瑪尼
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202001634A publication Critical patent/TW202001634A/zh
Application granted granted Critical
Publication of TWI758613B publication Critical patent/TWI758613B/zh

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T1/00General purpose image data processing
    • G06T1/20Processor architectures; Processor configuration, e.g. pipelining
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/34Director, elements to supervisory
    • G05B2219/34417Multiprocessor scheduling
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/35Nc in input of data, input till input file format
    • G05B2219/35499Model of process, machine and parameters
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2200/00Indexing scheme for image data processing or generation, in general
    • G06T2200/28Indexing scheme for image data processing or generation, in general involving image processing hardware
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Theoretical Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • General Factory Administration (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文中揭露了用於排定積體基板處理系統中的基板處理序列的方法、系統、及非暫時性電腦可讀取媒體。處理元件針對一批半導體基板產生處理模型。該處理模型針對該積體基板處理系統中的每個處理腔室中的每個半導體基板界定對應的起動時間。一或更多個圖形處理單元(GPU)基於該處理模型產生平行輸入,且藉由該一或更多個GPU的複數個核心並行處理該等平行輸入以針對該批半導體基板產生平行輸出。在該一或更多個GPU的該複數個核心中的相異核心上處理該等平行輸入中的每一者以產生對應的平行輸出。該處理元件使得在該積體基板處理系統中基於該等平行輸出處理該批半導體基板。

Description

將圖形處理單元用於基板路由及通量建模
本揭示案係關於在積體處理系統中傳輸基板,且更特定而言,是關於使用圖形處理單元(GPU)來改善積體處理系統中的基板路由及通量建模。
在半導體處理中,使用具有許多處理動作的特定處理配方在半導體基板上製造多層特徵。一般將群集工具用於處理半導體基板,該群集工具整合許多處理腔室以在不從處理環境(例如受控的環境)移除基板的情況下執行製程序列。一般將製程序列界定為元件製造動作或製程配方動作的序列,該序列在群集工具中的一或更多個處理腔室中完成。製程序列一般可以包含各種基板處理動作(例如用於電子元件製造)。
群集工具可以包括定序器,該定序器負責將基板移動到不同的位置及基於使用者輸入在基板上運行製程。定序器被配置為改善基板移動,使得可以實現較大的通量。在群集工具中傳輸基板的同時,定序器也確保滿足由製程工程師或使用所指定的所有限制條件。習用方法是試探法,即每個產品被撰寫為具有處置拓撲的定製軟體代碼及群集工具可能有興趣的最常見的統計資料。為新的產品撰寫此種代碼是耗時的,且也花費很長時間才能穩定。
下文為本揭示案的簡化概要,以提供對本揭示案的一些態樣的基本瞭解。此概要並非本揭示案的廣泛綜述。其並不意欲識別本揭示案的關鍵或重要的元件,也不意欲敘述本揭示案的特定實施方式的任何範圍或請求項的任何範圍。其唯一的目的是用簡化的形式呈現本揭示案的一些概念以作為之後呈現的更詳細描述的前序。
在本揭示案的一個態樣中,一方法可以包括以下步驟:針對一批半導體基板產生處理模型。該處理模型可以針對該整合基板處理系統中的每個處理腔室中的每個半導體基板界定對應的起動時間。該方法可以更包括以下步驟:基於該處理模型產生平行輸入。該方法可以更包括以下步驟:藉由一或更多個GPU的複數個核心,並行處理該等平行輸入以針對該批半導體基板產生平行輸出。在該一或更多個GPU的該複數個核心中的相異核心上處理該等平行輸入中的每一者以產生對應的平行輸出。該方法可以更包括以下步驟:使得在該積體基板處理系統中基於該等平行輸出處理該批半導體基板。
本文中所述的是涉及基板路由及預測通量(例如群集工具中的基板的通量)的技術。經常接收到用於基板路由的新的基板處理序列(例如用於每種基板順序的不同基板處理序列)。可以更新基板處理序列。基板處理序列要對故障有回應性(例如回應於群集工具的一部分中的故障而重新路由)。基板處理序列的複雜性可以隨著處理腔室的數量增加而增加。基板處理可以具有嚴格的時序控制,因此要考慮可能在基板處理期間發生的每個事件。事件可以包括以下項目中的一或更多者:機器人排程、預防性維護任務、虛設晶圓移動、清潔、複合序列、或相同的基板多次進入相同的腔室。基板處理可以具有佇列時間(例如基板在製程完成之後在處理腔室中等待的時間量)的限制。若下個處理腔室不可用或若機器人正在移動其他材料,則佇列時間可能增加。
可以撰寫用於基板的基板路由及/或預測通量的軟體代碼。撰寫用於基板路由及/或預測通量的軟體代碼可以是耗時的、可能花費很長時間才穩定、且可能未考慮可能發生的事件及故障(例如可能是不準確的)。
如本文中所揭露的元件、系統、及方法提供了將一或更多個GPU用於基板路由及通量建模的用法。可以使用處理模型來將基板路由(例如具有複雜的定序需求)及通量建模。處理模型可以考慮事件、故障、佇列時間限制等等中的一或更多者。可以基於處理模型來產生平行輸入,且該一或更多個GPU的核心可以並行處理平行輸入以產生平行輸出。平行輸出可以指示值(例如不同處理腔室處的不同製程的起動時間等等)以最小化處理持續時間。基於平行輸出,可以處理基板且可以預測基板通量。回應於偵測到錯誤,可以基於該錯誤來產生更新的處理模型,可以產生更新的平行輸入,且可以由該一或更多個GPU的核心來處理更新的平行輸入,以產生更新的平行輸出以使得對基板重新路由或重新預測通量。
將一或更多個GPU用於基板路由及通量建模提供了技術優點。該等技術優點包括:藉由產生處理模型及在短的時間量內對處理模型求解,來尋找新的處理序列(例如新的基板順序、處理序列的更新等等)的解決方案。該等技術優點也包括:藉由偵測錯誤、基於該錯誤更新處理模型、及在短時間內對更新的處理模型求解,而對故障有回應性。該等技術優點也包括:產生基板處理的時間表,及回應於故障而更新時間表,其中時間表滿足佇列時間限制。
本揭示案的態樣造成以下技術優點:顯著減少能量消耗、頻寬、處理持續時間等等。本揭示案的態樣減少了基板處理期間的等待時間,此減少了整體的能量消耗。與向網路上的其他元件傳送處理模型、基於處理模型的平行輸入、或得解的處理模型中的一或更多者的客戶端元件相比,產生處理模型、使用客戶端元件的該一或更多個GPU對處理模型求解、基於得解的處理模型產生時間表、及傳送時間表的客戶端元件使用較少的頻寬。
圖1繪示依據某些實施例的計算環境150。計算環境150包括控制器160及客戶端元件170。客戶端元件170可以包括一或更多個GPU 180。每個GPU 180可以包括可以並行處理平行輸入以產生平行輸出的多個核心(例如數百個核心)。控制器160在網路190上與客戶端元件170通訊。計算環境150可以包括群集工具100。可以將群集工具100用於基板處理。可以將本文中所述的方法與配置為執行製程序列的其他工具一起使用。在一個實例中,圖1的群集工具100可以是可從加州聖克拉拉市中的應用材料有限公司購得的Endura® 群集工具。
客戶端元件170可以接收序列配方(例如經由使用者輸入接收),該序列配方描述基板在不同階段要進入的可能的處理腔室及要在每個處理腔室中運行的對應製程。客戶端元件170可以產生處理模型、基於處理模型來產生平行輸入、及藉由該一或更多個GPU 180的核心並行處理平行輸入以產生平行輸出。客戶端元件170可以基於平行輸出針對要在群集工具100中處理的一批基板產生製程排程(例如產生基板移動的排程,使得可以在較短的持續時間中處理基板)。例如,客戶端元件170產生群集工具100的數學模型,隨後最佳化模型以針對在群集工具100內傳輸基板的改善方法提供解決方案及滿足群集工具100的界定的限制條件。
在一些實施例中,客戶端元件可以基於時間表(例如在不耦接到客戶端工具100的情況下)預測群集工具100的通量。在一些實施例中,客戶端元件170可以向控制器160傳輸基板處理排程(例如時間表)及指令。控制器160可以使得基於基板處理排程及指令由群集工具100處理該批半導體基板。回應於對序列配方的更新、群集工具100中的故障等等,客戶端元件170可以產生更新的處理排程及指令。
群集工具100包括真空氣密處理平台101及工廠介面102。平台101包括耦接到真空基板傳輸腔室103、104的複數個處理腔室110、108、114、112、118、116、及至少一個裝載閘腔室120。工廠介面102藉由裝載閘腔室120耦接到傳輸腔室104。
在一個實施例中,工廠介面102包括至少一個對接站、至少一個基板傳輸機器人138、及至少一個基板對準器140。對接站被配置為接受一或更多個前開式晶圓傳送盒128(FOUP)。圖1的實施例中示出了兩個FOUP 128A、128B。基板傳輸機器人138被配置為從工廠介面102向裝載閘腔室120傳輸基板。
裝載閘腔室120具有耦接到工廠介面102的第一端口及耦接到第一傳輸腔室104的第二端口。裝載閘腔室120被耦接到壓力控制系統,該壓力控制系統依需要將腔室120抽空及排氣,以利於在傳輸腔室104的真空環境與工廠介面102的實質周圍(例如大氣)的環境之間傳遞基板。
第一傳輸腔室104及第二傳輸腔室103分別具有設置在其中的第一機器人107及第二機器人105。兩個基板傳輸平台106A、106B被設置在傳輸腔室104中以促進在機器人105、107之間傳輸基板。平台106A、106B可以對傳輸腔室103、104開放或與傳輸腔室103、104選擇性地隔離(即密封),以允許在傳輸腔室103、104中的每一者中維持不同的操作壓力。
設置在第一傳輸腔室104中的機器人107能夠在裝載閘腔室120、處理腔室116、118、與基板傳輸平台106A、106B之間傳輸基板。設置在第二傳輸腔室103中的機器人105能夠在基板傳輸平台106A、106B與處理腔室112、114、110、108之間傳輸基板。
客戶端元件170可以基於基板的列表、列表的每個基板的對應處理序列、及列表中的每個基板的對應序列中的每個製程的對應處理腔室(例如處理腔室112、114、110、108),來產生排程(例如時間表)。
圖2-4是依據某些實施例的使用一或更多個GPU藉由一或更多個GPU處理平行輸入(例如用於基板路由及/或預測通量)的方法200、300、及400的流程圖。可以藉由處理邏輯來執行方法200、300、及400,該處理邏輯可以包括硬體(例如電路系統、專用邏輯、可程式化邏輯、微代碼等等)、軟體(例如運行於處理元件、一或更多個GPU、通用電腦系統、或專用機器上的指令)、韌體、微代碼、或上述項目的組合。在一個實施例中,可以部分地藉由客戶端元件170執行方法200、300、及400。在一些實施例中,非暫時性儲存媒體儲存指令,該等指令在由客戶端元件170(例如客戶端元件170的處理元件或一或更多個GPU中的至少一者)執行時,使得客戶端元件170(例如處理元件或一或更多個GPU中的該至少一者)執行方法200、300、及400。
為了容易解釋起見,方法200、300、及400被描繪及描述為一系列的動作。然而,依據此揭示案的動作可以用各種順序及/或與本文中未呈現及描述的其他動作並行地發生。並且,可以不執行所有繪示的動作就能實施依據所揭露的標的的方法200、300、及400。此外,本領域中的技術人員將瞭解及理解,可以替代性地經由狀態圖或事件來將方法200、300、及400表示為一系列相互關聯的狀態。
圖2是依據某些實施例的用於使得基於平行輸出來處理半導體基板的方法200的流程圖。
參照圖2,在方塊202處,客戶端元件170針對一批半導體基板產生(例如藉由客戶端元件170的處理元件產生)處理模型。
為了產生處理模型,客戶端元件107可以針對一批基板中的每個基板界定序列。在一個實施例中,客戶端元件170從使用者針對每個基板接收序列。例如,使用者可以將處理序列界定為:進入、沉積、退火、蝕刻、退火、沉積、退出。數學上,可以將第一批基板界定為
Figure 02_image001
,其中
Figure 02_image003
的範圍是從1到n。在一些實施例中,每個基板
Figure 02_image005
可以經歷相同的動作序列。可以將序列中的動作數學地表示為
Figure 02_image007
,其中
Figure 02_image003
的範圍是從1到n。因此,每個基板
Figure 02_image005
可以經歷由客戶端元件170所界定的序列中的每個動作
Figure 02_image009
為了產生處理模型,客戶端元件170可以針對處理序列中的每個動作將處理腔室分配給每個基板。例如,參照圖1,可以從腔室108、110、112、114、116、及118選擇合適的腔室以針對該批基板中的每個基板促進製程序列。在一個具體的實例中,腔室116、118可以是化學氣相沉積(CVD)腔室;腔室108、114可以是解耦電漿氮化(DPN)腔室;腔室110、112可以是快速熱製程(RTP)腔室。可以將一或更多個冷卻腔室定位在基板傳輸平台106A、106B上方。因此,在決定群集工具100中的佈置之後,客戶端元件170就可以針對處理序列中的每個製程動作及動作之間的過渡分配腔室、裝載閘腔室、及機器人。
客戶端元件170可以基於處理腔室的分配來產生處理模型。一般而言,每個基板
Figure 02_image011
在時間
Figure 02_image013
起動。每個序列動作
Figure 02_image009
的製程持續時間被界定為
Figure 02_image015
,其中s是序列的動作編號。例如,
Figure 02_image017
是序列動作
Figure 02_image019
的製程時間。一般而言,基板可以在處理腔室中的製程完成之後在該處理腔室中等待。等待時間被界定為
Figure 02_image021
,其中x是基板編號,且s是序列動作編號。例如,
Figure 02_image023
被解讀為基板
Figure 02_image025
在序列動作
Figure 02_image027
處的等待時間。鑑於前述定義,基板
Figure 02_image029
在等於
Figure 02_image031
的時間處起動動作
Figure 02_image027
。一般而言,基板
Figure 02_image029
將在一定時間處起動任何動作
Figure 02_image009
,該時間等於:
Figure 02_image033
方塊202可以更包括以下步驟:針對群集工具100中的每個處理腔室,客戶端元件170界定序列限制條件。序列限制條件有助於達到減少或最終最小化花費在處理該批基板中的所有基板的時間的目標。此會意味著,控制器160會儘可能快地將基板發送到群集工具100中,及從群集工具100取回基板。為此,客戶端元件170利用線性最佳化的原理來產生製程模型。
線性最佳化是在數學模型(例如矩陣)中實現「最佳」結果(例如最短的製程時間)的一方法,該數學模型的需求由線性關係表示。數學上,可以將此表示為:
最小化:
Figure 02_image035
條件如下:
Figure 02_image037
Figure 02_image039
Figure 02_image041
Figure 02_image043
其中
Figure 02_image045
是變數,
Figure 02_image047
Figure 02_image049
,且
Figure 02_image051
將此原理應用於上式,客戶端元件170最小化:
Figure 02_image053
其中
Figure 02_image055
Figure 02_image057
是可以分別應用於起動時間變數
Figure 02_image059
及等待時間
Figure 02_image061
的權重。例如,權重可以涉及半導體製造製程的額外特徵。在一個實施例中,可以回應於要在基板在處理腔室中完成處理之後運行的清潔製程而調整權重。在另一個實施例中,可以回應於在整個群集工具100內的「虛設」基板移動調整權重。在另一個實施例中,可以回應於機器人是否是單葉片機器人或雙葉片機器人而調整權重。在另一個實施例中,可以回應於處理腔室是批量處理腔室(即處理腔室能夠一次處理二或更多個基板)而調整權重。在又另一個實施例中,可以回應於需要基板重新進入某個處理腔室的基板處理序列而調整權重。
一般而言,可以將限制條件界定為給定的基板不能進入給定的處理腔室,直到先前的基板已經完成處理為止。數學上,假設存在在序列動作
Figure 02_image063
處使用相同處理腔室的兩個基板
Figure 02_image065
Figure 02_image011
Figure 02_image067
之前到達腔室。因此,
Figure 02_image067
的起動時間大於
Figure 02_image069
。使用該起動時間定義,可以將限制條件表示為:
Figure 02_image071
可以對限制條件求解以最佳化基板路由(例如對與基板路由相關的一或更多個問題求解)。例如,可以針對序列動作中所使用的每個處理腔室及每一個連續的基板對(即針對每個(x,y),其中
Figure 02_image065
在序列動作
Figure 02_image063
處連續使用相同的處理腔室),以
Figure 02_image073
為條件而最小化
Figure 02_image075
。在另一個實例中,可以對限制條件求解以最小化機器人的移動。在另一個實例中,可以對限制條件求解以最小化腔室的閒置時間。在另一個實例中,可以回應於腔室出錯及系統不能夠繼續處理所有基板而對限制條件求解,以決定可以送回到FOUP的最小基板數量,使得可以在不停止生產的情況下處理其餘的基板。在一些實施例中,可以對限制條件求解以預測基板的通量。
客戶端元件170可以同時基於所有序列限制條件針對該批中的所有基板產生處理模型。在一些實施例中,客戶端元件170可以回應於每個基板被分配相同的處理序列而立刻產生處理模型。
在方塊204處,客戶端元件170基於處理模型產生(例如藉由客戶端元件170的該一或更多個GPU 180的第一GPU 180A產生)平行輸入。例如,處理模型可以是矩陣,且產生平行輸入的步驟可以包括以下步驟:基於該矩陣來產生矩陣。
矩陣中的一或更多個值可以與變數對應。例如,一或更多個值可以與基板數量的變數對應。一些變數可能對於對應的值有需求。例如,基板數量的變數可能具有對應的值要是整數的需求。客戶端元件170可以針對不滿足對應變數的需求的所有值產生額外的矩陣。例如,客戶端元件170可以在矩陣中識別與要是整數的變數(例如基板數量)所對應的非整數值。客戶端元件170可以藉由用大於非整數值的第一整數(例如4)替換非整數值(例如3.5),來產生該複數個矩陣的第一矩陣。客戶端元件170可以藉由用小於非整數值的第二整數(例如3)替換非整數值(例如3.5),來產生該複數個矩陣的第二矩陣。客戶端元件170可以經由客戶端元件170的GPU 180產生平行輸入。第一矩陣及第二矩陣可以是矩陣的超集合,其中超集合包括用整數(例如在第一矩陣中是4,且在第二矩陣中是3)替換非整數值的附加限制。
在方塊206處,客戶端元件170藉由一或更多個GPU 180的核心並行處理平行輸入,以針對該批半導體基板產生平行輸出。可以在該複數個GPU 180的該複數個核心中的相異核心上處理平行輸入中的每一者(例如該複數個矩陣中的對應矩陣)以產生對應的平行輸出。核心中的每一者可以對對應的矩陣求解,以針對矩陣中的每一者產生對應的值集合以用於處理該批半導體基板。客戶端元件170可以選定(例如經由GPU 180選定)與最小處理持續時間對應的值集合以供處理該批半導體基板。
在一些實施例中,客戶端元件170可以基於平行輸出來預測(例如藉由客戶端元件170的處理元件預測)通量(積體基板處理系統的通量、群集工具100的通量)。回應於預測通量(及使得預測的通量被顯示),客戶端元件170可以接收基於預測的通量對於處理模型的更新(例如經由使用者輸入接收),且流程可以返回方塊202。回應於未接收對於處理模型的進一步更新,流程可以繼續進行到方塊208。
在方塊208處,客戶端元件170使得(例如藉由客戶端元件170的處理元件使得)基於平行輸出(例如與最小處理持續時間對應的選定值集合)來處理半導體基板。在一些實施例中,客戶端元件170基於平行輸出針對基板產生時間表(例如包括每個基板的起動時間
Figure 02_image013
及每個處理腔室處的基板處理順序的時間表)。客戶端元件170可以可選地向控制器160傳送時間表。在客戶端元件170及控制器160是同一個的彼等實施例中,客戶端元件170不需要傳送時間表。
在方塊208處,客戶端元件170可以使得依據製程序列來處理該批半導體基板以供在積體群集工具(例如圖1中的群集工具100)中在基板上沉積電層。方塊208可以包括方塊210-220中的一或更多者。在方塊210處,客戶端元件170可以使得將基板安置在群集工具100中。
在方塊212處,客戶端元件170可以使得將介電層沉積於基板上。介電層可以是金屬氧化物,且可以藉由ALD製程、MOCVD製程、常規的CVD製程、或PVD製程來沉積。
在方塊214處,客戶端元件可以使得(例如在沉積製程之後)將基板暴露於後沉積退火(PDA)製程。可以在快速退火腔室(例如可從加州聖克拉拉市中的應用材料有限公司購得的Radiance® RTP腔室)中執行PDA製程。
在方塊216處,客戶端元件170可以使得將介電層暴露於惰性電漿製程以緻密化介電材料以形成電漿處理的層。惰性電漿製程可以包括藉由將惰性氣體流動到解耦電漿氮化(DPN)腔室中來執行的解耦惰性氣體電漿製程。
在方塊218處,客戶端元件170可以使得將設置在基板上的電漿處理的層暴露於熱退火製程。
在方塊220處,客戶端元件170可以使得將閘極電極層沉積在退火的介電層上。閘極電極層可以是例如使用LPCVD腔室來沉積的多晶矽、非晶矽、或其他合適的材料。
回到圖1,群集工具100可以與控制器160通訊。控制器160可以是協助控制群集工具100中的每個基板處理腔室108、110、112、114、116、及118的製程參數的控制器。此外,控制器160可以協助要在群集工具100中處理的基板的定序及排程。在方塊208處,客戶端元件170可以使得控制器160控制群集工具100的處理參數以使得基於平行輸出處理半導體基板。
圖3是依據某些實施例的用於基於時間表來預測半導體基板的通量的方法300的流程圖。方法300可以使得在圖1的群集工具100中處理半導體基板。在其他的實例中,方法300可以使得在其他的群集工具上處理半導體基板。在一些實施例中,並不是所有的基板
Figure 02_image005
都經歷相同的動作序列。
參照圖3,在方塊302處,客戶端元件170基於一批半導體基板產生(例如藉由客戶端元件170的處理元件產生)待處理的半導體基板的列表。例如,可以選擇兩個基板(例如
Figure 02_image065
)以進入群集工具100的處理腔室。
在方塊304處,客戶端元件170將對應的處理序列分配(例如藉由客戶端元件170的處理元件分配)給與半導體基板列表對應的每個半導體基板。可以界定被選擇進入群集工具100的每個基板的序列。在一個實施例中,客戶端元件170從使用者針對每個基板接收序列。例如,使用者可以將處理序列界定為:進入、沉積、退火、蝕刻、退火、沉積、退出。可以在數學上將序列中的動作表示為
Figure 02_image007
,其中
Figure 02_image003
的範圍是從1到n。因此,
Figure 02_image011
包括了動作集合
Figure 02_image007
,且
Figure 02_image067
包括動作集合
Figure 02_image077
,使得
Figure 02_image079
的元素不等於
Figure 02_image077
的元素。
在方塊306處,客戶端元件170針對半導體基板列表中的每個半導體基板將對應的處理腔室分配(例如藉由客戶端元件170的處理元件分配)給對應處理序列中的每個製程。例如,參照圖1,可以從腔室108、110、112、114、116、及118選擇合適的腔室,以促進上文在方塊402處界定的製程序列。在一個具體的實例中,腔室116、118可以是化學氣相沉積(CVD)腔室;腔室108、114可以是解耦電漿氮化(DPN)腔室;腔室110、112可以是快速熱製程(RTP)腔室。可以將一或更多個冷卻腔室定位在基板傳輸平台106A、106B上方。因此,針對
Figure 02_image011
,客戶端元件170處理腔室分配給集合
Figure 02_image007
中的每個動作,且針對
Figure 02_image067
,客戶端元件170將處理腔室分配給集合
Figure 02_image077
中的每個動作。因此,在決定群集工具100中的佈置之後,客戶端元件170就可以針對
Figure 02_image065
針對處理序列中的每個製程動作及動作之間的過渡分配腔室、裝載閘腔室、及機器人。
在方塊308處,客戶端元件170基於半導體基板列表(例如被選定進入群集工具100的所有基板)、每個半導體基板的對應處理序列、及每個半導體基板的每個製程的對應處理腔室(例如處理腔室分配),產生(例如藉由客戶端元件170的處理元件產生)處理模型。例如,客戶端元件170針對基板
Figure 02_image065
基於處理腔室分配產生模型。在一些實施例中,方塊308可以包括以下步驟:針對群集工具100中的每個處理腔室,客戶端元件170界定序列限制條件。序列限制條件可以有助於減少或最終最小化花費在處理該批基板中的所有基板的時間的目標。直觀而言,此會意味著,控制器160會儘可能快地將基板發送到群集工具100中,及從群集工具100取回基板。為此,客戶端元件170利用線性最佳化的原理來產生製程模型。
例如,客戶端元件170可以針對群集工具100中基板
Figure 02_image065
在該等基板的處理序列期間將行進到的每個處理腔室產生序列限制條件。客戶端元件170可以依據上文所論述的方法產生序列限制條件。
在一些實施例中,該批基板中的每個基板的序列可以不相同。因此,客戶端元件170可以藉由從兩個基板(即
Figure 02_image065
)開始且添加額外的基板(例如
Figure 02_image081
)直到該批中的所有基板都被添加為止,來產生時間表以進行分段處理。
在方塊310處,客戶端元件170(藉由客戶端元件170的處理元件)決定在該批基板中是否有剩餘任何基板待分析。若在該批基板中有剩餘基板待分析,則流程繼續進行到方塊312。然而,若在方塊310處,客戶端元件170決定在該批基板中沒有剩餘基板,則流程繼續進行到方塊314。
在方塊312處,客戶端元件170將基板(例如
Figure 02_image081
)添加(例如藉由客戶端元件170的處理元件添加)到待處理的基板列表,即客戶端元件170將
Figure 02_image081
添加到待處理的基板
Figure 02_image065
。方法300接著返回方塊304,以供用基板
Figure 02_image083
進行分析。
在方塊314處,客戶端元件170基於處理模型產生(例如藉由客戶端元件170的GPU 180產生)平行輸入。方塊314可以與圖2的方塊204類似。
在方塊316處,客戶端元件170藉由一或更多個GPU 180的核心並行處理平行輸入,以針對該批半導體基板產生平行輸出。方塊316可以與圖2的方塊206類似。
在方塊318處,客戶端元件170基於在方塊316處產生的平行輸出針對該批基板產生(例如藉由客戶端元件170的處理元件產生)時間表(例如排程)。例如,時間表包括每個基板的起動時間
Figure 02_image013
及每個處理腔室處的基板處理順序。
在一些實施例中,在方塊320處,客戶端元件170基於時間表來預測(例如藉由客戶端元件170的處理元件預測)通量(例如執行通量建模)。例如,客戶端元件170可以基板時間表預測工具(例如圖1的群集工具100)在一定的時間量中(例如一小時中)可以處理的基板數量。在方塊320處,客戶端元件170(例如及GPU)可以不連接到群集工具(例如群集工具100),但是可以用作數學模型求解器以用於預測通量。在一些實施例中,客戶端元件170產生預測的通量且向另一個元件傳送預測的通量。在一些實施例中,客戶端元件170產生預測的通量,且經由客戶端元件170的圖形使用者介面(GUI)向使用者顯示預測的通量。
在方塊322處,客戶端元件170(例如藉由客戶端元件170的處理元件)決定是否存在任何更新。可以經由客戶端元件170的GUI經由使用者輸入接收更新。更新可以是對處理模型的至少一個限制條件中的一或更多者的修改(或新接收到的限制條件)、基板列表、至少一個處理序列、或至少一個分配的處理腔室。例如,回應於顯示或傳送(造成顯示)預測的通量,客戶端元件170可以接收一或更多個更新(例如對限制條件、列表、處理序列、處理腔室、處理模型等等的更新),以決定更新對預測的通量的影響(例如用以改變預測的通量)。若有更新,則流程繼續進行到方塊324。然而,若在方塊322處,客戶端元件170決定沒有更新,則流程結束。
在方塊324處,客戶端元件170(例如藉由客戶端元件170的處理元件)更新處理模型以產生更新的處理模型(基於更新來產生),且流程繼續進行到方塊314。從方塊314到方塊324的流程可以繼續進行直到實現所需預測的通量為止。
通過預測通量,客戶端元件170可以在早期評估任何新的配備架構,以決定通量為何及可以選擇許多替代方案中的最佳替代方案以進行投資及進一步研發。對於現有的工具而言,客戶端元件170可以執行建模以預測通量以量化任何改變對通量的影響。改變可以是基板處理動作的改變、工具拓撲的改變、或製程限制條件中的任一者的改變。預測通量的客戶端元件170可以向客戶提供準確的通量估算。可以使用預測通量的客戶端元件170來模擬真實的工具在錯誤或不可預見的事件發生時將如何回應。可以在數分鐘內就可取得此類模擬的結果,因此其節省了測試及研發方面的項目。
在一些實施例中,回應於預測通量(例如及更新處理模型),客戶端元件使得(例如藉由客戶端元件170的處理元件使得)基於時間表處理該批半導體基板。例如,客戶端元件170可以向控制器160傳送(例如藉由客戶端元件170的處理元件傳送)時間表,且使得控制器160基於時間表開始基板處理(例如控制群集工具100開始基板處理)。在客戶端元件170及控制器是同一個的彼等實施例中,客戶端元件170可以不傳送時間表。
圖4是依據某些實施例的用於藉由一或更多個GPU來處理平行輸入的方法400的流程圖。
參照圖4,在方塊402處,客戶端元件170針對一批半導體基板產生(例如藉由客戶端元件170的處理元件產生)處理模型。方塊402可以與圖2的方塊202或圖3的方塊302-312中的一或更多者類似。
在方塊404處,客戶端元件170藉由包括第一核心的第一GPU 180A接收處理模型。客戶端元件170可以包括一組GPU 180(例如二或更多個GPU)。在一些實施例中,第一GPU 180A是該組GPU 180的主GPU(例如主節點)。
在方塊406處,客戶端元件170藉由第一GPU 180A基於處理模型產生平行輸入。方塊406可以與圖2的方塊204類似。
在方塊408處,客戶端元件170藉由第一GPU 180A決定第一平行輸入量是否大於第一GPU 180A的第二第一核心量。若第一平行輸入量不大於第二第一核心量(例如500的第一平行輸入量不大於786的第二第一核心量),則流程繼續進行到方塊410。然而,若在方塊408處,客戶端元件170(例如第一GPU 180A)決定,第一平行輸入量大於第二第一核心量(例如1,000的第一平行輸入量大於786的第二第一核心量),則流程繼續進行到方塊412。
在方塊410處,客戶端元件170藉由第一GPU 180A的第一核心並行處理平行輸入以針對該批半導體基板產生平行輸出。方塊410可以與圖2的方塊206類似。
在方塊412處,客戶端元件170藉由第一GPU 180A將平行輸入的第一子集分配給第一GPU 180A的第一核心及將平行輸入的第二子集分配給第二GPU 180B的第二核心。在一些實施例中,第一GPU 180A將實質上一半的平行輸入分配給第一GPU 180A的第一核心及將實質上一半的平行輸入分配給第二GPU 180B的第二核心。在一些實施例中,第一GPU 180A將平行輸入分配給三或更多個GPU 180。
在方塊414處,客戶端元件170藉由第一GPU 180A的第一核心並行處理第一子集且藉由第二GPU 180B的第二核心並行處理第二子集,以針對該批半導體基板產生平行輸出。方塊414可以與圖2的方塊206類似。
在方塊414處,客戶端元件170基於平行輸出產生時間表。方塊414可以與圖3的方塊318類似。
在方塊416處,客戶端元件170可選地基於時間表預測通量。方塊416可以與圖3的方塊320類似。回應於接收到更新(例如對處理模型、限制條件、處理序列、處理腔室等等的更新),可以更新處理模型,且流程可以繼續進行到方塊402。
在方塊418處,客戶端元件170使得基於時間表處理該批半導體基板。方塊418可以與圖3的方塊322或圖2的方塊208類似。
在方塊420處,客戶端元件170決定(例如藉由客戶端元件170的處理元件)錯誤是否已經發生(例如發生在積體基板處理系統中)。若客戶端元件170決定錯誤已經發生,則流程繼續進行到方塊402,在方塊402處,客戶端元件基於該錯誤產生更新的處理模型。然而,若在方塊420處,客戶端元件170決定錯誤未發生(例如且基板處理已經結束),則方法400可以結束。
圖5繪示依據某些實施例的計算平台500。計算平台500包括控制器510(例如控制器160)及客戶端元件550(例如客戶端元件170)。控制器510包括處理元件512、記憶體514、儲存器516、及網路介面518。在一些實施例中,控制器510可以更包括耦接到該控制器的一或更多個輸入/輸出(I/O)元件520。處理元件512擷取及執行儲存在記憶體514中的程式化指令(例如程式碼522)。處理元件512被包括來代表單個處理元件、多個處理元件、具有多個處理核心的單個處理元件、處理器、中央處理單元(CPU)等等。
儲存器516可以是磁碟機儲存器。儘管示為單個單元,但儲存器516也可以是固定式或可移除式儲存元件的組合,例如固定式磁碟機、可移除式記憶卡,光學儲存器、網路附接儲存器(NAS)、或儲存器區域網路(SAN)。網路介面518可以是允許控制器510經由網路530(例如網路190)與其他電腦通訊(舉例而言,例如與客戶端元件550通訊)的任何類型的網路通訊。
客戶端元件550包括處理元件552、記憶體554、儲存器556、及網路介面558。在一些實施例中,客戶端元件550可以更包括耦接到該控制器的一或更多個I/O元件560。處理元件552被包括來代表單個處理元件、多個處理元件、具有多個處理核心的單個處理元件、處理器、CPU等等。客戶端元件550可以更包括一或更多個GPU 580(例如GPU 180)。
處理元件552可以包括處理模型產生器562、時間表產生器564、及預測通量產生器565。可以將處理模型產生器562配置為針對處理序列中的每個動作將處理腔室分配給每個基板,且隨後基於處理腔室分配來產生處理模型572。例如,可以將處理模型產生器562配置為實現上文與圖2-4結合論述的一或更多個方塊的製程。可以將產生的處理模型儲存在儲存器556中。例如,處理模型572可以是在儲存器556中。時間表產生器564被配置為基於平行輸出574產生處理時間表。例如,可以將時間表產生器564配置為實現上文依據圖3的方塊318或圖4的方塊416所論述的製程。可以將產生的時間表儲存在儲存器556中。例如,時間表576可以是在儲存器556中。預測通量產生器565被配置為基於時間表來預測通量。例如,可以將預測通量產生器565配置為實現上文依據圖3的方塊320或圖4的方塊418所論述的製程。
記憶體554包括程式碼566。處理元件522或該一或更多個GPU 580中的一或更多者可以擷取及執行儲存在記憶體554中的程式化指令(例如程式碼566)。可以將程式碼566配置為實現使得一批基板被處理(例如基於處理排程、基於時間表、基於平行輸出等等來處理)的指令。例如,程式碼566可以包括上文與圖2-4結合論述的一或更多個方塊。
該一或更多個GPU 580可以包括核心586、588(例如GPU 580A包括核心586A-N且GPU 580N包括核心588A-N)。GPU 580中的一或更多者可以包括平行輸入產生器582、平行輸出產生器584、或平行輸出選定器592中的一或更多者。平行輸出產生器584可以包括核心586或588。
在一些實施例中,GPU 580A接收處理模型572及輸出平行輸出574(例如GPU 580A包括平行輸入產生器582A及平行輸出選定器592A)。在一些實施例中,該一或更多個GPU 580接收平行輸入及輸出平行輸出(例如處理元件552包括平行輸入產生器582A及平行輸出選定器592A)。
在一個實例中,處理模型產生器562可以針對一批半導體基板產生處理模型572(例如圖2的方塊202、圖3的方塊302-312、圖4的方塊402等等)。平行輸入產生器582A可以接收處理模型572,且可以基於處理模型572來產生平行輸入(例如圖2的方塊204、圖3的方塊314、圖4的方塊404-406等等)。
回應於平行輸入產生器582A決定第一平行輸入量不超過GPU 580A的第二第一核心586量,平行輸入產生器582A向平行輸出產生器584A傳送平行輸入(例如平行輸入產生器582A向平行輸出產生器584A的相異核心586分佈平行輸入中的每一者)。
回應於平行輸入產生器582A決定第一平行輸入量超過GPU 580A的第二第一核心586量,平行輸入產生器582A向二或更多個平行輸出產生器584傳送平行輸入(例如平行輸入產生器582A向二或更多個平行輸出產生器584的相異核心586、588分佈平行輸入)。
回應於平行輸入產生器582A決定第一平行輸入量超過客戶端元件550的GPU 580的第三總核心量,平行輸入產生器582A可以向GPU的核心分佈第一組平行輸入以並行處理。對於核心中的每一者而言,一旦核心可用(例如已經完成處理對應的平行輸入),平行輸入產生器582A就可以向該可用的核心分佈另一個平行輸入。平行輸入產生器582A可以繼續向可用的核心分佈平行輸入,直到已經處理了所有平行輸入為止。
平行輸出選定器592(例如GPU 580A的平行輸出選定器592A)可以比較平行輸出以選定提供最小處理持續時間的平行輸出574(例如與所有平行輸出相比)。在一些實施例中,平行輸出選定器592定位在GPU 580A中。在一些實施例中,平行輸出選定器592定位在GPU 580中的每一者中。在一些實施例中,平行輸出選定器592定位在處理元件552中。
時間表產生器564可以接收平行輸出574(例如由平行輸出選定器592所選定的平行輸出),且可以基於平行輸出574來產生時間表576。網路介面558可以接收時間表567及經由網路530向控制器510的網路介面518傳送時間表576,以使得在基板處理系統中基於時間表576處理該批半導體基板。
儘管上文涉及本文中所述的實施例,但也可以在不脫離該等實施例的基本範圍的情況下設計其他及另外的實施例。例如,可以用硬體或軟體或用硬體與軟體的組合實施本揭示案的態樣。可以將本文中所述的一個實施例實施為程式產品以供與電腦系統一起使用。程式產品的程式界定實施例(包括本文中所述的方法)的功能,且可以被容納在各種電腦可讀取儲存媒體上。說明性的電腦可讀取儲存媒體包括(但不限於):(i)非可寫入式儲存媒體(例如電腦內的唯讀記憶元件,例如可由CD-ROM驅動機讀取的CD-ROM光碟、快閃記憶體、ROM晶片、或任何類型的固態非依電性半導體記憶體),可以將資訊永久儲存在其上;及(ii)可寫入式儲存媒體(例如磁碟機內的軟碟、或硬碟機、或任何類型的固態隨機存取半導體記憶體),可變更的資訊儲存在其上。此類電腦可讀取儲存媒體在承載引導所揭露的實施例的功能的電腦可讀取指令時是本揭示案的實施例。
本領域中的技術人員將理解,前述實例是示例性的且不是限制。意欲將本領域中的技術人員在閱讀本說明書及研究附圖之後就理解的所有排列、增強、等效物、及其改善包括在本揭示案的真實精神及範圍內。因此,以下的隨附請求項意欲包括落在該等教示的真實精神及範圍之內的所有此類變體、排列、及等效物。
儘管以上內容是針對本揭示案的實施例,但也可以在不脫離本揭示案的基本範圍的情況下設計本揭示案的其他的及另外的實施例,且本揭示案的範圍是由隨後的請求項所決定的。
100‧‧‧群集工具 101‧‧‧平台 102‧‧‧工廠介面 103‧‧‧傳輸腔室 104‧‧‧傳輸腔室 105‧‧‧機器人 107‧‧‧機器人 108‧‧‧腔室 110‧‧‧腔室 112‧‧‧腔室 114‧‧‧腔室 116‧‧‧腔室 118‧‧‧腔室 120‧‧‧裝載閘腔室 138‧‧‧基板傳輸機器人 140‧‧‧基板對準器 150‧‧‧計算環境 160‧‧‧控制器 170‧‧‧客戶端元件 190‧‧‧網路 200‧‧‧方法 202‧‧‧方塊 204‧‧‧方塊 206‧‧‧方塊 208‧‧‧方塊 210‧‧‧方塊 212‧‧‧方塊 214‧‧‧方塊 216‧‧‧方塊 218‧‧‧方塊 220‧‧‧方塊 300‧‧‧方法 302‧‧‧方塊 304‧‧‧方塊 306‧‧‧方塊 308‧‧‧方塊 310‧‧‧方塊 312‧‧‧方塊 314‧‧‧方塊 316‧‧‧方塊 318‧‧‧方塊 320‧‧‧方塊 322‧‧‧方塊 324‧‧‧方塊 400‧‧‧方法 402‧‧‧方塊 404‧‧‧方塊 406‧‧‧方塊 408‧‧‧方塊 410‧‧‧方塊 412‧‧‧方塊 414‧‧‧方塊 416‧‧‧方塊 418‧‧‧方塊 420‧‧‧方塊 422‧‧‧方塊 500‧‧‧計算平台 510‧‧‧控制器 512‧‧‧處理元件 514‧‧‧記憶體 516‧‧‧儲存器 518‧‧‧網路介面 520‧‧‧輸入/輸出(I/O)元件 522‧‧‧程式碼 530‧‧‧網路 550‧‧‧客戶端元件 552‧‧‧處理元件 554‧‧‧記憶體 556‧‧‧儲存器 558‧‧‧網路介面 560‧‧‧I/O元件 562‧‧‧處理模型產生器 564‧‧‧時間表產生器 565‧‧‧預測通量產生器 566‧‧‧程式碼 572‧‧‧處理模型 574‧‧‧平行輸出 576‧‧‧時間表 106A‧‧‧基板傳輸平台 106B‧‧‧基板傳輸平台 128A‧‧‧前開式晶圓傳送盒 128B‧‧‧前開式晶圓傳送盒 180A‧‧‧GPU 180N‧‧‧GPU 580A‧‧‧GPU 580N‧‧‧GPU 582A‧‧‧平行輸入產生器 582N‧‧‧平行輸入產生器 584A‧‧‧平行輸出產生器 584N‧‧‧平行輸出產生器 586A‧‧‧核心 586N‧‧‧核心 588A‧‧‧核心 588N‧‧‧核心 592A‧‧‧平行輸出選定器 592N‧‧‧平行輸出選定器
藉由實例的方式而非藉由限制的方式,將本揭示案繪示在附圖的圖式中。
圖1繪示依據某些實施例的計算環境。
圖2是依據某些實施例的用於使得基於平行輸出來處理半導體基板的方法的流程圖。
圖3是依據某些實施例的用於基於時間表來預測半導體基板的通量的方法的流程圖。
圖4是依據某些實施例的用於藉由一或更多個GPU來處理平行輸入的方法的流程圖。
圖5繪示依據某些實施例的計算平台。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
200‧‧‧方法
202‧‧‧方塊
204‧‧‧方塊
206‧‧‧方塊
208‧‧‧方塊
210‧‧‧方塊
212‧‧‧方塊
214‧‧‧方塊
216‧‧‧方塊
218‧‧‧方塊
220‧‧‧方塊

Claims (20)

  1. 一種在一積體基板處理系統中排程一半導體基板處理序列的方法,該方法包括以下步驟: 針對一批半導體基板產生一處理模型,其中該處理模型針對該積體基板處理系統中的每個處理腔室中的每個半導體基板界定一對應起動時間; 基於該處理模型產生平行輸入; 藉由一或更多個圖形處理單元(GPU)的複數個核心,並行處理該等平行輸入以針對該批半導體基板產生平行輸出,其中在該一或更多個GPU的該複數個核心中的一相異核心上處理該等平行輸入中的每一者以產生一對應平行輸出;及 使得在該積體基板處理系統中基於該等平行輸出處理該批半導體基板。
  2. 如請求項1所述的方法,更包括以下步驟:藉由該一或更多個GPU中的一第一GPU,接收包括一矩陣的該處理模型,其中產生該等平行輸入的該步驟包括以下步驟:藉由該第一GPU,基於該矩陣來產生複數個矩陣,其中該等平行輸出與用於處理該批半導體基板的一組值對應。
  3. 如請求項2所述的方法,其中產生該等平行輸出的步驟包括以下步驟: 對該複數個矩陣中的每一者求解以針對該複數個矩陣中的每一者產生複數組值中的對應的一組值,其中該複數組值中的每一者與用於處理該批半導體基板的一相應處理持續時間對應;及 選定該複數組值中與用於該批半導體基板的該處理的一最小處理持續時間對應的該組值。
  4. 如請求項1所述的方法,更包括以下步驟:基於該等平行輸出預測該積體基板處理系統的通量。
  5. 如請求項1所述的方法,更包括以下步驟: 偵測該積體基板處理系統中的一錯誤; 基於該錯誤產生一更新的處理模型; 基於該更新的處理模型產生更新的平行輸入; 藉由該一或更多個GPU,處理該等更新的平行輸入以針對該批半導體基板產生更新的平行輸出;及 使得在該基板處理系統中基於該等更新的平行輸出處理該批半導體基板。
  6. 如請求項5所述的方法,其中; 使得基於該等平行輸出處理該批半導體基板的該步驟包括以下步驟:基於該等平行輸出對該積體基板處理系統中的該批半導體基板路由;及 使得基於該等更新的平行輸出處理該批半導體基板的該步驟包括以下步驟:基於該等更新的平行輸出對該積體基板處理系統中的一或更多個半導體基板重新路由。
  7. 如請求項1所述的方法,其中該一或更多個GPU包括一第一GPU及一第二GPU,該方法更包括以下步驟: 藉由該第一GPU,接收該處理模型,其中產生該等平行輸入的該步驟是藉由該第一GPU來進行的; 藉由該第一GPU,決定該平行輸入的一第一量超過該第一GPU的第一複數個核心的一第二量;及 將該等平行輸入的第一子集分配給該第一GPU及將該等平行輸入的一第二子集分配給該第二GPU,其中該第一GPU要處理該第一子集且該第二GPU要處理該第二子集。
  8. 如請求項1所述的方法,更包括以下步驟: 基於該批半導體基板,產生一待處理半導體基板列表; 將一對應的處理序列分配給與該半導體基板列表對應的每個半導體基板;及 針對該半導體基板列表中的每個半導體基板,將一對應的處理腔室分配給該對應處理序列中的每個製程,其中該處理模型是基於該半導體基板列表、該半導體基板列表的每個半導體基板的該對應處理序列、及該半導體基板列表的每個半導體基板的該對應序列中的每個製程的該對應處理腔室來產生的。
  9. 如請求項1所述的方法,更包括以下步驟:基於該等平行輸出針對該批半導體基板產生一時間表,其中使得基於該等平行輸出處理該批半導體基板的該步驟包括以下步驟:使得依據該時間表處理該批半導體基板。
  10. 一種系統,包括: 一記憶體;及 一處理元件,被耦接到該記憶體,且用來針對一批半導體基板產生一處理模型,其中該處理模型針對一積體基板處理系統中的每個處理腔室中的每個半導體基板界定一對應起動時間;及 一圖形處理單元(GPU),被耦接到該記憶體及該處理元件,且用來基於該處理模型產生平行輸入及藉由該GPU的複數個核心並行處理該等平行輸入以針對該批半導體基板產生平行輸出,其中在該GPU的該複數個核心中的一相異核心上處理該等平行輸入中的每一者以產生一對應的平行輸出,其中該處理元件用來使得在該積體基板處理系統中基於該等平行輸出處理該批半導體基板。
  11. 如請求項10所述的系統,其中該GPU更用來接收包括一矩陣的該處理模型,其中為了產生該等平行輸入,該GPU用來基於該矩陣來產生複數個矩陣,其中該等平行輸出與用於處理該批半導體基板的一組值對應。
  12. 如請求項11所述的系統,其中為了產生該等平行輸出,該GPU用來: 對該複數個矩陣中的每一者求解以針對該複數個矩陣中的每一者產生複數組值中的對應的一組值,其中該複數組值中的每一者與用於處理該批半導體基板的一相應處理持續時間對應;及 選定該複數組值中與用於該批半導體基板的該處理的一最小處理持續時間對應的該組值。
  13. 如請求項11所述的系統,其中該處理元件更用來基於該等平行輸出預測該積體基板處理系統的通量。
  14. 如請求項10所述的系統,其中該處理元件用來偵測該積體基板處理系統中的一錯誤及基於該錯誤產生一更新的處理模型,其中該GPU用來基於該更新的處理模型產生更新的平行輸入及處理該等更新的平行輸入以針對該批半導體基板產生更新的平行輸出,其中該處理元件用來使得在該基板處理系統中基於該等更新的平行輸出處理該批半導體基板。
  15. 如請求項14所述的系統,其中為了使得基於該等平行輸出處理該批半導體基板,該處理元件用來基於該等平行輸出對該積體基板處理系統中的該批半導體基板路由,且其中為了使得基於該等更新的平行輸出處理該批半導體基板,該處理元件用來基於該等更新的平行輸出對該積體基板處理系統中的一或更多個半導體基板重新路由。
  16. 如請求項10所述之系統,其中該處理元件更用以: 基於該批半導體基板,產生一待處理半導體基板列表; 將一對應的處理序列分配給與該半導體基板列表對應的每個半導體基板;及 針對該半導體基板列表中的每個半導體基板,將一對應的處理腔室分配給該對應處理序列中的每個製程,其中該處理模型是基於該半導體基板列表、該半導體基板列表的每個半導體基板的該對應處理序列、及該半導體基板列表的每個半導體基板的該對應序列中的每個製程的該對應處理腔室來產生的。
  17. 一種非暫時性電腦可讀取媒體,該非暫時性電腦可讀取媒體在其上儲存有指令,該等指令在由一圖形處理單元(GPU)執行時使得該GPU: 針對一批半導體基板接收一處理模型,其中該處理模型針對一積體基板處理系統中的每個處理腔室中的每個半導體基板界定一對應起動時間; 基於該處理模型產生平行輸入;及 藉由該GPU的複數個核心,並行處理該等平行輸入以針對該批半導體基板產生平行輸出,其中在該GPU的該複數個核心中的一相異核心上處理該等平行輸入中的每一者以產生一對應的平行輸出,其中要在該積體基板處理系統中基於該等平行輸出處理該批半導體基板。
  18. 如請求項17所述的非暫時性電腦可讀取媒體,其中該處理模型包括一矩陣,其中為了產生該等平行輸入,該GPU用來基於該矩陣來產生複數個矩陣,其中該等平行輸出與用於處理該批半導體基板的一組值對應。
  19. 如請求項18所述的非暫時性電腦可讀取媒體,其中為了產生該等平行輸出,該GPU用來: 對該複數個矩陣中的每一者求解以針對該複數個矩陣中的每一者產生複數組值中的對應的一組值,其中該複數組值中的每一者與用於處理該批半導體基板的一相應處理持續時間對應;及 選定該複數組值中與用於該批半導體基板的該處理的一最小處理持續時間對應的該組值。
  20. 如請求項18所述的非暫時性電腦可讀取媒體,其中要基於該等平行輸出預測該積體基板處理系統的通量。
TW108121693A 2018-06-22 2019-06-21 在積體基板處理系統中排程半導體基板處理序列的方法及系統和非暫時性電腦可讀取媒體 TWI758613B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/015,302 US10698392B2 (en) 2018-06-22 2018-06-22 Using graphics processing unit for substrate routing and throughput modeling
US16/015,302 2018-06-22

Publications (2)

Publication Number Publication Date
TW202001634A true TW202001634A (zh) 2020-01-01
TWI758613B TWI758613B (zh) 2022-03-21

Family

ID=68980629

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108121693A TWI758613B (zh) 2018-06-22 2019-06-21 在積體基板處理系統中排程半導體基板處理序列的方法及系統和非暫時性電腦可讀取媒體
TW111104931A TWI780002B (zh) 2018-06-22 2019-06-21 用於處理基板的方法及系統和非暫時性電腦可讀取媒體

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111104931A TWI780002B (zh) 2018-06-22 2019-06-21 用於處理基板的方法及系統和非暫時性電腦可讀取媒體

Country Status (6)

Country Link
US (2) US10698392B2 (zh)
JP (2) JP6923766B1 (zh)
KR (2) KR102482316B1 (zh)
CN (2) CN114895638B (zh)
TW (2) TWI758613B (zh)
WO (1) WO2019246588A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020205339A1 (en) * 2019-03-29 2020-10-08 Lam Research Corporation Model-based scheduling for substrate processing systems
US11437254B2 (en) 2020-06-24 2022-09-06 Applied Materials, Inc. Sequencer time leaping execution
US11385628B2 (en) 2020-06-24 2022-07-12 Applied Materials, Inc. Scheduling substrate routing and processing

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442561A (en) * 1992-05-12 1995-08-15 Nippon Telegraph And Telephone Corporation Production management system and its application method
JP3315844B2 (ja) * 1994-12-09 2002-08-19 株式会社東芝 スケジューリング装置及びスケジューリング方法
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6889178B1 (en) * 1997-10-01 2005-05-03 Sony Corporation Integrated wafer fabrication production characterization and scheduling system
JPH11235648A (ja) * 1998-02-17 1999-08-31 Toshiba Corp 製造計画管理装置、製造計画管理方法、及び、製造計画管理プログラムを記録したコンピュータ読み取り可能な記録媒体
KR100303445B1 (ko) * 1998-11-04 2002-11-01 삼성전자 주식회사 작업대상물의선택처리시스템및그제어방법
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US7184850B1 (en) * 2002-09-06 2007-02-27 National Semiconductor Corporation System and method for allocating multi-function resources for a wetdeck process in semiconductor wafer fabrication
JP4694843B2 (ja) * 2002-09-30 2011-06-08 東京エレクトロン株式会社 半導体製作プロセスの監視とコンロトールのための装置
US7379785B2 (en) * 2002-11-28 2008-05-27 Tokyo Electron Limited Substrate processing system, coating/developing apparatus, and substrate processing apparatus
JP4233908B2 (ja) * 2003-04-02 2009-03-04 東京エレクトロン株式会社 基板処理システム
US7107112B2 (en) * 2004-05-17 2006-09-12 Brown Peter G Method and system for simulating and modeling a batch manufacturing facility
KR20070085719A (ko) * 2004-12-15 2007-08-27 마쯔시다덴기산교 가부시키가이샤 동작 시간 단축 방법, 동작 시간 단축 장치, 프로그램 및부품 실장기
US7728841B1 (en) * 2005-12-19 2010-06-01 Nvidia Corporation Coherent shader output for multiple targets
DE102006004413A1 (de) * 2006-01-31 2007-08-09 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Disponieren eines Produktstromes in einer Fertigungsumgebung durch Anwendung eines Simulationsprozesses
JP4845553B2 (ja) * 2006-03-27 2011-12-28 大日本スクリーン製造株式会社 基板処理装置のスケジュール実行方法及びそのプログラム
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US7974723B2 (en) * 2008-03-06 2011-07-05 Applied Materials, Inc. Yield prediction feedback for controlling an equipment engineering system
US8396582B2 (en) * 2008-03-08 2013-03-12 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
US9069345B2 (en) * 2009-01-23 2015-06-30 Mks Instruments, Inc. Controlling a manufacturing process with a multivariate model
US8978045B2 (en) * 2009-03-06 2015-03-10 Applied Materials, Inc. Per-station start time constraint
KR101644569B1 (ko) * 2009-10-01 2016-08-01 삼성전자 주식회사 가상 프로세서 관리 장치 및 방법
US8655472B2 (en) * 2010-01-12 2014-02-18 Ebara Corporation Scheduler, substrate processing apparatus, and method of transferring substrates in substrate processing apparatus
WO2013077191A1 (ja) * 2011-11-25 2013-05-30 東京エレクトロン株式会社 処理装置群コントローラ、生産処理システム、処理装置群制御方法、生産効率化システム、生産効率化装置および生産効率化方法
JP5738796B2 (ja) * 2012-04-11 2015-06-24 株式会社日立ハイテクノロジーズ 処理室割当設定装置及び処理室割当設定プログラム
JP5779537B2 (ja) * 2012-04-20 2015-09-16 株式会社日立製作所 生産シミュレーション装置、生産シミュレーション方法及び、生産シミュレーションプログラム
KR20160108555A (ko) * 2014-01-21 2016-09-19 어플라이드 머티어리얼스, 인코포레이티드 임의의 기판 상의 막 두께의 측정
JP6298318B2 (ja) * 2014-02-25 2018-03-20 株式会社Screenホールディングス 基板処理方法および基板処理装置
US9535815B2 (en) * 2014-06-04 2017-01-03 Nvidia Corporation System, method, and computer program product for collecting execution statistics for graphics processing unit workloads
US9286675B1 (en) * 2014-10-23 2016-03-15 Applied Materials Israel Ltd. Iterative defect filtering process
US9928034B2 (en) * 2014-12-17 2018-03-27 Nvidia Corporation Work-efficient, load-balanced, merge-based parallelized consumption of sequences of sequences
US10083395B2 (en) * 2015-05-21 2018-09-25 Google Llc Batch processing in a neural network processor
CN107636817B (zh) * 2015-05-22 2021-08-27 应用材料公司 方位可调整的多区域静电夹具
US10230817B2 (en) * 2015-12-21 2019-03-12 Intel Corporation Scheduling highly parallel applications
JP6476370B2 (ja) * 2016-09-26 2019-03-06 株式会社Kokusai Electric 記録媒体、プログラム、半導体装置の製造方法および基板処理装置。
JP2018097661A (ja) * 2016-12-14 2018-06-21 オムロン株式会社 生産システム、制御装置、および制御方法
US20180307533A1 (en) * 2017-04-21 2018-10-25 Intel Corporation Faciltating multi-level microcontroller scheduling for efficient computing microarchitecture
US10359769B2 (en) 2017-09-15 2019-07-23 Applied Materials, Inc. Substrate routing and throughput modeling

Also Published As

Publication number Publication date
TW202223566A (zh) 2022-06-16
CN114895638B (zh) 2023-04-07
CN114895638A (zh) 2022-08-12
CN112292748B (zh) 2022-05-24
JP2022000891A (ja) 2022-01-04
TWI780002B (zh) 2022-10-01
KR20210021564A (ko) 2021-02-26
US20190391569A1 (en) 2019-12-26
KR20210112421A (ko) 2021-09-14
KR102302724B1 (ko) 2021-09-14
KR102482316B1 (ko) 2022-12-27
JP6923766B1 (ja) 2021-08-25
US11275360B2 (en) 2022-03-15
CN112292748A (zh) 2021-01-29
US20200326691A1 (en) 2020-10-15
US10698392B2 (en) 2020-06-30
TWI758613B (zh) 2022-03-21
JP2021522695A (ja) 2021-08-30
JP7136977B2 (ja) 2022-09-13
WO2019246588A1 (en) 2019-12-26

Similar Documents

Publication Publication Date Title
JP7136977B2 (ja) 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用
TWI691822B (zh) 用於基板佈線與產量模擬的方法、系統及非暫態電腦可讀取媒體
US8019467B2 (en) Scheduling method for processing equipment
US7522968B2 (en) Scheduling method for processing equipment
US20080051930A1 (en) Scheduling method for processing equipment
US8849446B2 (en) Vacuum processing apparatus and program
US7257459B1 (en) Method and apparatus for scheduling pilot lots
JP2013098412A (ja) 真空処理装置および被処理体の搬送方法
CN115794506B (zh) 一种晶片调度方法和一种电子设备
US20220365516A1 (en) Scheduling substrate routing and processing
US11437254B2 (en) Sequencer time leaping execution
US7392105B2 (en) Method and system for improved performance of manufacturing processes
TW202307746A (zh) 排程建立方法、排程建立裝置、基板處理裝置、基板處理系統、記錄媒體、及排程建立程式
KR20090000005A (ko) 제조 장비의 작업 처리량 예상 시스템 및 방법