CN112292748A - 将图形处理单元用于基板路由及吞吐量建模 - Google Patents

将图形处理单元用于基板路由及吞吐量建模 Download PDF

Info

Publication number
CN112292748A
CN112292748A CN201980042228.8A CN201980042228A CN112292748A CN 112292748 A CN112292748 A CN 112292748A CN 201980042228 A CN201980042228 A CN 201980042228A CN 112292748 A CN112292748 A CN 112292748A
Authority
CN
China
Prior art keywords
parallel
semiconductor substrates
processing
batch
gpu
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980042228.8A
Other languages
English (en)
Other versions
CN112292748B (zh
Inventor
什亚姆·桑德·伊曼尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202210496558.8A priority Critical patent/CN114895638B/zh
Publication of CN112292748A publication Critical patent/CN112292748A/zh
Application granted granted Critical
Publication of CN112292748B publication Critical patent/CN112292748B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T1/00General purpose image data processing
    • G06T1/20Processor architectures; Processor configuration, e.g. pipelining
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/34Director, elements to supervisory
    • G05B2219/34417Multiprocessor scheduling
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/35Nc in input of data, input till input file format
    • G05B2219/35499Model of process, machine and parameters
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2200/00Indexing scheme for image data processing or generation, in general
    • G06T2200/28Indexing scheme for image data processing or generation, in general involving image processing hardware
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Theoretical Computer Science (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • General Factory Administration (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文公开了用于在集成基板处理系统中安排基板处理序列的方法、系统、及非暂时性计算机可读取介质。处理装置针对一批半导体基板产生处理模型。该处理模型针对该集成基板处理系统中的每个处理腔室中的每个半导体基板定义对应的开始时间。一个或多个图形处理单元(GPU)基于该处理模型产生平行输入,且通过该一个或多个GPU的多个核心并行处理该平行输入以针对该批半导体基板产生平行输出。在该一个或多个GPU的该多个核心中的相异核心上处理该平行输入中的每一者,以产生对应的平行输出。该处理装置使在该集成基板处理系统中基于该平行输出处理该批半导体基板。

Description

将图形处理单元用于基板路由及吞吐量建模
技术领域
本公开内容关于在集成处理系统中传输基板,且更特定而言,是关于使用图形处理单元(GPU)来改善集成处理系统中的基板路由及吞吐量建模。
背景技术
在半导体处理中,使用具有许多处理动作的特定处理配方在半导体基板上制造多层特征。一般将群集工具用于处理半导体基板,该群集工具整合许多处理腔室以在不从处理环境(例如受控的环境)移除基板的情况下执行处理序列。一般将处理序列定义为在群集工具中的一个或多个处理腔室中完成的元件制造动作或处理配方动作的序列。处理序列一般可以包含各种基板处理动作(例如用于电子元件制造)。
群集工具可以包括排序器,该排序器负责将基板移动到不同的位置及基于使用者输入在基板上运行处理。排序器被配置为改善基板移动,使得可以实现较大的吞吐量。在群集工具中传输基板的同时,排序器也确保满足由处理工程师或使用所指定的所有约束条件。习用方法是试探法,即每个产品被编写为具有处置拓扑的定制软件代码及群集工具可能有兴趣的最常见的统计资料。为新的产品编写此种代码是耗时的,且也花费很长时间才能稳定。
发明内容
下文为本公开内容的简化概要,以提供对本公开内容的一些方面的基本了解。此概要并非本公开内容的广泛综述。其并不旨在标识本公开内容的关键或重要的元件,也不旨在叙述本公开内容的特定实施方式的任何范围或权利要求任何范围。其唯一的目的是用简化的形式呈现本公开内容的一些概念以作为之后呈现的更详细描述的前序内容。
在本公开内容的一个方面中,方法可以包括以下步骤:针对一批半导体基板产生处理模型。该处理模型可以针对该整合基板处理系统中的每个处理腔室中的每个半导体基板定义对应的开始时间。该方法可以更包括以下步骤:基于该处理模型产生平行输入。该方法可以更包括以下步骤:由一个或多个GPU的多个核心,并行处理这些平行输入以针对该批半导体基板产生平行输出。这些平行输入中的每一者在该一个或多个GPU的该多个核心中的相异核心上处理以产生对应的平行输出。该方法可以更包括以下步骤:使得在该集成基板处理系统中基于这些平行输出处理该批半导体基板。
附图说明
以示例的方式而非以限制的方式将本公开内容绘示在附图的图式中。
图1绘示依据某些实施方式的计算环境。
图2是依据某些实施方式的用于使得基于平行输出来处理半导体基板的方法的流程图。
图3是依据某些实施方式的用于基于时间表来预测半导体基板的吞吐量的方法的流程图。
图4是依据某些实施方式的用于由一个或多个GPU来处理平行输入的方法的流程图。
图5绘示依据某些实施方式的计算平台。
具体实施方式
本文中所述的是涉及基板路由及预测吞吐量(例如群集工具中的基板的吞吐量)的技术。经常接收到用于基板路由的新的基板处理序列(例如用于每种基板顺序的不同基板处理序列)。可以更新基板处理序列。基板处理序列要对故障有回应性(例如响应于群集工具的一部分中的故障而对基板进行重新路由)。基板处理序列的复杂性可以随着处理腔室的数量增加而增加。基板处理可以具有严格的时序控制,因此要考虑可能在基板处理期间发生的每个事件。事件可以包括以下项目中的一者或多者:机器人安排、预防性维护任务、虚拟晶片移动、清洁、复合序列、或相同的基板多次进入相同的腔室。基板处理可以具有排队时间(例如基板在处理完成之后在处理腔室中等待的时间量)的限制。若下个处理腔室不可用或若机器人正在移动其他材料,则排队时间可能增加。
可以编写用于基板的基板路由和/或预测吞吐量的软件代码。编写用于基板路由和/或预测吞吐量的软件代码可以是耗时的、可能花费很长时间才稳定、且可能未考虑可能发生的事件及故障(例如可能是不准确的)。
如本文中所公开的元件、系统、及方法提供了将一个或多个GPU用于基板路由及吞吐量建模的用法。可以使用处理模型来对(例如具有复杂的排序需求的)基板路由及吞吐量进行建模。处理模型可以考虑事件、故障、排队时间限制等等中的一者或多者。可以基于处理模型来产生平行输入,且一个或多个GPU的核心可以并行处理平行输入以产生平行输出。平行输出可以指示值(例如不同处理腔室处的不同处理的开始时间等等)以最小化处理持续时间。可以基于平行输出来处理基板和预测基板吞吐量。响应于检测到错误,可以基于该错误来产生更新的处理模型,可以产生更新的平行输入,且可以由一个或多个GPU的核心来处理更新的平行输入,以产生更新的平行输出以使得对基板进行重新路由或重新预测吞吐量。
将一个或多个GPU用于基板路由及吞吐量建模提供了技术优点。该等技术优点包括:通过产生处理模型并在短的时间量内对处理模型求解来寻找新的处理序列(例如新的基板顺序、处理序列的更新等等)的解决方案。这些技术优点也包括:通过检测错误、基于该错误更新处理模型、及在短时间内对更新的处理模型求解而对故障进行回应。这些技术优点也包括:产生基板处理的时间表,及响应于故障而更新时间表,其中时间表满足排队时间限制。
本公开内容的方面带来以下技术优点:显著减少能量消耗、带宽、处理持续时间等等。本公开内容的方面减少了基板处理期间的等待时间,者减少了整体的能量消耗。与向网络上的其他元件传送处理模型、基于处理模型的平行输入、或求解的处理模型中的一者或更多者的客户端元件相比,产生处理模型、使用客户端元件的一个或多个GPU对处理模型求解、基于得解的处理模型产生时间表、及传送时间表的客户端元件使用较少的频宽。
图1绘示依据某些实施方式的计算环境150。计算环境150包括控制器160及客户端元件170。客户端元件170可以包括一个或多个GPU 180。每个GPU 180可以包括可以并行处理平行输入以产生平行输出的多个核心(例如数百个核心)。控制器160在网络190上与客户端元件170通信。计算环境150可以包括群集工具100。群集工具100可用于基板处理。本文中所述的方法可与配置为执行处理序列的其他工具一起使用。在一个示例中,图1的群集工具100可以是可从加州圣克拉拉市中的应用材料有限公司购得的
Figure BDA0002853118090000041
群集工具。
客户端元件170可以(例如,经由使用者输入)接收序列配方,该序列配方描述基板在不同阶段要进入的可能的处理腔室及要在每个处理腔室中运行的对应处理。客户端元件170可以产生处理模型、基于处理模型来产生平行输入、并由该一个或多个GPU 180的核心并行处理平行输入以产生平行输出。客户端元件170可以基于平行输出针对要在群集工具100中处理的一批基板产生处理安排(例如产生基板移动的安排,使得可以在较短的持续时间中处理基板)。例如,客户端元件170产生群集工具100的数学模型,随后优化模型以针对在群集工具100内传输基板的改善方法提供解决方案并满足群集工具100的定义的限制条件。
在一些实施方式中,客户端元件可以基于时间表(例如在不耦接到客户端工具100的情况下)预测群集工具100的吞吐量。在一些实施方式中,客户端元件170可以向控制器160传输基板处理安排(例如时间表)及指令。控制器160可以使得基于基板处理安排及指令由群集工具100处理该批半导体基板。响应于对序列配方的更新、群集工具100中的故障等等,客户端元件170可以产生更新的处理安排及指令。
群集工具100包括真空气密处理平台101及工厂接口102。平台101包括耦接到真空基板传输腔室103、104的多个处理腔室110、108、114、112、118、116、及至少一个装载锁定腔室120。工厂接口102通过装载锁定腔室120耦接到传输腔室104。
在一个实施方式中,工厂接口102包括至少一个对接站、至少一个基板传输机器人138、及至少一个基板对准器140。对接站被配置为接受一个或多个前开式晶片传送盒128(FOUP)。图1的实施方式中示出了两个FOUP 128A、128B。基板传输机器人138被配置为从工厂接口102向装载锁定腔室120传输基板。
装载锁定腔室120具有耦接到工厂接口102的第一端口及耦接到第一传输腔室104的第二端口。装载锁定腔室120被耦接到压力控制系统,该压力控制系统依需要将腔室120抽空及排气,以利于在传输腔室104的真空环境与工厂接口102的实质周围(例如大气)的环境之间传递基板。
第一传输腔室104及第二传输腔室103分别具有设置在其中的第一机器人107及第二机器人105。两个基板传输平台106A、106B被设置在传输腔室104中以促进在机器人105、107之间传输基板。平台106A、106B可以对传输腔室103、104开放或与传输腔室103、104选择性地隔离(即密封),以允许在传输腔室103、104中的每一者中维持不同的操作压力。
设置在第一传输腔室104中的机器人107能够在装载锁定腔室120、处理腔室116、118、与基板传输平台106A、106B之间传输基板。设置在第二传输腔室103中的机器人105能够在基板传输平台106A、106B与处理腔室112、114、110、108之间传输基板。
客户端元件170可以基于基板的列表、列表的每个基板的对应处理序列、及用于列表中的每个基板的对应序列中的每个处理的对应处理腔室(例如处理腔室112、114、110、108),来产生安排(例如时间表)。
图2-4是依据某些实施方式的使用一个或多个GPU来通过一个或多个GPU处理平行输入(例如用于基板路由及/或预测吞吐量)的方法200、300、及400的流程图。方法200、300、及400可以通过可以包括硬件(例如电路系统、专用逻辑、可编程逻辑、微代码等等)、软件(例如运行于处理装置、一个或多个GPU、通用计算机系统、或专用机器上的指令)、固件、微代码、或上述项目的组合的处理逻辑来执行。在一个实施方式中,可以部分地由客户端元件170执行方法200、300、及400。在一些实施方式中,非暂时性储存介质储存指令,这些指令在由客户端元件170(例如客户端元件170的处理装置或一个或多个GPU中的至少一者)执行时,使得客户端元件170(例如处理装置或一个或多个GPU中的至少一者)执行方法200、300、及400。
为了容易解释起见,方法200、300、及400被描绘及描述为一系列的动作。然而,依据此公开内容的动作可以用各种顺序及/或与本文中未呈现及描述的其他动作并行地发生。并且,可以不执行所有绘示的动作就能实施依据所公开的标的的方法200、300、及400。此外,本领域中的技术人员将了解及理解,可以替代性地经由状态图或事件来将方法200、300、及400表示为一系列相互关联的状态。
图2是依据某些实施方式的用于使得基于平行输出来处理半导体基板的方法200的流程图。
参照图2,在方块202处,客户端元件170针对一批半导体基板(例如由客户端元件170的处理装置)产生处理模型。
为了产生处理模型,客户端元件107可以针对一批基板中的每个基板定义序列。在一个实施方式中,客户端元件170从使用者接受用于每个基板的序列。例如,使用者可以将处理序列定义为:进入、沉积、退火、蚀刻、退火、沉积、退出。数学上,可以将第一批基板定义为{Wi},其中i的范围是从1到n。在一些实施方式中,每个基板Wi可以经历相同的动作序列。可以将序列中的动作数学地表示为{si},其中i的范围是从1到n。因此,每个基板Wi可以经历由客户端元件170所定义的序列中的每个动作si
为了产生处理模型,客户端元件170可以针对处理序列中的每个动作将处理腔室分配给每个基板。例如,参照图1,可以从腔室108、110、112、114、116、及118选择合适的腔室以针对该批基板中的每个基板促进处理序列。在一个具体的实例中,腔室116、118可以是化学气相沉积(CVD)腔室;腔室108、114可以是解耦等离子体氮化(DPN)腔室;腔室110、112可以是快速热处理(RTP)腔室。可以将一个或多个冷却腔室定位在基板传输平台106A、106B上方。因此,在确定群集工具100中的布置之后,客户端元件170就可以针对处理序列中的每个处理动作及动作之间的过渡来分配腔室、装载锁定腔室、及机器人。
客户端元件170可以基于处理腔室的分配来产生处理模型。一般而言,每个基板Wx在时间Tx开始。每个序列动作si的处理持续时间被定义为Ds,其中s是序列的动作编号。例如,D3是序列动作s3的处理时间。一般而言,基板可以在处理腔室中的处理完成之后在该处理腔室中等待。等待时间被定义为Qxs,其中x是基板编号,且s是序列动作编号。例如,Q21被解释为基板W2在序列动作s1处的等待时间。基于前述定义,基板W1在等于Tx+D1+Q11的时间处开始动作s1。一般而言,基板W1将在一定时间处开始任何动作si,该时间等于:
Figure BDA0002853118090000061
方块202可以更包括以下步骤:针对群集工具100中的每个处理腔室,客户端元件170定义序列约束条件。序列约束条件有助于达到减少或最终最小化处理该批基板中的所有基板所花费的时间的目标。此会意味着,控制器160会尽可能快地将基板发送到群集工具100中,并从群集工具100取回基板。为此,客户端元件170利用线性优化的原理来产生处理模型。
线性优化是在数学模型(例如矩阵)中实现“最优”解(例如最短的处理时间)的方法,该数学模型的需求由线性关系表示。数学上,可以将此表示为:
最小化:
Figure BDA0002853118090000071
条件如下:
A11X1+A12X2+A13X3+...≤B1
A21X1+A22X2+A23X3+...≤B2
Am1X1+Am2X2+Am3X3+...≤Bm
其中Xi是变量,
Figure BDA0002853118090000072
Figure BDA0002853118090000073
将此原理应用于上式,客户端元件170最小化:
Figure BDA0002853118090000074
其中Ai、Bj是可以分别应用于开始时间变量Ti及等待时间Qjk的权重。例如,权重可以涉及半导体制造处理的额外特征。在一个实施方式中,可以响应于要在基板在处理腔室中完成处理之后运行的清洁处理而调整权重。在另一个实施方式中,可以响应于在整个群集工具100内的“虚拟”基板移动来调整权重。在另一个实施方式中,可以响应于机器人是否是单叶片机器人或双叶片机器人而调整权重。在另一个实施方式中,可以响应于处理腔室是批量处理腔室(即处理腔室能够一次处理两个或更多个基板)而调整权重。在又另一个实施方式中,可以响应于需要基板重新进入某个处理腔室的基板处理序列而调整权重。
一般而言,可以将约束条件定义为在先前的基板已经完成处理之前给定的基板不能进入给定的处理腔室。数学上,假设在序列动作ss处存在使用相同处理腔室的两个基板Wx、Wy。Wx在Wy之前到达腔室。因此,Wy的开始时间大于Wx启动时间+动作持续时间ss+动作ss之后的Wx等待时间。使用开始时间的定义,可以将约束条件表示为:
Figure BDA0002853118090000081
可以对约束条件求解以优化基板路由(例如用于求解与基板路由相关的一个或多个问题)。例如,可以针对序列动作中所使用的每个处理腔室及每一个连续的成对基板(即,针对每个(x,y),其中Wx、Wy在序列动作ss处连续使用相同的处理腔室),以
Figure BDA0002853118090000082
Figure BDA0002853118090000083
为条件来最小化
Figure BDA0002853118090000084
在另一个实例中,可以对约束条件求解以最小化机器人的移动。在另一个示例中,可以对约束条件求解以最小化腔室的闲置时间。在另一个示例中,可以响应于腔室出错及系统不能够继续处理所有基板而对限制条件求解,以确定可以送回到FOUP的最小基板数量,使得可以在不停止生产的情况下处理其余的基板。在一些实施方式中,可以对约束条件求解以预测基板的吞吐量。
客户端元件170可以同时基于所有序列约束条件为该批中的所有基板产生处理模型。在一些实施方式中,客户端元件170可以响应于每个基板被分配相同的处理序列而立刻产生处理模型。
在方块204处,客户端元件170基于处理模型(例如由客户端元件170的一个或多个GPU 180的第一GPU 180A)产生平行输入。例如,处理模型可以是矩阵,且产生平行输入的步骤可以包括基于该矩阵来产生多个矩阵。
矩阵中的一个或多个值可以与变量对应。例如,一个或多个值可以与基板数量的变量对应。一些变量可能对于对应的值有需求。例如,基板数量的变量可能具有对应的值要是整数的需求。客户端元件170可以针对不满足对应变量的需求的所有值产生额外的矩阵。例如,客户端元件170可以在矩阵中识别与要是整数的变量(例如基板数量)所对应的非整数值。客户端元件170可以通过利用大于非整数值的第一整数(例如4)替换非整数值(例如3.5),来产生多个矩阵的第一矩阵。客户端元件170可以通过用小于非整数值的第二整数(例如3)替换非整数值(例如3.5),来产生多个矩阵的第二矩阵。客户端元件170可以经由客户端元件170的GPU 180产生平行输入。第一矩阵及第二矩阵可以是矩阵的超集合,其中超集合包括用整数(例如在第一矩阵中是4,且在第二矩阵中是3)替换非整数值的附加约束。
在方块206处,客户端元件170通过一个或多个GPU 180的核心并行处理平行输入,以针对该批半导体基板产生平行输出。可以在多个GPU 180的多个核心中的相异核心上处理平行输入中的每一者(例如多个矩阵中的对应矩阵)以产生对应的平行输出。核心中的每一者可以求解对应的矩阵,以针对矩阵中的每一者产生对应的值集合以用于处理该批半导体基板。客户端元件170可以选定(例如经由GPU 180选定)与最小处理持续时间对应的值集合以用于处理该批半导体基板。
在一些实施方式中,客户端元件170可以基于平行输出来(例如通过客户端元件170的处理装置)预测(集成基板处理系统的、群集工具100的)吞吐量。响应于预测吞吐量(及使得预测的吞吐量被显示),客户端元件170可以基于预测的吞吐量(例如经由使用者输入)接收对于处理模型的更新,且流程可以返回方块202。响应于未接收对于处理模型的进一步更新,流程可以继续进行到方块208。
在方块208处,客户端元件170(例如通过客户端元件170的处理装置)使得基于平行输出(例如与最小处理持续时间对应的选定值集合)来处理半导体基板。在一些实施方式中,客户端元件170基于平行输出针对基板产生时间表(例如,包括每个基板的开始时间Tx及每个处理腔室处的基板处理顺序的时间表)。客户端元件170可以可选地向控制器160传送时间表。在客户端元件170及控制器160是同一元件的那些实施方式中,客户端元件170不需要传送时间表。
在方块208处,客户端元件170可以使得依据处理序列来处理该批半导体基板以用于在集成群集工具(例如图1中的群集工具100)中在基板上沉积电层。方块208可以包括方块210-220中的一者或多者。在方块210处,客户端元件170可以使得将基板安置在群集工具100中。
在方块212处,客户端元件170可以使得将介电层沉积于基板上。介电层可以是金属氧化物,且可以通过ALD处理、MOCVD处理、常规的CVD处理、或PVD处理来沉积。
在方块214处,客户端元件可以使得(例如在沉积处理之后)将基板暴露于后沉积退火(PDA)处理。可以在快速退火腔室(例如可从加州圣克拉拉市中的应用材料有限公司购得的
Figure BDA0002853118090000101
RTP腔室)中执行PDA处理。
在方块216处,客户端元件170可以使得将介电层暴露于惰性等离子体处理以致密化介电材料,从而形成等离子体处理的层。惰性等离子体处理可以包括通过将惰性气体流动到解耦等离子体氮化(DPN)腔室中来执行的解耦惰性气体等离子体处理。
在方块218处,客户端元件170可以使得将设置在基板上的等离子体处理的层暴露于热退火处理。
在方块220处,客户端元件170可以使得将栅极电极层沉积在退火的介电层上。栅极电极层可以是例如使用LPCVD腔室来沉积的多晶硅、非晶硅、或其他合适的材料。
回到图1,群集工具100可以与控制器160通信。控制器160可以是协助控制群集工具100中的每个基板处理腔室108、110、112、114、116、及118的处理参数的控制器。此外,控制器160可以协助排序及安排要在群集工具100中处理的基板。在方块208处,客户端元件170可以使得控制器160控制群集工具100的处理参数以使得基于平行输出处理半导体基板。
图3是依据某些实施方式的用于基于时间表来预测半导体基板的吞吐量的方法300的流程图。方法300可以使得在图1的群集工具100中处理半导体基板。在其他的示例中,方法300可以使得在其他的群集工具上处理半导体基板。在一些实施方式中,并不是所有的基板Wi都经历相同的动作序列。
参照图3,在方块302处,客户端元件170基于一批半导体基板(例如通过客户端元件170的处理装置)产生待处理的半导体基板的列表。例如,可以选择两个基板(例如Wx、Wy)以进入群集工具100的处理腔室。
在方块304处,客户端元件170将对应的处理序列(例如通过客户端元件170的处理装置)分配给与半导体基板列表对应的每个半导体基板。可以定义被选择进入群集工具100的每个基板的序列。在一个实施方式中,客户端元件170从使用者接收用于每个基板的序列。例如,使用者可以将处理序列定义为:进入、沉积、退火、蚀刻、退火、沉积、退出。可以在数学上将序列中的动作表示为{si},其中i的范围是从1到n。因此,Wx包括了动作集合{si},且Wy包括动作集合{sj},使得{si}的元素不等于{sj}的元素。
在方块306处,客户端元件170针对半导体基板列表中的每个半导体基板将对应的处理腔室(例如通过客户端元件170的处理装置)分配给对应处理序列中的每个处理。例如,参照图1,可以从腔室108、110、112、114、116、及118选择合适的腔室,以促进上文在方块402处定义的处理序列。在一个具体的示例中,腔室116、118可以是化学气相沉积(CVD)腔室;腔室108、114可以是解耦等离子体氮化(DPN)腔室;腔室110、112可以是快速热处理(RTP)腔室。可以将一个或多个冷却腔室定位在基板传输平台106A、106B上方。因此,针对Wx,客户端元件170将处理腔室分配给集合{si}中的每个动作,且针对Wy,客户端元件170将处理腔室分配给集合{sj}中的每个动作。因此,在确定群集工具100中的布置之后,客户端元件170就可以为Wx、Wy针对处理序列中的每个处理动作及动作之间的过渡来分配腔室、装载锁定腔室、及机器人。
在方块308处,客户端元件170基于半导体基板列表(例如被选定进入群集工具100的所有基板)、用于每个半导体基板的对应处理序列、及用于每个半导体基板的每个处理的对应处理腔室(例如处理腔室分配)来(例如通过客户端元件170的处理装置)产生处理模型。例如,客户端元件170基于处理腔室分配来为基板Wx、Wy产生模型。在一些实施方式中,方块308可以包括以下步骤:针对群集工具100中的每个处理腔室,客户端元件170定义序列约束条件。序列约束条件可以有助于减少或最终最小化处理该批基板中的所有基板所花费的时间的目标。直观而言,此会意味着,控制器160会尽可能快地将基板发送到群集工具100中,并从群集工具100取回基板。为此,客户端元件170利用线性优化的原理来产生处理模型。
例如,客户端元件170可以针对群集工具100中的每个处理腔室产生序列约束条件,基板Wx、Wy在这些基板的处理序列期间将行进到这些处理腔室。客户端元件170可以依据上文所讨论的方法产生序列约束条件。
在一些实施方式中,该批基板中的每个基板的序列可以不相同。因此,客户端元件170可以通过从两个基板(即Wx、Wy)开始且添加额外的基板(例如Wz)直到该批中的所有基板都被添加为止,来产生时间表以进行分段处理。
在方块310处,客户端元件170(例如通过客户端元件170的处理装置)确定在该批基板中是否有剩余任何基板待分析。若在该批基板中有剩余基板待分析,则流程继续进行到方块312。然而,若在方块310处,客户端元件170确定在该批基板中没有剩余基板,则流程继续进行到方块314。
在方块312处,客户端元件170(例如通过客户端元件170的处理装置)将基板(例如Wz)添加到待处理的基板列表,即客户端元件170将Wz添加到待处理的基板Wx、Wy。方法300接着返回方块304,以用于利用基板Wx、Wy、Wz进行分析。
在方块314处,客户端元件170基于处理模型(例如通过客户端元件170的GPU 180)产生平行输入。方块314可以与图2的方块204类似。
在方块316处,客户端元件170通过一个或多个GPU 180的核心并行处理平行输入,以针对该批半导体基板产生平行输出。方块316可以与图2的方块206类似。
在方块318处,客户端元件170基于在方块316处产生的平行输出针对该批基板(例如通过客户端元件170的处理装置)产生时间表(例如安排)。例如,时间表包括每个基板的开始时间Tx及每个处理腔室处的基板处理顺序。
在一些实施方式中,在方块320处,客户端元件170基于时间表来(例如通过客户端元件170的处理装置)预测吞吐量(例如执行吞吐量建模)。例如,客户端元件170可以基板时间表预测工具(例如图1的群集工具100)在一定的时间量中(例如一小时中)可以处理的基板数量。在方块320处,客户端元件170(例如及GPU)可以不连接到群集工具(例如群集工具100),但是可以用作用于预测吞吐量的数学模型求解器。在一些实施方式中,客户端元件170产生预测的吞吐量且向另一个元件传送预测的吞吐量。在一些实施方式中,客户端元件170产生预测的吞吐量,且经由客户端元件170的图形使用者接口(GUI)向使用者显示预测的吞吐量。
在方块322处,客户端元件170(例如通过客户端元件170的处理装置)确定是否存在任何更新。可以经由客户端元件170的GUI而经由使用者输入接收更新。更新可以是对针对以下项的一者或多者的修改(或新接收到的以下项的一者或多者):处理模型的至少一个约束条件、基板列表、至少一个处理序列、或至少一个分配的处理腔室。例如,响应于显示或传送(造成显示)预测的吞吐量,客户端元件170可以接收一个或多个更新(例如对约束条件、列表、处理序列、处理腔室、处理模型等等的更新),以确定更新对预测的吞吐量的影响(例如用以改变预测的吞吐量)。若有更新,则流程继续进行到方块324。然而,若在方块322处,客户端元件170确定没有更新,则流程结束。
在方块324处,客户端元件170(例如通过客户端元件170的处理装置)更新处理模型以(基于更新)产生更新的处理模型,且流程继续进行到方块314。从方块314到方块324的流程可以继续进行直到实现所需预测的吞吐量为止。
通过预测吞吐量,客户端元件170可以在早期评估任何新的配备架构,以确定吞吐量如何并可以选择许多替代方案中的最佳替代方案以进行投资及进一步研发。对于现有的工具而言,客户端元件170可以执行建模以预测吞吐量以量化任何改变对吞吐量的影响。改变可以是基板处理动作的改变、工具拓扑的改变、或处理约束条件中的任一者的改变。预测吞吐量的客户端元件170可以向客户提供准确的吞吐量估算。可以使用预测吞吐量的客户端元件170来模拟真实的工具在错误或不可预见的事件发生时将如何响应。可以在数分钟内就可取得此类模拟的结果,因此其节省了测试及研发方面的项目。
在一些实施方式中,响应于预测吞吐量(例如及更新处理模型),客户端元件(例如通过客户端元件170的处理装置)使得基于时间表处理该批半导体基板。例如,客户端元件170可以向控制器160(例如通过客户端元件170的处理装置)传送时间表,且使得控制器160基于时间表开始基板处理(例如控制群集工具100开始基板处理)。在客户端元件170及控制器是同一个元件的那些实施方式中,客户端元件170可以不传送时间表。
图4是依据某些实施方式的用于通过一个或多个GPU来处理平行输入的方法400的流程图。
参照图4,在方块402处,客户端元件170针对一批半导体基板(例如通过客户端元件170的处理装置)产生处理模型。方块402可以与图2的方块202或图3的方块302-312中的一者或多者类似。
在方块404处,客户端元件170通过包括第一核心的第一GPU 180A接收处理模型。客户端元件170可以包括一组GPU 180(例如两个或更多个GPU)。在一些实施方式中,第一GPU 180A是该组GPU 180的主GPU(例如主节点)。
在方块406处,客户端元件170通过第一GPU 180A基于处理模型产生平行输入。方块406可以与图2的方块204类似。
在方块408处,客户端元件170通过第一GPU 180A确定平行输入的第一量是否大于第一GPU 180A的第一核心的第二量。若平行输入的第一量不大于第一核心的第二量(例如500个平行输入的第一量不大于786个第一核心的第二量),则流程继续进行到方块410。然而,若在方块408处,客户端元件170(例如第一GPU 180A)确定平行输入的第一量大于第一核心的第二量(例如1,000个平行输入的第一量大于786个第一核心的第二量),则流程继续进行到方块412。
在方块410处,客户端元件170通过第一GPU 180A的第一核心并行处理平行输入以针对该批半导体基板产生平行输出。方块410可以与图2的方块206类似。
在方块412处,客户端元件170通过第一GPU 180A将平行输入的第一子集分配给第一GPU 180A的第一核心并将平行输入的第二子集分配给第二GPU 180B的第二核心。在一些实施方式中,第一GPU 180A将实质上一半的平行输入分配给第一GPU 180A的第一核心并将实质上一半的平行输入分配给第二GPU 180B的第二核心。在一些实施方式中,第一GPU180A将平行输入分配给三个或更多个GPU 180。
在方块414处,客户端元件170通过第一GPU 180A的第一核心并行处理第一子集并通过第二GPU 180B的第二核心并行处理第二子集,以针对该批半导体基板产生平行输出。方块414可以与图2的方块206类似。
在方块414处,客户端元件170基于平行输出产生时间表。方块414可以与图3的方块318类似。
在方块416处,客户端元件170可选地基于时间表预测吞吐量。方块416可以与图3的方块320类似。响应于接收到更新(例如对处理模型、约束条件、处理序列、处理腔室等等的更新),可以更新处理模型,且流程可以继续进行到方块402。
在方块418处,客户端元件170使得基于时间表处理该批半导体基板。方块418可以与图3的方块322或图2的方块208类似。
在方块420处,客户端元件170(例如通过客户端元件170的处理装置)确定错误是否已经发生(例如发生在集成基板处理系统中)。若客户端元件170确定错误已经发生,则流程继续进行到方块402,在方块402处,客户端元件基于该错误产生更新的处理模型。然而,若在方块420处,客户端元件170确定错误未发生(例如且基板处理已经结束),则方法400可以结束。
图5绘示依据某些实施方式的计算平台500。计算平台500包括控制器510(例如控制器160)及客户端元件550(例如客户端元件170)。控制器510包括处理装置512、存储器514、储存装置516、及网络接口518。在一些实施方式中,控制器510可以更包括耦接到控制器的一个或多个输入/输出(I/O)元件520。处理装置512检索及执行储存在存储器514中的编程指令(例如程序代码522)。处理装置512被包括来代表单个处理装置、多个处理装置、具有多个处理核心的单个处理装置、处理器、中央处理单元(CPU)等等。
储存装置516可以是盘片驱动储存装置。尽管示为单个单元,但储存装置516也可以是固定式或可移除式储存元件的组合,例如固定式盘片驱动器、可移除式存储卡,光学储存装置、网络附接储存装置(NAS)、或储存区域网络(SAN)。网络接口518可以是允许控制器510经由网络530(例如网络190)与其他计算机通信(举例而言,例如与客户端元件550通信)的任何类型的网络通信。
客户端元件550包括处理装置552、存储器554、储存装置556、及网络接口558。在一些实施方式中,客户端元件550可以更包括耦接到该控制器的一个或多个I/O元件560。处理装置552被包括来代表单个处理装置、多个处理装置、具有多个处理核心的单个处理装置、处理器、CPU等等。客户端元件550可以更包括一个或多个GPU 580(例如GPU 180)。
处理装置552可以包括处理模型生成器562、时间表生成器564、及预测吞吐量生成器565。可以将处理模型生成器562配置为针对处理序列中的每个动作将处理腔室分配给每个基板,且随后基于处理腔室分配来产生处理模型572。例如,可以将处理模型生成器562配置为实现上文与图2-4结合讨论的一个或多个方块的处理。可以将产生的处理模型储存在储存装置556中。例如,处理模型572可以是在储存装置556中。时间表生成器564被配置为基于平行输出574产生处理时间表。例如,可以将时间表生成器564配置为实现上文依据图3的方块318或图4的方块416所讨论的处理。可以将产生的时间表储存在储存装置556中。例如,时间表576可以是在储存装置556中。预测吞吐量生成器565被配置为基于时间表来预测吞吐量。例如,可以将预测吞吐量生成器565配置为实现上文依据图3的方块320或图4的方块418所讨论的处理。
存储器554包括程序代码566。处理装置522或一个或多个GPU 580中的一者或多者可以检索及执行储存在存储器554中的编程指令(例如程序代码566)。可以将程序代码566配置为实现使得对一批基板(例如基于处理安排、基于时间表、基于平行输出等等)进行处理的指令。例如,程序代码566可以包括上文与图2-4结合论述的一个或多个方块。
一个或多个GPU 580可以包括核心586、588(例如GPU 580A包括核心586A-N且GPU580N包括核心588A-N)。GPU 580中的一者或多者可以包括平行输入生成器582、平行输出生成器584、或平行输出选定器592中的一者或多者。平行输出生成器584可以包括核心586或588。
在一些实施方式中,GPU 580A接收处理模型572并输出平行输出574(例如GPU580A包括平行输入生成器582A及平行输出选定器592A)。在一些实施方式中,一个或多个GPU 580接收平行输入并输出平行输出(例如处理装置552包括平行输入生成器582A及平行输出选定器592A)。
在一个示例中,处理模型生成器562可以针对一批半导体基板产生处理模型572(例如图2的方块202、图3的方块302-312、图4的方块402等等)。平行输入生成器582A可以接收处理模型572,且可以基于处理模型572来产生平行输入(例如图2的方块204、图3的方块314、图4的方块404-406等等)。
响应于平行输入产生器582A确定平行输入的第一量不超过GPU 580A的第一核心586的第二量,平行输入生成器582A向平行输出生成器584A传送平行输入(例如平行输入生成器582A向平行输出生成器584A的相异核心586分配平行输入中的每一者)。
响应于平行输入产生器582A确定平行输入的第一量超过GPU 580A的第一核心586的第二量,平行输入生成器582A向两个或更多个平行输出生成器584传送平行输入(例如平行输入生成器582A向两个或更多个平行输出生成器584的相异核心586、588分布平行输入)。
响应于平行输入生成器582A确定平行输入的第一量超过客户端元件550的GPU580的总核心的第三量,平行输入生成器582A可以向GPU的核心分配第一组平行输入以进行并行处理。对于核心中的每一者而言,一旦核心可用(例如已经完成处理对应的平行输入),平行输入生成器582A就可以向该可用的核心分配另一个平行输入。平行输入生成器582A可以继续向可用的核心分配平行输入,直到已经处理了所有平行输入为止。
平行输出选定器592(例如GPU 580A的平行输出选定器592A)可以比较平行输出以选定(例如与所有平行输出相比)提供最小处理持续时间的平行输出574。在一些实施方式中,平行输出选定器592位于GPU 580A中。在一些实施方式中,平行输出选定器592位于GPU580中的每一者中。在一些实施方式中,平行输出选定器592位于处理装置552中。
时间表生成器564可以接收平行输出574(例如由平行输出选定器592所选定的平行输出),且可以基于平行输出574来产生时间表576。网络接口558可以接收时间表567并经由网络530向控制器510的网络接口518传送时间表576,以使得在基板处理系统中基于时间表576处理该批半导体基板。
尽管上文涉及本文中所述的实施方式,但也可以在不脱离这些实施方式的基本范围的情况下设计其他及另外的实施方式。例如,可以用硬件或软件或用硬件与软件的组合实施本公开内容的方面。可以将本文中所述的一个实施方式实施为程序产品以供与计算机系统一起使用。程序产品的程序定义实施方式(包括本文中所述的方法)的功能,且可以被容纳在各种计算机可读取储存介质上。说明性的计算机可读取储存介质包括(但不限于):(i)可以将信息永久储存在其上的非可写入式储存介质(例如计算机内的只读存储器件,例如可由CD-ROM驱动机读取的CD-ROM光碟、闪存、ROM芯片、或任何类型的固态非依电性半导体存储器),;及(ii)可变更的信息储存在其上的可写入式储存介质(例如软盘驱动器中的软盘、或硬盘驱动器、或任何类型的固态随机存取半导体存储器),。此类计算机可读取储存介质在携带管理所公开的实施方式的功能的计算机可读取指令时是本公开内容的实施方式。
本领域中的技术人员将理解,前述示例是示例性的且不是约束。旨在将本领域中的技术人员在阅读本说明书及研究附图之后就理解的所有排列、增强、等效物、及其改善包括在本公开内容的真实精神及范围内。因此,随附的权利要求旨在包括落入这些教示的真实精神及范围之内的所有这些变形、排列、及等效物。
尽管以上内容是针对本公开内容的实施方式,但也可以在不脱离本公开内容的基本范围的情况下设计本公开内容的其他的及另外的实施方式,且本公开内容的范围是由随附的权利要求书所确定。

Claims (20)

1.一种在集成基板处理系统中安排半导体基板处理序列的方法,所述方法包括以下步骤:
针对一批半导体基板产生处理模型,其中所述处理模型针对所述集成基板处理系统中的每个处理腔室中的每个半导体基板定义对应的开始时间;
基于所述处理模型产生平行输入;
通过一个或多个图形处理单元(GPU)的多个核心并行处理所述平行输入以针对所述批半导体基板产生平行输出,其中所述平行输入中的每一者在所述一个或多个GPU的所述多个核心中的相异核心上处理,以产生对应的平行输出;及
使得在所述集成基板处理系统中基于所述平行输出处理所述批半导体基板。
2.根据权利要求1所述的方法,更包括以下步骤:由所述一个或多个GPU中的第一GPU接收包括矩阵的所述处理模型,其中所述产生所述平行输入的步骤包括以下步骤:通过所述第一GPU基于所述矩阵来产生多个矩阵,其中所述平行输出对应用于处理所述批半导体基板的一组值。
3.根据权利要求2所述的方法,其中产生所述平行输出的步骤包括以下步骤:
针对所述多个矩阵中的每一者,对所述多个矩阵中的每一者进行求解以产生多组值中的对应的一组值,其中所述多组值中的每一者对应用于处理所述批半导体基板的相应处理持续时间;及
选定所述多组值中的与用于所述批半导体基板的所述处理的最小处理持续时间对应的所述组值。
4.根据权利要求1所述的方法,更包括以下步骤:基于所述平行输出预测所述集成基板处理系统的吞吐量。
5.根据权利要求1所述的方法,更包括以下步骤:
检测所述集成基板处理系统中的错误;
基于所述错误产生更新的处理模型;
基于所述更新的处理模型产生更新的平行输入;
通过所述一个或多个GPU处理所述更新的平行输入以针对所述批半导体基板产生更新的平行输出;及
使得在所述基板处理系统中基于所述更新的平行输出处理所述批半导体基板。
6.根据权利要求5所述的方法,其中;
所述使得基于所述平行输出处理所述批半导体基板的步骤包括以下步骤:基于所述平行输出在所述集成基板处理系统中对所述批半导体基板进行路由;及
所述使得基于所述更新的平行输出处理所述批半导体基板的步骤包括以下步骤:基于所述更新的平行输出在所述集成基板处理系统中对一个或多个半导体基板进行重新路由。
7.根据权利要求1所述的方法,其中所述一个或多个GPU包括第一GPU及第二GPU,所述方法更包括以下步骤:
由所述第一GPU接收所述处理模型,其中产生所述平行输入的所述步骤是藉由所述第一GPU来进行的;
由所述第一GPU确定所述平行输入的第一量超过所述第一GPU的第一多个核心的第二量;及
将所述平行输入的第一子集分配给所述第一GPU并将所述平行输入的第二子集分配给所述第二GPU,其中所述第一GPU要处理所述第一子集且所述第二GPU要处理所述第二子集。
8.根据权利要求1所述的方法,更包括以下步骤:
基于所述批半导体基板,产生待处理的半导体基板列表;
将对应的处理序列分配给对应于所述半导体基板列表的每个半导体基板;及
针对所述半导体基板列表中的每个半导体基板,将对应的处理腔室分配给所述对应处理序列中的每个处理,其中所述处理模型是基于所述半导体基板列表、用于所述半导体基板列表的每个半导体基板的所述对应处理序列、及用于所述半导体基板列表的每个半导体基板的所述对应序列中的用于每个处理的所述对应处理腔室来产生的。
9.根据权利要求1所述的方法,更包括以下步骤:基于所述平行输出针对所述批半导体基板产生时间表,其中所述使得基于所述平行输出处理所述批半导体基板的步骤包括以下步骤:使得根据所述时间表处理所述批半导体基板。
10.一种系统,包括:
存储器;及
处理装置,耦接到所述存储器,且用来针对一批半导体基板产生处理模型,其中所述处理模型针对集成基板处理系统中的每个处理腔室中的每个半导体基板定义对应的开始时间;及
图形处理单元(GPU),耦接到所述存储器及所述处理装置,且用来基于所述处理模型产生平行输入并由所述GPU的多个核心并行处理所述平行输入以针对所述批半导体基板产生平行输出,其中所述平行输入中的每一者在所述GPU的所述多个核心中的相异核心上处理,以产生对应的平行输出,其中所述处理装置用来使得在所述集成基板处理系统中基于所述平行输出处理所述批半导体基板。
11.根据权利要求10所述的系统,其中所述GPU更用来接收包括矩阵的所述处理模型,其中为了产生所述平行输入,所述GPU基于所述矩阵来产生多个矩阵,其中所述平行输出与用于处理所述批半导体基板的一组值对应。
12.根据权利要求11所述的系统,其中为了产生所述平行输出,所述GPU用来:
针对所述多个矩阵中的每一者,对所述多个矩阵中的每一者进行求解以产生多组值中的对应的一组值,其中所述多组值中的每一者与用于处理所述批半导体基板的相应处理持续时间对应;及
选定所述多组值中的与用于所述批半导体基板的所述处理的最小处理持续时间对应的所述组值。
13.根据权利要求11所述的系统,其中所述处理装置更用来基于所述平行输出预测所述集成基板处理系统的吞吐量。
14.根据权利要求10所述的系统,其中所述处理装置用来检测所述集成基板处理系统中的错误并基于所述错误产生更新的处理模型,其中所述GPU用来基于所述更新的处理模型产生更新的平行输入并处理所述更新的平行输入以针对所述批半导体基板产生更新的平行输出,其中所述处理装置用来使得在所述基板处理系统中基于所述更新的平行输出处理所述批半导体基板。
15.根据权利要求14所述的系统,其中为了使得基于所述平行输出处理所述批半导体基板,所述处理装置用来基于所述平行输出在所述集成基板处理系统中对所述批半导体基板进行路由,且其中为了使得基于所述更新的平行输出处理所述批半导体基板,所述处理装置用来基于所述更新的平行输出在所述集成基板处理系统中对一个或多个半导体基板进行重新路由。
16.根据权利要求10所述之系统,其中所述处理装置更用以:
基于所述批半导体基板,产生待处理的半导体基板列表;
将对应的处理序列分配给与所述半导体基板列表对应的每个半导体基板;及
针对所述半导体基板列表中的每个半导体基板,将对应的处理腔室分配给所述对应处理序列中的每个处理,其中所述处理模型是基于所述半导体基板列表、用于所述半导体基板列表的每个半导体基板的所述对应处理序列、及用于所述半导体基板列表的每个半导体基板的所述对应序列中的用于每个处理的所述对应处理腔室来产生的。
17.一种非暂时性计算机可读取介质,所述非暂时性计算机可读取介质在其上储存有指令,所述指令在由图形处理单元(GPU)执行时使得所述GPU执行以下操作:
针对一批半导体基板接收处理模型,其中所述处理模型针对集成基板处理系统中的每个处理腔室中的每个半导体基板定义对应的开始时间;
基于所述处理模型产生平行输入;及
由所述GPU的多个核心并行处理所述平行输入以针对所述批半导体基板产生平行输出,其中所述平行输入中的每一者在所述GPU的所述多个核心中的相异核心上处理,以产生对应的平行输出,其中将在所述集成基板处理系统中基于所述平行输出处理所述批半导体基板。
18.根据权利要求17所述的非暂时性计算机可读取介质,其中所述处理模型包括矩阵,其中为了产生所述平行输入,所述GPU用来基于所述矩阵来产生多个矩阵,其中所述平行输出对应用于处理所述批半导体基板的一组值。
19.根据权利要求18所述的非暂时性计算机可读取介质,其中为了产生所述平行输出,所述GPU用来:
针对所述多个矩阵中的每一者,对所述多个矩阵中的每一者进行求解以产生多组值中的对应的一组值,其中所述多组值中的每一者与用于处理所述批半导体基板的相应处理持续时间对应;及
选定所述多组值中的与用于处理所述批半导体基板的最小处理持续时间对应的所述组值。
20.根据权利要求18所述的非暂时性计算机可读取介质,其中将基于所述平行输出预测所述集成基板处理系统的吞吐量。
CN201980042228.8A 2018-06-22 2019-06-21 将图形处理单元用于基板路由及吞吐量建模 Active CN112292748B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210496558.8A CN114895638B (zh) 2018-06-22 2019-06-21 将图形处理单元用于基板路由及吞吐量建模

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/015,302 US10698392B2 (en) 2018-06-22 2018-06-22 Using graphics processing unit for substrate routing and throughput modeling
US16/015,302 2018-06-22
PCT/US2019/038586 WO2019246588A1 (en) 2018-06-22 2019-06-21 Using graphics processing unit for substrate routing and throughput modeling

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202210496558.8A Division CN114895638B (zh) 2018-06-22 2019-06-21 将图形处理单元用于基板路由及吞吐量建模

Publications (2)

Publication Number Publication Date
CN112292748A true CN112292748A (zh) 2021-01-29
CN112292748B CN112292748B (zh) 2022-05-24

Family

ID=68980629

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202210496558.8A Active CN114895638B (zh) 2018-06-22 2019-06-21 将图形处理单元用于基板路由及吞吐量建模
CN201980042228.8A Active CN112292748B (zh) 2018-06-22 2019-06-21 将图形处理单元用于基板路由及吞吐量建模

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202210496558.8A Active CN114895638B (zh) 2018-06-22 2019-06-21 将图形处理单元用于基板路由及吞吐量建模

Country Status (6)

Country Link
US (2) US10698392B2 (zh)
JP (2) JP6923766B1 (zh)
KR (2) KR102482316B1 (zh)
CN (2) CN114895638B (zh)
TW (2) TWI758613B (zh)
WO (1) WO2019246588A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020205339A1 (en) * 2019-03-29 2020-10-08 Lam Research Corporation Model-based scheduling for substrate processing systems
US11437254B2 (en) 2020-06-24 2022-09-06 Applied Materials, Inc. Sequencer time leaping execution
US11385628B2 (en) 2020-06-24 2022-07-12 Applied Materials, Inc. Scheduling substrate routing and processing

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007266050A (ja) * 2006-03-27 2007-10-11 Dainippon Screen Mfg Co Ltd 基板処理装置のスケジュール実行方法及びそのプログラム
US7467023B2 (en) * 2004-05-17 2008-12-16 Brown Peter G Method and system for modeling a batch manufacturing facility
CN103377968A (zh) * 2012-04-11 2013-10-30 株式会社日立高新技术 处理室分配设定装置和处理室分配设定程序
CN105917456A (zh) * 2014-01-21 2016-08-31 应用材料公司 任意基板上的膜厚度的测量
CN107636817A (zh) * 2015-05-22 2018-01-26 应用材料公司 方位可调整的多区域静电夹具
CN107871683A (zh) * 2016-09-26 2018-04-03 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442561A (en) * 1992-05-12 1995-08-15 Nippon Telegraph And Telephone Corporation Production management system and its application method
JP3315844B2 (ja) * 1994-12-09 2002-08-19 株式会社東芝 スケジューリング装置及びスケジューリング方法
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6889178B1 (en) * 1997-10-01 2005-05-03 Sony Corporation Integrated wafer fabrication production characterization and scheduling system
JPH11235648A (ja) * 1998-02-17 1999-08-31 Toshiba Corp 製造計画管理装置、製造計画管理方法、及び、製造計画管理プログラムを記録したコンピュータ読み取り可能な記録媒体
KR100303445B1 (ko) * 1998-11-04 2002-11-01 삼성전자 주식회사 작업대상물의선택처리시스템및그제어방법
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US7184850B1 (en) * 2002-09-06 2007-02-27 National Semiconductor Corporation System and method for allocating multi-function resources for a wetdeck process in semiconductor wafer fabrication
JP4694843B2 (ja) * 2002-09-30 2011-06-08 東京エレクトロン株式会社 半導体製作プロセスの監視とコンロトールのための装置
US7379785B2 (en) * 2002-11-28 2008-05-27 Tokyo Electron Limited Substrate processing system, coating/developing apparatus, and substrate processing apparatus
JP4233908B2 (ja) * 2003-04-02 2009-03-04 東京エレクトロン株式会社 基板処理システム
KR20070085719A (ko) * 2004-12-15 2007-08-27 마쯔시다덴기산교 가부시키가이샤 동작 시간 단축 방법, 동작 시간 단축 장치, 프로그램 및부품 실장기
US7728841B1 (en) * 2005-12-19 2010-06-01 Nvidia Corporation Coherent shader output for multiple targets
DE102006004413A1 (de) * 2006-01-31 2007-08-09 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Disponieren eines Produktstromes in einer Fertigungsumgebung durch Anwendung eines Simulationsprozesses
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US7974723B2 (en) * 2008-03-06 2011-07-05 Applied Materials, Inc. Yield prediction feedback for controlling an equipment engineering system
US8396582B2 (en) * 2008-03-08 2013-03-12 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
US9069345B2 (en) * 2009-01-23 2015-06-30 Mks Instruments, Inc. Controlling a manufacturing process with a multivariate model
US8978045B2 (en) * 2009-03-06 2015-03-10 Applied Materials, Inc. Per-station start time constraint
KR101644569B1 (ko) * 2009-10-01 2016-08-01 삼성전자 주식회사 가상 프로세서 관리 장치 및 방법
US8655472B2 (en) * 2010-01-12 2014-02-18 Ebara Corporation Scheduler, substrate processing apparatus, and method of transferring substrates in substrate processing apparatus
WO2013077191A1 (ja) * 2011-11-25 2013-05-30 東京エレクトロン株式会社 処理装置群コントローラ、生産処理システム、処理装置群制御方法、生産効率化システム、生産効率化装置および生産効率化方法
JP5779537B2 (ja) * 2012-04-20 2015-09-16 株式会社日立製作所 生産シミュレーション装置、生産シミュレーション方法及び、生産シミュレーションプログラム
JP6298318B2 (ja) * 2014-02-25 2018-03-20 株式会社Screenホールディングス 基板処理方法および基板処理装置
US9535815B2 (en) * 2014-06-04 2017-01-03 Nvidia Corporation System, method, and computer program product for collecting execution statistics for graphics processing unit workloads
US9286675B1 (en) * 2014-10-23 2016-03-15 Applied Materials Israel Ltd. Iterative defect filtering process
US9928034B2 (en) * 2014-12-17 2018-03-27 Nvidia Corporation Work-efficient, load-balanced, merge-based parallelized consumption of sequences of sequences
US10083395B2 (en) * 2015-05-21 2018-09-25 Google Llc Batch processing in a neural network processor
US10230817B2 (en) * 2015-12-21 2019-03-12 Intel Corporation Scheduling highly parallel applications
JP2018097661A (ja) * 2016-12-14 2018-06-21 オムロン株式会社 生産システム、制御装置、および制御方法
US20180307533A1 (en) * 2017-04-21 2018-10-25 Intel Corporation Faciltating multi-level microcontroller scheduling for efficient computing microarchitecture
US10359769B2 (en) 2017-09-15 2019-07-23 Applied Materials, Inc. Substrate routing and throughput modeling

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7467023B2 (en) * 2004-05-17 2008-12-16 Brown Peter G Method and system for modeling a batch manufacturing facility
JP2007266050A (ja) * 2006-03-27 2007-10-11 Dainippon Screen Mfg Co Ltd 基板処理装置のスケジュール実行方法及びそのプログラム
CN103377968A (zh) * 2012-04-11 2013-10-30 株式会社日立高新技术 处理室分配设定装置和处理室分配设定程序
CN105917456A (zh) * 2014-01-21 2016-08-31 应用材料公司 任意基板上的膜厚度的测量
CN107636817A (zh) * 2015-05-22 2018-01-26 应用材料公司 方位可调整的多区域静电夹具
CN107871683A (zh) * 2016-09-26 2018-04-03 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置

Also Published As

Publication number Publication date
TW202223566A (zh) 2022-06-16
CN114895638B (zh) 2023-04-07
CN114895638A (zh) 2022-08-12
CN112292748B (zh) 2022-05-24
JP2022000891A (ja) 2022-01-04
TWI780002B (zh) 2022-10-01
KR20210021564A (ko) 2021-02-26
US20190391569A1 (en) 2019-12-26
KR20210112421A (ko) 2021-09-14
TW202001634A (zh) 2020-01-01
KR102302724B1 (ko) 2021-09-14
KR102482316B1 (ko) 2022-12-27
JP6923766B1 (ja) 2021-08-25
US11275360B2 (en) 2022-03-15
US20200326691A1 (en) 2020-10-15
US10698392B2 (en) 2020-06-30
TWI758613B (zh) 2022-03-21
JP2021522695A (ja) 2021-08-30
JP7136977B2 (ja) 2022-09-13
WO2019246588A1 (en) 2019-12-26

Similar Documents

Publication Publication Date Title
JP7136977B2 (ja) 基板ルーティング及びスループットモデリングのためのグラフィック処理ユニットの使用
US20220171373A1 (en) Model-based scheduling for substrate processing systems
CN111316416B (zh) 基板路由与产量建模
US7257459B1 (en) Method and apparatus for scheduling pilot lots
US20080051930A1 (en) Scheduling method for processing equipment
US8588962B2 (en) Vacuum processing device and method of transporting process subject member
TW201714239A (zh) 用於晶圓與機台指派的系統
CN115794506B (zh) 一种晶片调度方法和一种电子设备
Yen et al. A Flexible Cluster Tool Simulation Framework with Wafer Batch Dispatching Time Recommendation
JP2022181713A (ja) 製造装置
TW202307746A (zh) 排程建立方法、排程建立裝置、基板處理裝置、基板處理系統、記錄媒體、及排程建立程式
TW202217484A (zh) 定序器的時間跳躍執行
JP2000114131A (ja) 生産管理装置とその方法
Chen et al. Facility Planning for TFT-LCD Array Fab
JPH0934533A (ja) 製造工程仕様作成システム

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant