JP2008016609A - 有機金属気相成長装置 - Google Patents

有機金属気相成長装置 Download PDF

Info

Publication number
JP2008016609A
JP2008016609A JP2006185740A JP2006185740A JP2008016609A JP 2008016609 A JP2008016609 A JP 2008016609A JP 2006185740 A JP2006185740 A JP 2006185740A JP 2006185740 A JP2006185740 A JP 2006185740A JP 2008016609 A JP2008016609 A JP 2008016609A
Authority
JP
Japan
Prior art keywords
passage
substrate
susceptor
height
reaction gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006185740A
Other languages
English (en)
Other versions
JP4193883B2 (ja
Inventor
Masanori Ueno
昌紀 上野
Toshio Ueda
登志雄 上田
Eiryo Takasuka
英良 高須賀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumitomo Electric Industries Ltd
Original Assignee
Sumitomo Electric Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Electric Industries Ltd filed Critical Sumitomo Electric Industries Ltd
Priority to JP2006185740A priority Critical patent/JP4193883B2/ja
Priority to TW096120992A priority patent/TWI354322B/zh
Priority to EP07011616.5A priority patent/EP1882757B1/en
Priority to CA002592747A priority patent/CA2592747A1/en
Priority to US11/822,188 priority patent/US20080006208A1/en
Priority to KR1020070067270A priority patent/KR100910191B1/ko
Priority to CN2007101274009A priority patent/CN101100743B/zh
Publication of JP2008016609A publication Critical patent/JP2008016609A/ja
Application granted granted Critical
Publication of JP4193883B2 publication Critical patent/JP4193883B2/ja
Priority to US13/360,366 priority patent/US8906162B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45585Compression of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】成膜される膜の厚さを均一にしつつ成膜効率を向上することのできる有機金属気相成長装置を提供する。
【解決手段】有機金属気相成長装置1は、反応ガスGを用いて基板20に成膜するための有機金属気相成長装置であって、基板20を加熱し、かつ基板20を載置するための載置面を有するサセプタ5と、基板20に反応ガスGを導入するための通路11とを備えている。通路11の内部に載置面が面した状態でサセプタ5は回転可能であり、かつ反応ガスGの流れ方向に沿った通路11の高さは、位置A3から位置Sまで一定であり、位置Sから下流側に向かって単調減少している。
【選択図】図1

Description

本発明は、有機金属気相成長装置に関し、より特定的には、窒化物半導体層を成膜するための有機金属気相成長装置に関する。
有機金属気相成長(MOCVD:Metal Organic Chemical Vapor Deposition)法は、代表的な気相成膜法の一つであり、III族有機金属を気化させ、それを基板表面で熱的に分解させ、V族ガスと反応させて成膜する方法である。この方法は膜厚や組成の制御が可能であり、かつ生産性に優れていることから、半導体装置を製造する際の成膜技術として広く用いられている。
MOCVD法に用いられるMOCVD装置は、チャンバと、チャンバ内に配置されたサセプタと、基板表面に反応ガスを流すための管路とを備えている。MOCVD装置においては、サセプタ上に基板を載置し、チャンバ内を減圧状態にして基板を適当な温度に加熱し、管路を通じて基板表面に有機金属のガスを導入することにより成膜が行なわれる。ここで、成膜される膜の厚さを均一にするために、MOCVD装置には反応ガスを基板表面に沿って均一に流すことが要求される。MOCVD装置においては、反応ガスを基板表面に沿って均一に流すために、様々な管路形状が提案されてきた。
従来のMOCVD装置として、たとえば特開平2−291113号公報(特許文献1)には、反応ガスを基板の上部まで導く導入管を有する気相成長装置が開示されている。導入管は、サセプタを内部に収納する試料載置室と、基板の側方から反応ガスを基板上に吹出し、断面形状が基板の幅方向に沿って長く基板の高さ方向には短く偏平している絞り部と、基板の上部に位置し、絞り部における高さ方向の幅に略等しい間隔または反応ガスの下流側に向かって狭くなる間隔で、基板を上部から一様に覆い反応ガスを基板表面に沿って案内する案内部とを有している。サセプタは試料載置室に固定されており、反応ガス流の下流側で基板を載置している。
また、たとえば特開平6−216030号公報(特許文献2)には、基板上に反応ガスを導入するためのフローチャネルを有する化合物半導体気相成長装置が開示されている。フローチャネルは上流側から下流側に行くにつれて高さ方向の幅が狭まるようなテーパを有している。このテーパの下部にはサセプタが固定されている。
さらに、特許第2733518号公報(特開平2−291114号公報)(特許文献3)には、基板を裁置するための回転するサセプタと、基板に反応ガスを導入するためのライナー管とを備える気相成長装置が開示されている。ライナー管の高さは反応ガスの導入路全体にわたって単調減少している。
特開平2−291113号公報 特開平6−216030号公報 特許第2733518号公報(特開平2−291114号公報)
MOCVD装置においては成膜効率を上げることが求められており、サセプタを大型化すれば一度に多数の基板を加熱することができ、また大径の基板を成膜することもできるので、成膜効率が向上する。しかしサセプタを大型化すると、サセプタの上流側と下流側との距離が大きくなり、それによってサセプタの上流側と下流側との各々における反応ガスの条件(たとえば反応ガスの濃度や温度など)が大きく異なってくる。その結果、成膜される膜の厚さが均一でなくなるという問題が生じる。このため、従来においては、成膜される膜の厚さを均一にしつつ成膜効率を向上することはできなかった。
したがって、本発明の目的は、成膜される膜の厚さを均一にしつつ成膜効率を向上することのできるMOCVD装置を提供することである。
本発明のMOCVD装置は、反応ガスを用いて基板に成膜するための有機金属気相成長装置であって、基板を加熱し、かつ基板を載置するための載置面を有する加熱部材と、基板に反応ガスを導入するための通路とを備えている。通路の内部に載置面が面した状態で加熱部材は回転可能であり、かつ反応ガスの流れ方向に沿った通路の高さは、載置面における基板の載置位置の上流側端部から載置面の任意の位置まで一定であり、任意の位置から下流側に向かって単調減少している。
本発明のMOCVD装置によれば、任意の位置から下流側かけての反応ガスの反応速度が大きくなり、反応ガスの反応速度を任意の位置から下流側かけて増加させることができる。これにより、反応ガスの流れ方向に沿った載置面の位置と反応ガスの反応速度との関係を線形に近づけることができる。その結果、加熱部材を回転させることにより基板に成膜される膜の厚さを均一にすることができる。また、加熱部材の上流側と下流側との各々における反応ガスの反応条件を均一にする必要がないので、加熱部材を大型化し、成膜効率を向上することができる。
なお、本願明細書において「通路の高さ」とは、通路の内部空間における加熱部材の載置面の法線方向の長さを意味している。
本発明のMOCVD装置において好ましくは、通路の高さの単調減少は、載置位置の下流側端部から2%だけ上流側の位置よりも下流側の位置にて終了する。
反応ガスの流れ方向に沿った通路の高さを任意の位置から下流側に向かって単調減少させた場合、単調減少の終了位置付近から下流側では反応ガスの反応速度が大きく低下することがある。したがって、基板の載置位置の下流側端部から2%だけ上流側の位置よりも下流側の位置にて単調減少を終了させることにより、反応ガスの流れ方向に沿った基板の載置位置と反応ガスの反応速度との関係を線形にすることができる。
なお、本願明細書において「2%だけ上流側の位置」とは、流れ方向における載置面の長さ(載置面の直径)の2%だけ上流の位置を意味している。
本発明のMOCVD装置において好ましくは、通路の高さの単調減少は、下流側端部の位置か、下流側端部の位置よりも下流側の位置にて終了する。
これにより、反応ガスの流れ方向に沿った基板の載置位置と反応ガスの反応速度との関係を線形にすることができる。
本発明のMOCVD装置において好ましくは、通路の幅方向に沿った高さは、載置面において載置面の両端部から中央部にかけて単調減少している。
本発明のMOCVD装置において好ましくは、通路の幅方向に沿った高さは曲線状に単調減少している。
これにより、載置面の中央部における反応ガスの反応速度が大きくなるので、通路の幅方向の反応速度を均一にすることができる。
なお、本願明細書において「通路の幅方向」とは、通路の高さ方向および反応ガスの流れ方向の双方に垂直な方向を意味している。
本発明のMOCVD装置において好ましくは、通路は上記任意の位置よりも上流側において絞り部を有しており、絞り部における反応ガスの流れ方向に沿った通路の高さは一旦減少した後で再び増加している。
これにより、上記任意の位置よりも上流側における成長速度を増加させ、上記任意の位置よりも下流側における成長速度に近づけることができる。その結果、均一な膜を成長させることができる。
本発明のMOCVD装置によれば、成膜される膜の厚さを均一にしつつ成膜効率を向上することができる。
以下、本発明の実施の形態について図面に基づいて説明する。
(実施の形態1)
図1は、本発明の実施の形態1におけるMOCVD装置の構成を示す断面図である。図2は、図1のサセプタ付近の上面図である。図1および図2を参照して、本実施の形態におけるMOCVD装置1は、チャンバ3と、加熱部材としてのサセプタ5と、ヒータ9と、通路11とを備えている。チャンバ3内にはサセプタ5とヒータ9と通路11とが配置されている。通路11は図1中横方向に延びており、通路11の内部にはサセプタ5の載置面(図1では上面)が面している。
サセプタ5は円盤形状を有しており、同じく円盤形状を有するヒータ9上に配置されている。サセプタ5の下部には回転軸13が取り付けられており、これにより、サセプタ5は通路11の内部に載置面が面した状態で回転可能となっている。サセプタ5の載置面には平面的に見て円形状を有する複数の溝7が形成されている。これらの溝7の各々に基板20の各々が載置され、これにより基板20が加熱される。特に図2を参照して、サセプタ5の載置面には7つの溝7が形成されており、これらの溝7の各々に円形の基板20が載置される。
通路11は横型三層流方式であり、サセプタ5の載置面に対して平行に延びている。すわなち、上流側(図1中左側)において複数の通路11a〜11cに分かれており、通路11bと11cとの境界は位置A1において途切れており、通路11aと通路11bとの境界は位置A2において途切れている。そして、上流から後述する位置A3の直前までにかけて通路11の幅は一定の割合で増加している(図2)。通路11a〜11cの各々はそれぞれ高さt1〜t3を有している。また、位置A2〜位置A3の範囲における通路11の高さt4は、t4≧t1+t2+t3を満たしていることが好ましい。
MOCVD装置1においては、サセプタ5の載置面に複数の基板20が載置され、ヒータ9によってサセプタ5が加熱されて回転される。そして、通路11a〜11cの各々から反応ガスを構成するガスG1〜G3が導入される。反応ガスは図1中右方向に流れていく。たとえばIII−V族の窒化物半導体層を成膜する場合、ガスG1としてH2(水素)ガスやN2(窒素)ガスなどのパージガスが用いられ、ガスG2としてトリメチルガリウム(TMG)、トリメチルインジウム(TMI)、またはトリメチルアルミニウム(TMA)などのIII族元素を含む有機金属ガスと、H2ガスやN2ガスなどのキャリアガスとの混合ガスが用いられ、ガスG3としてNH3(アンモニア)ガスなどのV族元素を含むガスと、H2ガスやN2ガスなどのキャリアガスとの混合ガスが用いられる。これらのガスG1〜G3が導入されると、位置A1においてガスG2とガスG3との混合が開始され、位置A2においてパージガスの混合がさらに開始され、反応ガスGが構成される。そしてこの混合ガスGがサセプタ5上において載置面に平行に導入されて拡散され、サセプタ5によって加熱される。混合ガスGに含まれる有機金属ガスは加熱によって分解し中間反応体となり、アンモニアと反応して窒化物半導体となる。その結果、窒化物半導体層が基板20の表面に成膜される。
MOCVD装置1においては、反応ガスの流れ方向に沿った通路11の高さはサセプタ5の載置面の位置において変化している。図3は、本発明の実施の形態1における反応ガスの流れ方向に沿った位置と通路の高さとの関係を示す図である。図1〜図3を参照して、サセプタ5の載置面における基板20の載置位置の上流側端部を位置A3とし、下流側端部を位置A4とする。通路11の高さは、位置A2から位置A3を越えて載置面の任意の位置Sまで一定であり、位置Sから下流側に向かって位置Eまで単調減少(線形に減少)しており(図1中高さt5で示す部分)、位置Eよりも下流側の領域(位置A4を含む領域)では再び一定となっている。
なお図3においては、単調減少の終了位置である位置Eは位置A4よりも上流側にあるが、位置Eは、位置A4から2%だけ上流側の位置よりも下流側にあることが好ましく、位置A4と同一位置であるか、位置A4よりも下流側にあることが一層好ましい。
本願発明者らは、MOCVD装置1によれば成膜される膜の厚さを均一にしつつ成膜効率を向上することができることを見出した。これについて以下に説明する。
始めに本願発明者らは、図1の一点鎖線P1および図4で示すように、位置A3より上流側で通路の高さt4が小さくなり、かつ位置A3〜A4において通路の高さt5が一定(t5=t1+t2+t3)であるような通路と、図2の形状を有するサセプタとを有するMOCVD装置(比較例1)を準備した。次にこのMOCVD装置を用いて、サセプタを回転させずに半導体窒化物層であるInGaN層を基板の表面に成膜した。そして、反応ガスの流れ方向に沿ったサセプタの上流側端部からの距離とInGaN成長速度との関係を調べた。この結果を図5に示す。なお、図5の結果は、サセプタの幅方向における中心線(図2における線C)に沿った結果であり、図5中矢印で示された範囲は、サセプタの載置面における基板が載置される位置である。また比較のために、通路およびサセプタのサイズを2インチの基板1枚が載置できるように相似に縮小した装置において、2インチの基板を1枚載置した場合の結果も合わせて示されている。
図5を参照して、7枚の基板を載置したサセプタにおけるInGaNの成長速度は、上流側端部から約40mmの位置(すなわち、サセプタの開始位置)で0から大きく増加し、上流側端部から約125mmの位置で最大値を示し、その後緩やかに減少している。また、1枚の基板を載置した場合におけるInGaNの成長速度は、ほぼ単調増加している。
図5の結果において、上流側端部から約40mmの位置で0から大きく増加しているのは、III族元素とV族元素との反応が開始するためにはサセプタ上を反応ガスがある程度移動する必要があるためであると考えられる。また、最大値を示すのは、下流にかけて原料の拡散が進むとともに境界層が発達するので、濃度勾配が一端増加した後に緩やかになるためである。さらに図5の結果から、サセプタを回転しない場合には、サセプタの大型化に伴なって反応ガスの上流側と下流側とで反応条件が大きく異なっていることが分かる。
次に本願発明者らは、比較例1のMOCVD装置を用いて、サセプタを回転させながらInGaN層を基板の表面に成膜した。但し、サセプタに載置する基板の数は6枚とし、サセプタの中心から53mm離れた位置に基板の中心が来るようにそれぞれの基板を載置した。そして、サセプタの中心からの距離とInGaNの成長速度との関係を調べた。また比較のために、2インチの基板1枚を載置できるサイズの装置において、2インチの基板を1枚載置した場合の結果も合わせて示されている。その結果を図6に示す。また、基板内におけるInGaN成長速度分布幅を表1に示す。
Figure 2008016609
図6および表1を参照して、サセプタを回転させることによりInGaN成長速度分布が平均化され、改善されている。しかし、1枚の基板を載置した場合のInGaN成長速度分布幅は±1.8%であるのに対して、6枚の基板を載置した場合のInGaN成長速度分布幅は±4.3%である。このことから、サセプタを回転させた場合にも、サセプタの大型化に伴って成膜される膜の厚さが均一でなくなっていることが分かる。また、1枚の基板を配置した場合および6枚の基板を配置した場合のどちらの場合にも、InGaN成長速度分布がサセプタの外径側で減少していることが分かる。
ここで、サセプタを回転させて基板に成膜する場合には、反応ガスの流れ方向に沿った載置面の位置と反応ガスの反応速度との関係が線形(比例関係)になるようにすれば、基板内において均一な膜を成膜することができる。つまり、サセプタを回転させた場合には、サセプタの上流側と下流側との各々における反応ガスの反応速度を均一にする必要なはい。
そこで本願発明者らは、図5におけるInGaN成長速度をサセプタの下流側で向上し、反応ガスの流れ方向に沿った載置面の位置と反応ガスの反応速度との関係を線形に近づけるために、図1に示す本実施の形態におけるMOCVD装置1を作製した(本発明例1)。本発明例1においては、図5におけるInGaNの成長速度が最大値となった位置(125mmの位置)を単調減少の開始位置Sとした。次に、本発明例1および比較例1の各々のMOCVD装置を用いて、サセプタを回転させながらサセプタに載置された6枚の基板の各々の表面にInGaN層を成膜した。そして、基板内におけるInGaN成長速度分布幅を調べた。この結果を表2に示す。
Figure 2008016609
表2を参照して、比較例1のInGaN成長速度分布は±4.3%であるのに対して、本発明例1のInGaN成長速度分布は±0.4%となっており、分布幅が小さくなっている。このことから、本実施の形態におけるMOCVD装置1によれば、サセプタを大型化して多数の基板を同時に成膜しても、成膜される膜の厚さを均一できることが分かる。
またMOCVD装置1においては、通路11の高さの単調減少の終了位置Eが位置A4から2%だけ上流側の位置よりも下流側にあることが好ましく、位置A4と同一位置であるか、位置A4よりも下流側にあることが一層好ましい。これにより、反応ガスの流れ方向に沿った基板の載置位置と反応ガスの反応速度との関係を線形にすることができる。これについて以下に説明する。
本願発明者らは、通路11の高さの単調減少の終了位置Eが成長速度に及ぼす影響について調べた。具体的には、図1のMOCVD装置において、単調減少の終了位置Eを位置A4よりも上流側にしたもの(本発明例1)と、単調減少の終了位置Eを位置A4と同一位置にしたもの(本発明例2)とを準備した。また比較のため、比較例1のMOCVD装置を準備した。次に、本発明例1、本発明例2、および比較例1の各々のMOCVD装置を用いて、サセプタに載置された6枚の基板の各々の表面にInGaN層を成膜した。そして、サセプタの中心からの距離と、InGaNの成長速度との関係を調べた。その結果を図7に示す。なお、図7の結果は、サセプタの幅方向における中心線(図2における線C)に沿った結果であり、図7中矢印で示された範囲は、サセプタの載置面における基板が載置される位置である。
図7を参照して、本発明例1および2では、サセプタの上流側から下流側に移動するに従ってInGaN成長速度がほぼ直線状に増加している。しかし、本発明例1では図中右側の基板の載置位置において直線形状が崩れているのに対して、本発明例2では、基板の載置位置の下流側端部(位置A4)まで直線形状が保たれている。以上の結果より、終了位置Eが位置A4と同一位置であるか、位置A4よりも下流側にあることにより、反応ガスの流れ方向に沿った基板の載置位置と反応ガスの反応速度との関係を線形にできることが分かる。また終了位置Eが位置A4から2%だけ上流側の位置よりも下流側にあっても同様の効果を得ることができる。
またMOCVD装置1においては、窒化物半導体層を構成する元素を含むガスの混合位置である位置A1と、位置A3との距離dは50mm以上100mm以下であることが好ましい。位置A1を位置A3よりも50mm以上離すことにより、位置A3付近でIII族とV族との反応ガスの拡散が進み、反応速度を大きくすることができる。位置A1を位置A3よりも100mm以下離すことにより、位置A3よりも上流側で反応ガスが気相中で反応してしまうことを抑止することができる。
(実施の形態2)
図8は、本発明の実施の形態2におけるMOCVD装置の通路の形状を示す斜視図である。図9は図8のIX−IX線に沿った断面図である。図8および図9を参照して、本実施の形態におけるMOCVD装置1においては、サセプタ5の載置面における通路11の幅方向に沿った高さが、サセプタ5の載置面の両端部(高さh1)から中央部(高さh2)にかけて直線的に単調減少している。また図10に示すように、サセプタ5の載置面における通路11の幅方向に沿った高さが、サセプタ5の載置面の両端部(高さh1)から中央部(高さh2)にかけて曲線的に単調減少していてもよい。これにより、サセプタ5の載置面の中央部における反応ガスの反応速度が大きくなるので、通路11の幅方向の反応速度を均一にすることができる。
(実施の形態3)
図11は、本発明の実施の形態3における反応ガスの流れ方向に沿った位置と通路の高さとの関係を示す図である。図11を参照して、本実施の形態における通路11は位置A3付近において絞り部30を有している。絞り部30における通路11の高さは、一旦減少し、極小値のまま一定であった後で再び増加している。図11では、絞り部30における通路11の上面が凹形状となっているが、図12に示すように、絞り部30における通路12の下面が凹形状であってもよい。
本実施の形態のMOCVD装置によれば、位置Sよりも上流側における成長速度を増加させ、位置Sよりも下流側における成長速度に近づけることができる。その結果、均一な膜を成長させることができる。
すなわち、図8に示す本発明例1および2でも分かるように、裁置面において上流での成長速度と下流での成長速度との間には3倍以上の差がある。このような状態でサセプタ5を回転させて成長すると、基板20上に形成される膜は低速度での成長と高速度での成長を交互に繰り返す。一般的にエピタキシャル成長での膜の性質(結晶性等)は、成長速度で変化することが知られており、異なる膜質を有するエピタキシャル膜が交互に成長されると、膜厚方向に不均一な膜質となりやすい。
そこで本実施の形態のように、通路11の高さを位置Sよりも上流側において一旦減少させることにより、上流側での原料の拡散を促進させ上流側での成長速度を増加させることができる。また、通路11の高さをその後増加させることにより、原料拡散の促進による非線形な成長速度の増加を緩和できる。その後成長速度が飽和する領域では、再び通路11の高さを減少させることにより、成長速度の線形的な増加を保つことができる。これにより、載置面における成長速度の線形性を保ちつつ上流と下流との成長速度差を小さくすることができる。
なお、通路11の高さは、位置A2と位置A3との間で減少を開始し、位置A3より上流で再び増加することが好ましい。また幅方向には同形状を保つことが好ましい。また絞り部30の位置は位置Sよりも上流側であればよい。
本願発明者らは、通路11が位置Sよりも上流側において絞り部30を有することの効果について調べた。具体的には、図1のMOCVD装置において、図11に示すような絞り部30を形成したもの(本発明例3)を準備し、このMOCVD装置を用いて、サセプタに載置された6枚の基板の各々の表面にInGaN層を成膜した。そして、サセプタの中心からの距離と、InGaNの成長速度との関係を調べた。その結果を本発明例1の結果と合わせて図13に示す。なお、図13の結果は、サセプタの幅方向における中心線(図2における線C)に沿った結果であり、図13中矢印で示された範囲は、サセプタの載置面における基板が載置される位置である。
図13を参照して、本発明例3では本発明例1に比べて上流と下流との成長速度差が小さくなっていることが分かる。具体的には、本発明例1における上流と下流との成長速度差は約3.3倍であるのに対し、本発明例3における上流と下流との成長速度差は約2倍となっている。
以上に開示された実施の形態はすべての点で例示であって制限的なものではないと考慮されるべきである。本発明の範囲は、以上の実施の形態ではなく、特許請求の範囲によって示され、特許請求の範囲と均等の意味および範囲内でのすべての修正や変形を含むものと意図される。
本発明は、窒化物半導体層を成膜するための有機金属気相成長装置として好適である。
本発明の実施の形態1におけるMOCVD装置の構成を示す断面図である。 図1のサセプタ付近の上面図である。 本発明の実施の形態1における反応ガスの流れ方向に沿った位置と通路の高さとの関係を示す図である。 比較例1における反応ガスの流れ方向に沿った位置と通路の高さとの関係を示す図である。 比較例1のMOCVD装置を用いてサセプタを回転させずにInGaN層を成膜した場合における、反応ガスの流れ方向に沿ったサセプタの上流側端部からの距離とInGaN成長速度との関係を示す図である。 比較例1のMOCVD装置を用いてサセプタを回転させながらInGaN層を成膜した場合における、サセプタの中心からの距離とInGaNの成長速度との関係を示す図である。 本発明例1、本発明例2、および比較例1の各々のMOCVD装置を用いてInGaN層を成膜した場合における、サセプタの中心からの距離とInGaNの成長速度との関係を示す図である。 本発明の実施の形態2におけるMOCVD装置の通路を示す斜視図である。 図8のIX−IX線に沿った断面図である。 本発明の実施の形態2におけるMOCVD装置の通路の変形例を示す斜視図である。 本発明の実施の形態3における反応ガスの流れ方向に沿った位置と通路の高さとの関係を示す図である。 本発明の実施の形態3における反応ガスの流れ方向に沿った位置と通路の高さとの関係の変形例を示す図である。 本発明例1および本発明例3の各々のMOCVD装置を用いてInGaN層を成膜した場合における、サセプタの中心からの距離とInGaNの成長速度との関係を示す図である。
符号の説明
1 MOCVD装置、3 チャンバ、5 サセプタ、7 溝、9 ヒータ、11,11a〜11c 通路、13 回転軸、20 基板、30 絞り部。

Claims (6)

  1. 反応ガスを用いて基板に成膜するための有機金属気相成長装置であって、
    前記基板を加熱し、かつ前記基板を載置するための載置面を有する加熱部材と、
    前記基板に前記反応ガスを導入するための通路とを備え、
    前記通路の内部に前記載置面が面した状態で前記加熱部材は回転可能であり、かつ前記反応ガスの流れ方向に沿った前記通路の高さは、前記載置面における前記基板の載置位置の上流側端部から前記載置面の任意の位置まで一定であり、前記任意の位置から下流側に向かって単調減少していることを特徴とする、有機金属気相成長装置。
  2. 前記通路の高さの単調減少は、前記載置位置の下流側端部から2%だけ上流側の位置よりも下流側の位置にて終了することを特徴とする、請求項1に記載の有機金属気相成長装置。
  3. 前記通路の高さの単調減少は、前記下流側端部の位置か、前記下流側端部の位置よりも下流側の位置にて終了することを特徴とする、請求項2に記載の有機金属気相成長装置。
  4. 前記通路の幅方向に沿った高さは、前記載置面において前記載置面の両端部から中央部にかけて単調減少していることを特徴とする、請求項1〜3のいずれかに記載の有機金属気相成長装置。
  5. 前記通路の幅方向に沿った高さは曲線状に単調減少していることを特徴とする、請求項4に記載の有機金属気相成長装置。
  6. 前記通路は前記任意の位置よりも上流側において絞り部を有し、前記絞り部における前記反応ガスの流れ方向に沿った前記通路の高さは一旦減少した後で再び増加していることを特徴とする、請求項1〜5のいずれかに記載の有機金属気相成長装置。
JP2006185740A 2006-07-05 2006-07-05 有機金属気相成長装置 Expired - Fee Related JP4193883B2 (ja)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP2006185740A JP4193883B2 (ja) 2006-07-05 2006-07-05 有機金属気相成長装置
TW096120992A TWI354322B (en) 2006-07-05 2007-06-11 Metal organic chemical vapor deposition equipment
EP07011616.5A EP1882757B1 (en) 2006-07-05 2007-06-13 Metal organic chemical vapor deposition equipment
CA002592747A CA2592747A1 (en) 2006-07-05 2007-06-26 Metal organic chemical vapor deposition equipment
US11/822,188 US20080006208A1 (en) 2006-07-05 2007-07-03 Metal organic chemical vapor deposition equipment
KR1020070067270A KR100910191B1 (ko) 2006-07-05 2007-07-04 유기 금속 기상 성장 장치
CN2007101274009A CN101100743B (zh) 2006-07-05 2007-07-05 金属有机化合物的化学气相淀积设备
US13/360,366 US8906162B2 (en) 2006-07-05 2012-01-27 Metal organic chemical vapor deposition equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006185740A JP4193883B2 (ja) 2006-07-05 2006-07-05 有機金属気相成長装置

Publications (2)

Publication Number Publication Date
JP2008016609A true JP2008016609A (ja) 2008-01-24
JP4193883B2 JP4193883B2 (ja) 2008-12-10

Family

ID=38625883

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006185740A Expired - Fee Related JP4193883B2 (ja) 2006-07-05 2006-07-05 有機金属気相成長装置

Country Status (7)

Country Link
US (2) US20080006208A1 (ja)
EP (1) EP1882757B1 (ja)
JP (1) JP4193883B2 (ja)
KR (1) KR100910191B1 (ja)
CN (1) CN101100743B (ja)
CA (1) CA2592747A1 (ja)
TW (1) TWI354322B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010067775A (ja) * 2008-09-10 2010-03-25 Sumitomo Electric Ind Ltd 気相成長方法および気相成長装置
JP2011249675A (ja) * 2010-05-28 2011-12-08 Showa Denko Kk 半導体発光素子の製造方法
TWI756228B (zh) * 2016-06-09 2022-03-01 日商新光電氣工業股份有限公司 燒結體、其製造方法及靜電夾頭

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP2011500961A (ja) 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010232624A (ja) * 2009-02-26 2010-10-14 Japan Pionics Co Ltd Iii族窒化物半導体の気相成長装置
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN103403843B (zh) 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
TWI565825B (zh) * 2012-06-07 2017-01-11 索泰克公司 沉積系統之氣體注入組件及相關使用方法
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10047457B2 (en) * 2013-09-16 2018-08-14 Applied Materials, Inc. EPI pre-heat ring
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102376429B1 (ko) * 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105401130A (zh) * 2014-09-15 2016-03-16 刘祥林 一种热壁金属有机物化学气相沉积设备
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102564228B1 (ko) * 2021-04-29 2023-08-09 주식회사 테스 유기금속화학기상증착장치
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4297162A (en) * 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4651673A (en) * 1982-09-02 1987-03-24 At&T Technologies, Inc. CVD apparatus
US4993360A (en) * 1988-03-28 1991-02-19 Kabushiki Kaisha Toshiba Vapor growth apparatus having a diffuser section containing a flow regulating member
JP2818776B2 (ja) 1989-04-29 1998-10-30 豊田合成株式会社 窒化ガリウム系化合物半導体の気相成長装置
JP2733518B2 (ja) * 1989-04-29 1998-03-30 豊田合成株式会社 化合物半導体膜の気相成長装置
JP2722833B2 (ja) * 1991-03-18 1998-03-09 富士通株式会社 気相エピタキシャル成長装置および気相エピタキシャル成長方法
JPH04338636A (ja) * 1991-05-15 1992-11-25 Furukawa Electric Co Ltd:The 半導体気相成長装置
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
JPH08335558A (ja) 1995-06-08 1996-12-17 Nissin Electric Co Ltd 薄膜気相成長装置
WO1997036320A1 (fr) * 1996-03-22 1997-10-02 Nippon Sanso Corporation Appareil de tirage en phase vapeur et procede de tirage en phase vapeur
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
JP3068075B2 (ja) * 1998-01-17 2000-07-24 ハンベック コーポレイション 化合物半導体製造用水平反応炉
JP4511006B2 (ja) * 2000-09-01 2010-07-28 独立行政法人理化学研究所 半導体の不純物ドーピング方法
JP2002261021A (ja) * 2001-02-28 2002-09-13 Japan Pionics Co Ltd 気相成長装置及び気相成長方法
TW544775B (en) * 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010067775A (ja) * 2008-09-10 2010-03-25 Sumitomo Electric Ind Ltd 気相成長方法および気相成長装置
JP2011249675A (ja) * 2010-05-28 2011-12-08 Showa Denko Kk 半導体発光素子の製造方法
TWI756228B (zh) * 2016-06-09 2022-03-01 日商新光電氣工業股份有限公司 燒結體、其製造方法及靜電夾頭

Also Published As

Publication number Publication date
TW200818270A (en) 2008-04-16
CN101100743A (zh) 2008-01-09
US20120118234A1 (en) 2012-05-17
CN101100743B (zh) 2011-11-16
JP4193883B2 (ja) 2008-12-10
US8906162B2 (en) 2014-12-09
US20080006208A1 (en) 2008-01-10
EP1882757A1 (en) 2008-01-30
CA2592747A1 (en) 2008-01-05
EP1882757B1 (en) 2013-10-02
KR100910191B1 (ko) 2009-07-30
KR20080004404A (ko) 2008-01-09
TWI354322B (en) 2011-12-11

Similar Documents

Publication Publication Date Title
JP4193883B2 (ja) 有機金属気相成長装置
KR100272752B1 (ko) 기상성장장치및기상성장방법
JP4466723B2 (ja) 有機金属気相成長装置
US20090148704A1 (en) Vapor-phase process apparatus, vapor-phase process method, and substrate
JP2007317770A (ja) 気相成長装置
US20190233968A1 (en) Gas injector for chemical vapor deposition system
JP4879693B2 (ja) Mocvd装置およびmocvd法
JP2007335800A (ja) 半導体薄膜の製造方法および製造装置
US20230257876A1 (en) Device and method to achieve homogeneous growth and doping of semiconductor wafers with a diameter greater than 100 mm
JP5045033B2 (ja) 気相成長装置及び化合物半導体膜の成長方法
JP2011138895A (ja) 結晶の製造方法および発光素子の製造方法
JP2008166668A (ja) 気相成長装置および気相成長方法
JP3472976B2 (ja) Iii族窒化物半導体の成膜方法およびその装置
JP4835666B2 (ja) 気相成長方法
JP3880096B2 (ja) 気相成長方法
JP2008153357A (ja) 気相成長装置
JPH0878336A (ja) 反応処理装置
JP2011108870A (ja) エピタキシャル基板の製造方法およびエピタキシャル基板
SE2250842A1 (en) A method for operating a chemical vapor deposition process
JP2004292255A (ja) 炭化珪素結晶の成長方法及び炭化珪素結晶の成長装置
JPH10256166A (ja) 気相成長装置および気相成長方法
JP2005150497A (ja) 気相成長装置
JPS63122212A (ja) 気相成長装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080516

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080520

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080620

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080902

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080915

R150 Certificate of patent or registration of utility model

Ref document number: 4193883

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111003

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121003

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131003

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees