CN101100743B - 金属有机化合物的化学气相淀积设备 - Google Patents

金属有机化合物的化学气相淀积设备 Download PDF

Info

Publication number
CN101100743B
CN101100743B CN2007101274009A CN200710127400A CN101100743B CN 101100743 B CN101100743 B CN 101100743B CN 2007101274009 A CN2007101274009 A CN 2007101274009A CN 200710127400 A CN200710127400 A CN 200710127400A CN 101100743 B CN101100743 B CN 101100743B
Authority
CN
China
Prior art keywords
flow channel
substrate
downstream side
reactant gas
height
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007101274009A
Other languages
English (en)
Other versions
CN101100743A (zh
Inventor
上野昌纪
上田登志雄
高须贺英良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumitomo Electric Industries Ltd
Original Assignee
Sumitomo Electric Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Electric Industries Ltd filed Critical Sumitomo Electric Industries Ltd
Publication of CN101100743A publication Critical patent/CN101100743A/zh
Application granted granted Critical
Publication of CN101100743B publication Critical patent/CN101100743B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45585Compression of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

金属有机化合物化学气相淀积设备是用于通过使用反应性气体在衬底上形成薄膜的金属有机化合物化学气相淀积设备,并且包括:用于加热该衬底并且具有用于保持该衬底的保持表面的基座;和用于引入反应性气体到该衬底的气流道。具有被保持面对着该气流道的内部的保持表面的该基座是可旋转的,并且沿着反应性气体的流向的该气流道的高度从一个位置到一个位置保持定值,并且从该位置到下游侧是单调递减的。因此能在使得所形成的薄膜具有均匀厚度的同时提高薄膜形成效率。

Description

金属有机化合物的化学气相淀积设备
技术领域
本发明涉及金属有机化合物的化学气相淀积设备,并特别涉及用于形成氮化物半导体层的金属有机化合物的化学气相淀积设备。
背景技术
金属有机化合物的化学气相淀积(MOCVD)方法是典型的化学气相淀积工艺之一,其中III族有机金属在衬底的一个表面被蒸发然后热分解,并与V族气体起反应来形成薄膜。这样的方法能够控制薄膜厚度和成分,并且在生产率方面表现良好,以致于它被广泛地在制造半导体设备中用作薄膜形成技术。
用于该MOCVD方法的MOCVD设备包括一个腔室、配置在该腔室内的基座、和用于允许反应性气体在衬底表面流动的导管。在该MOCVD设备内,通过保持该衬底在该基座上、当使得该腔室成为减压状态时加热该衬底到适当的温度、并且通过导管引入有机金属气体到该衬底的表面来形成一层薄膜。在这时候,为了该形成的薄膜具有均匀的厚度,该MOCVD设备要求该反应性气体均匀地沿着该衬底的表面流动。为了这样做,各种各样的形状的导管已经被计划在该MOCVD设备内。
如传统的MOCVD设备,日本专利特开No.2-291113,例如,公开了具有用于引入反应性气体到衬底之上的引入管的化学气相淀积设备。该引入管具有:提供了一个基座在其中的一个样品保持腔室;具有沿着该衬底的宽度方向长而沿着该衬底的高度方向短且平的截面形状的一个瓶颈部分,并且该瓶颈部分从该衬底的一侧吹反应性气体于该衬底之上;以及导向装置部分,位于该衬底之上并且均匀地覆盖该衬底,在其顶部具有大约等于该瓶颈部分在宽度方向上的宽度的缺口,或朝着该反应性气体下游侧的方向递减的缺口,以便沿着该衬底的表面引导该反应性气体。该基座被固定到该样品保持腔室上,并保持该衬底在反应性气体流的下游侧。
此外,日本专利特开No.6-216030,例如,公开了具有用于引入反应性气体到衬底之上的气流道的化合物半导体化学气相淀积设备。该气流道具有一个锥体,其宽度在沿高度方向上从上游侧到下游侧递减。一基座被固定到该锥体的下部。
此外,日本专利特开平No.2-291114公开了一种化学气相淀积设备,其包括用于保持衬底的旋转基座、和用于引入反应性气体到该衬底的衬管(liner pipe)。该衬管的高度在用于引入反应性气体的整个通道上单调地递减。
该MOCVD设备被要求来提高薄膜形成效率。该基座尺寸扩大不仅能同步的加热多个衬底,而且使形成大直径的衬底成为可能,由此能提高薄膜形成效率。然而,基座的尺寸扩大增大了在该基座上游侧和下游侧之间的距离,导致在该基座的该上游侧和该下游侧之间的反应性气体条件(例如该反应性气体的浓度、温度等等)有显著地差异。结果,出现了形成的薄膜厚度不均匀的问题。同样地,常规意义上,它不可能在容许所形成的薄膜具有均匀厚度的同时提高薄膜形成效率。
发明内容
相应地,本发明的目的是要提供能在容许形成的薄膜具有均匀的薄膜厚度的同时提高薄膜形成效率的MOCVD设备。
根据本发明的MOCVD设备是用于通过使用反应性气体在衬底上形成薄膜的金属有机化合物化学气相淀积设备,并且包括:用于加热该衬底并且具有用于保持该衬底的保持表面的加热元件;和用于引入反应性气体到该衬底的气流道(flow channel)。具有被保持面对着该气流道的内部的保持表面的该加热元件是可旋转的。沿着反应性气体的流向的该气流道的高度从用于保持该衬底在该保持表面的位置的上游侧端部(lateral end)到该保持表面的任意的位置保持恒定,而从该任意的位置到下游侧是单调递减的。
在根据本发明该MOCVD设备中,从该任意的位置到该下游侧,反应性气体的反应速率是递增的。因此可以获得,沿着反应性气体的流向在保持表面的位置和反应气体的反应速率之间的大致线性关系。作为结果,通过旋转该加热元件,可以在该衬底上提供具有均匀厚度的薄膜。此外,不必使该加热元件的上游侧和下游侧的反应性气体反应的条件一致,因此可以扩大该加热元件的尺寸并提高薄膜形成效率。
注意在本申请的说明书中“气流道的高度”的意思是指:在垂直于该加热元件的保持表面的方向上的该气流道内部的空间的长度。
优选地,在该根据本发明MOCVD设备中,该气流道在高度上的单调递减终止于位于该衬底保持位置的下游侧端部向上2%的位置处的下游的一个位置处。
如果从该任意的位置到该下游侧,沿着反应性气体的流向的该气流道的高度是单调递减的,则在接近单调递减终止的位置的下游侧,该反应性气体的反应速率显著地递减。相应地,通过在位于该衬底保持位置的下游侧端部向上2%位置的下游一个位置处终止该单调递减,可以在沿着反应性气体的流向的衬底保持位置和反应性气体的反应速率之间获得线性关系。
注意,在本申请的说明书中“位于该衬底保持位置的下游侧端部向上2%的位置处的下游的一个位置处”意思是指位于沿流动方向的该保持表面的长度上(该保持表面的直径)向上2%的位置。
优选地,在该根据本发明MOCVD设备中,该气流道的高度的单调递减终止于位于该衬底保持位置的下游侧端部的位置和位于该下游侧端部位置的下游侧的位置中的任一处。
因此,在沿着反应性气体的流向的衬底保持位置和反应性气体的反应速率之间,可以获得线性关系。
优选地,在根据本发明的MOCVD设备中,在该保持表面上,沿着宽度方向的该气流道的高度从每个末端部分(end portion)到该保持表面的中心部呈单调递减。
优选地,在根据本发明MOCVD设备中,沿着宽度方向的该气流道的高度以曲形方式单调递减。
相应地,该保持表面的中心部的反应性气体的反应速率是递增的,因此可以在气流道的宽度方向提供均匀的反应速率。
注意,在本申请的说明书中“气流道的宽度方向”意思是指同时垂直于气流道高度的方向和反应性气体的流向的方向。
优选地,在根据本发明MOCVD设备中,该气流道在任意位置的上游侧具有瓶颈部分,并且沿着反应性气体的流向的该气流道高度在该瓶颈部分是一旦递减后就又递增。
因此,在任意位置的上游侧生长速率可以递增到大致与该任意位置下游侧的生长速率相同。作为结果,可以生长一种均匀的薄膜。
结合附图,从本发明的以下详细说明中,本发明的上文及其他目的、特征、方面和优点变得更加显而易见。
附图说明
图1是示出了根据本发明的第一实施例的MOCVD设备的结构的截面图。
图2是示出了图1中基座的周边的平面图。
图3是示出了本发明的第一实施例中沿着反应性气体流向的位置和气流道的高度之间关系的图表。
图4是示出了本发明的比较例1中沿着反应性气体流向的位置和气流道的高度之间关系的图表。
图5是示出了沿着反应性气体的流向,基座的距离上游侧末端的距离和InGaN生长速率之间关系的图表,InGaN层是借助于比较例1的MOCVD设备形成的,其中基座不旋转。
图6是示出了距离基座的中心的距离和InGaN生长速率之间关系的图表,InGaN层是借助于比较例1的MOCVD设备形成的,其中基座旋转。
图7是示出了距离基座的中心的距离和InGaN生长速率之间关系的图表,InGaN层是分别借助于本发明例1、本发明例2和比较例1中的MOCVD设备形成的。
图8是示出了根据本发明的第二实施例的MOCVD设备的气流道的透视图。
图9是图8中沿着IX-IX的截面图。
图10是示出了根据本发明的第二实施例的MOCVD设备的修改的透视图。
图11是示出了本发明的第三实施例中沿着反应性气体流向的位置和气流道的高度之间关系的图表。
图12是示出了本发明的第三实施例中沿着反应性气体流向的位置和气流道的高度之间关系改进的图表。
图13是示出了距离基座的中心的距离和InGaN生长速率之间关系的图表,InGaN层是借助于本发明例1和本发明例3的MOCVD设备形成的。
具体实施方式
以下参考附图描述本发明的实施例。
(第一实施例)
参考图1和2,根据本实施例MOCVD设备1包括腔室3、作为加热元件的基座5、加热器9、和气流道11。基座5、加热器9、和气流道11处于腔室3中。气流道11在图1中横向延伸。基座5的保持表面(图1中的顶面)面对气流道11的内部。
基座5,其具有圆盘形状,放置在也具有圆盘形状的加热器9上。旋转轴13附着于基座的下半部,因此具有面对气流道11的内部的表面的基座5是可旋转的。多个凹形部分7,每个顶视图都是圆形,被形成在基座5的保持表面上。衬底20分别地被保持在凹形部分7中,并且由此衬底20被加热。参考图2,特别地,七个凹形部分7被形成在基座5的保持表面上,并且圆形的衬底20被分别地保持在该凹形部分7中。
气流道11是水平型的,三个分层的流动系统,并且平行于基座5的该保持表面延伸。换句话说,气流道11在上游侧(在图1的左侧)被分成多个气流道11a-11c。气流道11b和11c之间的边界终止在位置A1,而气流道11a和11b之间的边界终止在位置A2。气流道11的宽度从该上游侧到紧靠后述的(图2)位置A3的位置是恒定比率递增的。气流道11a-11c分别具有高度t3、t2和t1。优选气流道11的高度t4在从位置A2到位置A3之内满足t4≥t1+t2+t3。
在MOCVD设备1中,多个衬底20被保持在基座的保持表面上,而基座5被加热器9加热并且旋转。气体G1-G3,其组成反应性气体,分别通过气流道11a-11c被引入。反应性气体流向图1的右边。例如,设想III-V族氮化物半导体层形成的情况。关于气体G1,使用的是吹扫气体诸如氢(H2)气或氮(N2)气。关于气体G2,使用的是包含III族元素诸如三甲基镓(TMG)、三甲基铟(TMI)或三甲基铝(TMA)的有机金属气体和诸如H2气或N2气的输运气体的混合气体。关于气体G3,使用的是包含V族元素的气体诸如氨(NH3)气和诸如H2气或N2气的输运气体的混合气体。当这些气体G1-G3被引入,气体G2和气体G3在位置A1开始混合,并且进一步的在位置A2与吹扫气体混合,以便组成反应性气体G。混合气体G然后被引入到平行于该保持表面的基座5上并且扩散,又通过基座5被加热。包含在混合气体G中的该有机金属气体被加热分解而成为中间反应物,其与氨起化学反应而成为氮化物半导体。结果,在衬底20的表面上形成氮化物半导体层。
在MOCVD设备1中,沿着反应性气体的流向的该气流道11的高度在基座5的该保持表面的一个位置被改变。参考图1-3,在基座5的该保持表面用于保持衬底20的位置的上游侧端部被称为位置A3,而其下游侧端部被称为位置A4。气流道11的高度从位置A2到超过保持表面的位置A3的任意位置S是定值,在从位置S到朝向该下游侧的位置E(图1中示出的高度为t5的部分)是单调递减(线性减小)的,而在位于位置E的下游侧区域(包括位置A4的区域)再一次变成定值。
在图3中,单调递减终止的位置E,是位于位置A4的上游侧。然而,位置E优选地位于A4位置向上2%的一个位置的下游侧,而更加优选地位于与位置A4相同的位置或在位置A4的下游侧上。
本发明的发明人发现,用MOCVD设备1,能提供在允许形成的薄膜具有均匀厚度的同时提高薄膜形成效率。这将在下文描述。
最初,本发明的发明人研制MOCVD设备(比较例1)其包括一个气流道和具有图2所示形状的基座,气流道具有的高度t4在位置A3的上游侧上递减和具有的高度t5从位置A3到位置A4保持定值(t5=t1+t2+t3),如图1和图4的点划线P1所示。这样的MOCVD设备用于形成InGaN层,其是半导体氮化物层,在采用不旋转的基座的衬底表面上。对沿着反应性气体的流向的到基座的上游侧端部的距离和InGaN生长速率之间的关系进行检验。结果显示在图5中。注意图5中的结果是沿着基座在其宽度方向的中心线(图2中线C)获得的,而且通过图5中的箭头显示的范围表示了基座的保持表面上用于保持衬底的位置。为了比较,还示出了一个2英寸衬底被保持在设备中的情况,该设备具有几何相似方式缩小了的基座尺寸和气流道尺寸,因此一个2英寸衬底能被保持在其中。
参考图5,在该基座处保持了七个衬底,在该基座的InGaN生长速率在远离上游侧端部大约40mm的位置(例如基座的开始位置)从0显著地递增,并在远离该上游侧端部大约125mm的位置呈现最大值,然后稳定地递减。该InGaN生长速率在保持一个衬底的情况下通常单调递增。
至于图5中的结果,该生长速率从在远离上游侧端部大约40mm的位置从0显著地增加的理由似乎是,那些反应性气体被传送到该基座上到一定程度,从而启动III族元素和V族元素之间的反应。该生长速率呈现最大值的理由是,随着原料的扩散朝着下游侧的方向推进,生长出边界层,由此浓度梯度是先递增然后变稳定。图5中的结果进一步的表明,当基座不旋转,反应性气体的反应条件在上游侧和下游侧之间有显著地差异,要归因于基座的尺寸扩大。
接下来,本发明的发明人用比较例1中的MOCVD设备以便在随着基座旋转的衬底表面上形成InGaN层。注意那六个衬底是保持在基座上,使得每一个衬底的中心位于距离该基座的中心53mm的位置。检验距离基座的中心的距离和InGaN生长速率之间的关系。结果显示在图6中。为了比较,还示出了一个2英寸衬底保持在能够保持一个2英寸衬底的尺寸的设备中的情况的结果。此外,在该衬底上InGaN生长速率的分布宽度显示在表1中。
(表1)
 
保持六个衬底的情况 保持一个衬底的情况
InGaN生长速率的分布宽度 ±4.3% ±1.8%
参考图6和表1,基座的转动使InGaN生长速率分布较为平均和得到提高。然而,当保持一个衬底时所获得该InGaN生长速率的分布宽度是±1.8%,而当保持六个衬底时所获得InGaN生长速率的分布宽度是±4.3%。这表明了即使当基座在旋转,因为基座的尺寸扩大的缘故所形成的薄膜厚度变得不均匀。它也表明了无论在保持一个衬底的情况下还是在保持六个衬底的情况下,InGaN生长速率在基座的外径侧上是递减的。
设想薄膜是形成在随着基座旋转的衬底上的情况。如果沿着反应性气体的流向该保持表面的位置和反应性气体的反应速率之间的关系是成线性的(成比例关系),可以在该衬底上形成具有均匀厚度的薄膜。换句话说,当该基座旋转时,没有必要使在该基座的上游侧和下游侧的反应性气体的反应的条件均匀化。
本发明的发明人根据图1显示的本实施例制造的MOCVD设备1(本发明的例1),以使得提高图5中在该基座的下游侧的InGaN生长速率并获得沿着反应性气体的流向的保持表面的位置与反应性气体的反应速率之间的近似线性关系。在本发明的例1中,在图5中InGaN生长速率呈现最大值的位置(在125mm的位置)被设定为位置S,在此处开始单调递减。接下来,使用本发明的例1和比较例1中间每一个的MOCVD设备来在随着基座旋转的、保持在该基座上的六个衬底中间每一个的表面上形成InGaN层。检验InGaN生长速率在衬底的分布宽度,并且其结果显示在表2中。
(表2)
 
比较例1 本发明的例1
InGaN生长速率分布宽度 ±4.3% ±0.4%
参考表2,比较例1的InGaN生长速率分布是±4.3%,而本发明的例1的InGaN生长速率分布实现±0.4%的小分布宽度。这表明了,用根据本实施例的MOCVD设备1,即使该基座尺寸扩大并且薄膜是同时地形成在多个衬底上,它也能够使所形成的薄膜具有均匀厚度。
在MOCVD设备1中,在位置E处气流道11的高度单调递减终止,位置E优选地位于位置A4向上2%的位置的下游侧,并且更加优选地位于与位置A4相同的位置或在位置A4的下游侧。因此可以使得沿着反应性气体的流向的衬底保持位置和反应性气体的反应速率之间的关系是成线性的。这将在下文描述。
本发明的发明人检验位置E对生长速率的影响,其中在位置E处气流道11的高度的单调递减终止。具体而言,对于图1中的MOCVD设备,制备了单调递减终止处的位置E位于位置A4的上游侧(本发明的例1)的设备和单调递减终止处的位置E位于与位置A4相同的位置的设备(本发明的例2)。为了比较,制备了比较例1的MOCVD设备。接下来,使用本发明的例1、本发明的例2和比较例1中间每一个的MOCVD设备来在该基座上所保持的六个衬底中的每一个的表面上形成InGaN层。检验到基座的中心的距离和InGaN生长速率之间的关系。结果显示在图7中。注意图7中的结果是沿着在该基座的宽度方向的中心线(图2中的线C)的那些结果。图7中的箭头显示的范围表示了该基座的保持表面上用于保持该衬底的位置。
参考图7,在本发明的例1和2中,从基座的上游侧到下游侧InGaN生长速率近似线性地递增。然而,本发明的例1中线性形状在该图右边的衬底保持位置变形了,而本发明的例2中线性的形状一直保持到衬底保持位置的下游侧端部(位置A4)。上述结果说明,通过设置终止位置E在如位置A4相同的位置或在位置A4下游侧,可以构成沿着反应性气体的流向的保持位置和反应性气体的反应速率之间的线性关系。如果终止位置E位于位置A4向上2%位置的下游侧,也可以获得相似的效果。
在MOCVD设备1中,优选在位置A1和位置A3之间的距离d是至少50mm并且最多100mm,其中位置A1处包含组成该氮化物半导体层的元素的气体被混合。通过设置位置A1距离位置A3至少50mm,在接近位置A3处促进了III族反应性气体和V族反应性气体的扩散,以使得可以提高反应速率。通过设置位置A1离开位置A3最多100mm,能够避免该反应性气体在位置A3的上游侧以其气相起反应。
(第二实施例)
参考图8和9,在根据本实施例的MOCVD设备1中,在基座5的保持表面上,沿着其宽度方向的该气流道11的高度从每个末端部分(endportion)(高度h1)到基座5的该保持表面的中心部(高度h2)呈线性单调递减。如图10所示,在基座5的保持表面上的,沿着其宽度方向的该气流道11的高度从每一末端部分(高度h1)到基座5的该保持表面的中心部(高度h2)呈曲形单调递减。这样导致基座5的保持表面的中心部的反应性气体的反应速率递增,因此气流道11的反应速率在其宽度方向能够变得均匀。
(第三实施例)
参考图11,根据本实施例的气流道11具有接近位置A3的瓶颈部分30。气流道11的高度在瓶颈部分30是先递减、在其局部的最小值保持定值、然后递增。在图11中,气流道11的顶面在瓶颈部分30具有凸形。如图12所示,然而,气流道11的底面在瓶颈部分30可以具有凹形。
用根据本实施例的MOCVD设备,可以提高在位置S上游侧的生长速率并且获得与在位置S的下游侧生长速率大致相同的生长速率。结果,可以生长一种均匀的薄膜。
换句话说,从图7中本发明的例1和2中还看见,在在上游侧和下游侧之间,该保持表面的生长速率显示出三倍以上的差异。当薄膜在基座5旋转的状态生长时,将在衬底20上形成地薄膜交替地以较低速率和较高速率生长。已知的是,通过外延生长构成地薄膜的性质(例如结晶度)取决于生长速率而变换。具有不同的薄膜质量的外延薄膜的交替构造,倾向于生产出一种在薄膜厚度方向具有不均匀的薄膜质量的薄膜。
相应地,在本实施例中,气流道11的高度是在位置S的上游侧先递减,以使得在上游侧的原料扩散能得到促进并且能提高该上游侧的生长速率。此外,气流道11的高度随后增加,以使得抑制由于原料的促进扩散导致生长速率非线性的增加。随后在生长速率饱和的区域,气流道11的高度再一次递减,以使得生长速率的线性增加能够保持。同样地,可以在维持该保持表面生长速率的线性度的同时,减小上游侧和下游侧之间的生长速率差异。
优选气流道11的高度在位置A2和位置A3之间开始递减,然后在位置A3的该上游侧递增。优选气流道11在其宽度方面维持相同的形状。此外,瓶颈部分30的位置可以设置位于位置S上游侧的任何地方。
本发明的发明人检验了在位置S上游侧具有上瓶颈部分30的气流道11的实际效果。具体而言,关于图1中MOCVD设备,制备了其上形成有瓶颈部分30的设备(本发明的例3),如图11所示。使用这样的MOCVD设备来在保持基座上的六个衬底中地每一个的表面上形成InGaN层。检验距离基座的中心的距离和InGaN生长速率之间的关系。结果显示在图13中,与本发明的例1的结果一起。注意图13中的结果是沿着基座在其宽度方向的中心线(图2中线C)获得的,而且通过图13中的箭头显示的范围表示了将衬底保持在基座的保持表面上的位置。
图13说明与本发明的例1比较起来,本发明例3的生长速率在上游侧和下游侧之间具有较小差异。具体地,本发明的例1的生长速率在上游侧和下游侧之间具有大约3.3倍的差异,而本发明的例3的生长速率在上游侧和下游侧之间具有大约2倍的差异.
虽然本发明已经详细地描述和图示,可以清楚的理解,它只作为图示和举例而并不作为限制,本发明的范围和精神仅仅由附属的权利要求的条款来限定。

Claims (6)

1.用于通过使用反应性气体在衬底上形成薄膜的金属有机化合物化学气相淀积设备,包括:
用于加热所述衬底并且具有用于保持所述衬底的保持表面的加热元件;和
用于引入所述反应性气体到所述衬底的气流道,其中
具有被保持面对着所述气流道的内部的保持表面的所述加热元件是可旋转的,
所述气流道的高度在从用于将所述衬底保持在所述保持表面的位置的上游侧端部到所述保持表面的任意的位置保持定值,所述任意的位置位于在所述上游侧端部的下游侧,并且从所述任意的位置到下游侧是单调递减的,
从保持所述衬底的位置的上游侧端部到保持所述衬底的位置的下游侧端部,沿着所述反应性气体的流向的所述气流道在宽度方向上保持相同的形状,
所述气流道至少被分成第一、第二和第三气流道(11a-11c),它们位于保持表面的上游侧并且平行于保持表面延伸;所述第一、第二和第三气流道沿着所述气流道的高度方向依次排列,使得所述第一气流道位于最上面、所述第二和第三气流道(11b,11c)之间的边界终止于位于所述第一和第二气流道(11a,11b)之间的边界终止的位置(A2)的上游的位置(A1),并且
所述第一气流道用于引入吹扫气体,所述第二和第三气流道用于引入含有构成氮化物半导体层的元素的气体。
2.根据权利要求1的金属有机化合物化学气相淀积设备,其中所述气流道的高度的单调递减终止于位于所述衬底保持位置的下游侧端部向上的、沿流动方向的所述保持表面的长度的2%处的位置以下的一个位置处。
3.根据权利要求2的金属有机化合物化学气相淀积设备,其中所述气流道在高度上的单调递减终止于位于所述下游侧端部的位置和位于所述下游侧端部的位置的下游侧的位置中的任一处。
4.根据权利要求1的金属有机化合物化学气相淀积设备,其中,在所述保持表面上,沿着宽度方向的所述气流道的高度从每个末端到所述保持表面的中心部单调递减。
5.根据权利要求4的金属有机化合物化学气相淀积设备,其中沿着宽度方向的所述气流道的高度是以单调递减的曲形的方式。
6.根据权利要求1的金属有机化合物化学气相淀积设备,其中
所述气流道在所述任意位置的上游侧具有瓶颈部分,并且
在所述瓶颈部分,沿着所述反应性气体的流向的所述气流道的高度一旦递减后就又递增。
CN2007101274009A 2006-07-05 2007-07-05 金属有机化合物的化学气相淀积设备 Expired - Fee Related CN101100743B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2006185740A JP4193883B2 (ja) 2006-07-05 2006-07-05 有機金属気相成長装置
JP2006185740 2006-07-05
JP2006-185740 2006-07-05

Publications (2)

Publication Number Publication Date
CN101100743A CN101100743A (zh) 2008-01-09
CN101100743B true CN101100743B (zh) 2011-11-16

Family

ID=38625883

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007101274009A Expired - Fee Related CN101100743B (zh) 2006-07-05 2007-07-05 金属有机化合物的化学气相淀积设备

Country Status (7)

Country Link
US (2) US20080006208A1 (zh)
EP (1) EP1882757B1 (zh)
JP (1) JP4193883B2 (zh)
KR (1) KR100910191B1 (zh)
CN (1) CN101100743B (zh)
CA (1) CA2592747A1 (zh)
TW (1) TWI354322B (zh)

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
CN101802254B (zh) 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP4835666B2 (ja) * 2008-09-10 2011-12-14 住友電気工業株式会社 気相成長方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010232624A (ja) * 2009-02-26 2010-10-14 Japan Pionics Co Ltd Iii族窒化物半導体の気相成長装置
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011249675A (ja) * 2010-05-28 2011-12-08 Showa Denko Kk 半導体発光素子の製造方法
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
TWI565825B (zh) * 2012-06-07 2017-01-11 索泰克公司 沉積系統之氣體注入組件及相關使用方法
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US10047457B2 (en) * 2013-09-16 2018-08-14 Applied Materials, Inc. EPI pre-heat ring
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI654333B (zh) * 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105401130A (zh) * 2014-09-15 2016-03-16 刘祥林 一种热壁金属有机物化学气相沉积设备
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6722518B2 (ja) * 2016-06-09 2020-07-15 新光電気工業株式会社 焼結体及びその製造方法と静電チャック
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR102564228B1 (ko) * 2021-04-29 2023-08-09 주식회사 테스 유기금속화학기상증착장치
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214116B1 (en) * 1998-01-17 2001-04-10 Hanvac Corporation Horizontal reactor for compound semiconductor growth

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4297162A (en) * 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4651673A (en) * 1982-09-02 1987-03-24 At&T Technologies, Inc. CVD apparatus
US4993360A (en) * 1988-03-28 1991-02-19 Kabushiki Kaisha Toshiba Vapor growth apparatus having a diffuser section containing a flow regulating member
JP2818776B2 (ja) 1989-04-29 1998-10-30 豊田合成株式会社 窒化ガリウム系化合物半導体の気相成長装置
JP2733518B2 (ja) * 1989-04-29 1998-03-30 豊田合成株式会社 化合物半導体膜の気相成長装置
JP2722833B2 (ja) * 1991-03-18 1998-03-09 富士通株式会社 気相エピタキシャル成長装置および気相エピタキシャル成長方法
JPH04338636A (ja) * 1991-05-15 1992-11-25 Furukawa Electric Co Ltd:The 半導体気相成長装置
JP3131005B2 (ja) 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
JPH08335558A (ja) 1995-06-08 1996-12-17 Nissin Electric Co Ltd 薄膜気相成長装置
DE69732722T2 (de) * 1996-03-22 2006-02-02 Taiyo Nippon Sanso Corporation CVD Verfahren
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
JP4511006B2 (ja) * 2000-09-01 2010-07-28 独立行政法人理化学研究所 半導体の不純物ドーピング方法
JP2002261021A (ja) * 2001-02-28 2002-09-13 Japan Pionics Co Ltd 気相成長装置及び気相成長方法
TW544775B (en) * 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214116B1 (en) * 1998-01-17 2001-04-10 Hanvac Corporation Horizontal reactor for compound semiconductor growth

Also Published As

Publication number Publication date
JP2008016609A (ja) 2008-01-24
KR20080004404A (ko) 2008-01-09
TWI354322B (en) 2011-12-11
JP4193883B2 (ja) 2008-12-10
TW200818270A (en) 2008-04-16
CA2592747A1 (en) 2008-01-05
US20080006208A1 (en) 2008-01-10
US8906162B2 (en) 2014-12-09
EP1882757B1 (en) 2013-10-02
KR100910191B1 (ko) 2009-07-30
US20120118234A1 (en) 2012-05-17
CN101100743A (zh) 2008-01-09
EP1882757A1 (en) 2008-01-30

Similar Documents

Publication Publication Date Title
CN101100743B (zh) 金属有机化合物的化学气相淀积设备
CN102465333B (zh) 一种立式氢化物气相外延生长系统
CN105441904A (zh) 气体喷淋装置、化学气相沉积装置和方法
CN102482774B (zh) 用于沉积层的cvd反应器和方法
CN103966574A (zh) 气相生长装置及气相生长方法
WO1992005577A1 (fr) Procede et appareil pour former par croissance des cristaux de composes semi-conducteurs
CN104513968B (zh) 气相成膜装置
JP4303966B2 (ja) 特に結晶質皮膜を沈積する方法ならびにその方法を実施する装置
CN106498368A (zh) 一种用于mocvd设备的喷淋头
CN101440479B (zh) 有机金属化学气相沉积反应器
CN105493240B (zh) 产生二元半导体材料磊晶层的方法
US20150000596A1 (en) Mocvd gas diffusion system with gas inlet baffles
CN104603328B (zh) 生长高铝组分氮基化合物半导体的气体分配装置及其生长方法
TW201600634A (zh) 用於mocvd設備的進氣及冷卻裝置
JP6814561B2 (ja) ガス配管システム、化学気相成長装置、成膜方法及びSiCエピタキシャルウェハの製造方法
CN101519772B (zh) 化学气相淀积材料生长设备的反应源进气分配方法与装置
CN101314845B (zh) 半导体材料生长设备的独立mo源管路及应用
CN100357487C (zh) 金属有机物化学气相淀积设备的多层流反应室结构
CN101475150B (zh) 一种改进hvpe传输气流均匀性的装置
Clayton et al. Growth of AlN by vectored flow epitaxy
JP5481415B2 (ja) 気相成長装置、及び気相成長方法
CN217579062U (zh) 气流盖及气相沉积系统
CN117552100A (zh) 一种氧化镓mocvd外延生长装备及生长方法
JP2021100115A (ja) 気相エピタキシー法
JPH0620974A (ja) 気相成長方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20111116

Termination date: 20150705

EXPY Termination of patent right or utility model