JP2005536876A - 減少した転位パイルアップを有する半導体ヘテロ構造および関連した方法 - Google Patents

減少した転位パイルアップを有する半導体ヘテロ構造および関連した方法 Download PDF

Info

Publication number
JP2005536876A
JP2005536876A JP2004529920A JP2004529920A JP2005536876A JP 2005536876 A JP2005536876 A JP 2005536876A JP 2004529920 A JP2004529920 A JP 2004529920A JP 2004529920 A JP2004529920 A JP 2004529920A JP 2005536876 A JP2005536876 A JP 2005536876A
Authority
JP
Japan
Prior art keywords
layer
semiconductor
graded
relaxed
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004529920A
Other languages
English (en)
Other versions
JP2005536876A5 (ja
JP5144002B2 (ja
Inventor
クリストファー レイツ,
クリストファー ビネイス,
リチャード ウェストホフ,
ビッキー ヤン,
マシュー カリー,
Original Assignee
アンバーウェーブ システムズ コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アンバーウェーブ システムズ コーポレイション filed Critical アンバーウェーブ システムズ コーポレイション
Publication of JP2005536876A publication Critical patent/JP2005536876A/ja
Publication of JP2005536876A5 publication Critical patent/JP2005536876A5/ja
Application granted granted Critical
Publication of JP5144002B2 publication Critical patent/JP5144002B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • C30B23/025Epitaxial-layer growth characterised by the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • C30B23/06Heating of the deposition chamber, the substrate or the materials to be evaporated
    • C30B23/066Heating of the material to be evaporated
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • C30B25/183Epitaxial-layer growth characterised by the substrate being provided with a buffer layer, e.g. a lattice matching layer
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/52Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Recrystallisation Techniques (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

組成的に勾配した半導体層における転位パイルアップは、減少もしくは実質的に除かれ、これによって、増加した半導体デバイス歩合および製造性に導く。このことは、組成的に勾配したバッファ層の後に続く成長および緩和の前のスタート層としておよび/または組成的に勾配した層の成長および緩和中の少なくとも1つの中間層としてその表面にわたり実質的に均一に分布する複数のスレッディング転位を有する半導体層を導入することによって達成される。この半導体層は、半導体層の表面に近接して位置するシード層、およびそこに均一的に分布するレッディング転位を有することを含み得る。

Description

本出願は、概して半導体基板に関し、より詳細には、緩和した格子不整合の半導体層を含む基板に関する。
(関連出願のクロスリファレンス)
本出願は、2002年8月23日に出願された米国仮出願第60/405,484の利益および優先権を主張する。
(背景)
マイクロ電子デバイスの増加する動作速度および演算能力は、最近これらのマイクロ電子デバイスにおいてスタート基板として用いられる半導体構造の複雑性および機能性における増加に対する必要性を招いた。シリコンおよびゲルマニウムに基づくこれらの「仮想基板」は、バルクSi基板の上に製造されるデバイスと比較されたとき、高められた性能を示す超大規模集積回路「VLSI」デバイスの新たな生成に対するプラットフォームを提供する。詳細には、新たな技術的進歩は、シリコン−ゲルマニウム合金(以下、「SiGe」または「Si1−XGE」と称す)を用いるヘテロ構造の形成が、電子および正孔移動度を増加するSiの原子構造を変更することによって半導体デバイスの性能をさらに高めることを可能にする。
SiGe仮想基板の重要なコンポーネントは、その平衡格子定数(例えば、Siの平衡格子定数より大きいもの)まで緩和したSiGeヘテロ構造の層である。この緩和したSiGe層は、(例えば、ウエハーボンディングまたは直接エピタキシによって)Si基板に直接的に供給されるか、SiGe材料の格子定数が、層の厚さにわたり徐々に増加された緩和し勾配したSiGeバッファ層の上に供給される。SiGe仮想基板はまた、silicon―on―insulator(SOI)ウエハーの様態で、埋め込み絶縁層を含む。これらのプラットフォームの上に高性能デバイスを製造するために、Si、GeまたはSiGeといった半導体の薄い歪んだ層が緩和したSiGe仮想基板の上に成長する。結果生じる二軸引張りおよび圧縮した歪みは、層におけるキャリア移動度を変えて、高速度および/または低消費電力デバイスの製造を可能にする。SiGeにおけるGeの割合および堆積の方法は、歪んだSi層の特性に劇的な作用を有し得る。ここに参考として援用される特許文献1「Semiconductor Heterostructure Devices with Strained Semiconductor Layers」は、歪んだSiデバイス構造を製造する1つのこうような方法を記載する。
バルクSiの上に緩和したSiGe層をエピタキシャル的に成長させるアプローチは、「Method of Producing Relaxed Silicon Germanium Layers」と題目された非特許文献1に議論され、ここに参考として援用される。方法は、単結晶Si基板を提供することと、それから、850℃を超える温度でSi基板の上のGe成分に対するGeClのソースガスを用いて0.1<X<1の範囲で最終的なGe組成に対して25%Ge/μmより小さい勾配でGe濃度を増加させるように勾配Si1−XGE層をエピタキシャル的に成長させることと、勾配した層の上に半導体材料をエピタキシャル的に成長させることを包含する。
バルクSiの上に緩和したSiGe層をエピタキシャル的に成長させる他の方法は、低エネルギープラズマ強化化学的気相成長(LEPECVD)の方法が開示されるM.Kummer氏らによる「Low Energy plazma enhanced chemical vapor deposition」(Mat.Sci.&Eng.B89,202,pp.288―95)と題目された論文に記載され、ここに参考として援用される。この方法は、高成長率(0.6μm/分)および低温度(500〜700℃)でバルクSiの上にSiGe層の形成を可能にする。
勾配したSiGe層の上に高品質な薄いエピタキシャル歪んだSi層を成長させるために、SiGe層は、好ましくは、平坦化もしくは平滑化されて、最終的な歪んだSi基板における表面粗さを減少させる。化学的機械的研磨(「CMP」)の従来の方法は、一般的に、半導体製造工程において粗さを減少させ、表面の平坦性を向上させるために用いられる。ここに参考として援用される特許文献2「Controlling Threading Dislocations in Ge on Si Using Graded GeSi Layers and Planarization」は、どのように平坦化がSiGeの勾配した層の品質を向上させるために用いられ得るかを記載する。
歪んだSiウエハーを製造するために適した1つの技術は、以下のステップを包含し得る。
1.エッジが研磨されたシリコン基板を提供すること。
2.シリコン基板の上に最終的なGe組成の緩和し勾配したSiGeバッファ層をエピタキシャル的に堆積する。
3.勾配したSiGeバッファ層の上に定組成を有する緩和したSi1−XGEキャップ層をエピタキシャル的に堆積する。
4.例えば、CMPによってSi1−XGEキャップ層および/または緩和し勾配したSiGeバッファ層を平坦化もしくは平滑化する。
5.Si1−XGEキャップ層の平坦化された表面の上に定組成を有する緩和したSi1−XGE再成長層をエピタキシャル的に堆積する。
6.Si1−XGE再成長層の上に歪んだシリコン層をエピタキシャル的に堆積する。
一連の低格子不整合の界面上にわたり歪みを徐々に導入することによって、上記ステップ2に記載されるように、組成的に勾配した層が、一般的な基板の上のひどく格子不整合の単結晶半導体層の統合に向けて実行可能なルートを提供し、かつ、モノリシック統合を介して増加した機能性に向けてルートを提供する。
SiGe層の格子定数は、Siの格子定数より大きく、SiGe合金におけるGeの量の直接関数である。SiGeの勾配したバッファ層がエピタキシャル的に堆積されるとき、それは、始めに、アンダーレイするシリコン基板の内面の格子定数に整合させるために歪まされる。しかし、ある臨界的な厚さを超えると、SiGeの勾配したバッファ層は、その固有的に、より大きい格子定数まで緩和する。緩和工程は、2つの格子不整合層(例えば、Si基板およびSiGeエピタキシャル層(エピ層))の間の界面でのミスフィット転位の形成を介して起こる。転位が結晶内で終了し得ないので、ミスフィット転位は、結晶を介して、ウエハーの上面に到達するように起こり得る各端部で垂直転位セグメントを有する(「スレッディング転位」と称される)。ミスフィットおよびスレッディング転位はともに、それらに関連した応力領域を有する。ここに参考として援用されるEugene Fitzgerald氏らによって、「Journal of Vacuum Science and Technology」B,Vol.10,No.4,1992(以下、「Fitzgerald氏ら」と称す)で説明されるように、ミスフィット転位のネットワークに関連した応力領域は、結晶の表面での局部的エピタキシャル成長率に影響する。成長率における変動は、Siの上に成長する格子不整合の緩和し勾配したSiGeバッファ層の上に表面クロスハッチを招き得く結果になる。
緩和し勾配したSiGeバッファ層の堆積は、SiGeキャップ層の内面格子定数(従って、歪んだシリコン層の歪みの量)のエンジニアリングを可能にし、一方で、転位の導入を減少させる。例えば、Si(001)の上に成長した組成的に勾配したSiGe層は、このようなバッファ層を有しない緩和したSiGe層の直接的な堆積と比較されたとき、スレッディング転位密度(TDD)において少なくとも大きさの3つのオーダーの減少を提供し、結果生じた緩和したSiGe層は、高移動度歪んだチャネル(例えば、歪んだSi)に対して「仮想基板」として働く。ある条件下のミスフィット転位に関連した応力領域は、しかしながら、「転位パイルアップ」と称されるスレッディング転位の線形凝集形成を引き起こし得る。
転位パイルアップは、通常、5×10/cmより大きいスレッディング転位密度およびパイルアップ内およびスリップ方向に沿っての転位の線形密度が2000/cmより大きくなるようにスリップ方向に沿って実質的に整列されたスレッディング転位を有する少なくとも3つのスレッディング転位を含む領域として定義される。例えば、SiGe材料におけるスリップ方向は、内面<110>方向である。
多くの理論が、ミスフィット転位が、結晶のどこで形成されるのかおよびどのプロセスによって形成されるのかに関してミスフィット転位の核生成を説明しようと試みる。これらの理論は、既存の基板転位での形成と、欠陥での異質形成と、同質形成(例えば、欠陥フリーの完璧な結晶領域における形成)とを含む。「Materials Science Reprots」,Vol.7,No.3,1991で発表された論文の中でEugene Fitzgerald氏によって説明され、ここに参考として援用されるように、しかしながら、同質転位形成に対する活性化エネルギが高い過ぎるので、同質転位形成は、起こりそうもない。結晶におけるミスフィット転位が最も起こりそうなソースは、欠陥での異質核生成である。
このようにして、転位パイルアップは、パーティクルからの異質核生成と、ウエハーエッジからの核生成と、表面粗さ(詳細には、特徴的クロスハッチパターンにおける深いトラフ)と、埋め込み転位歪み領域、または厚い組成的に勾配したバッファ層の成長中の追跡できない核生成事象との相互作用を介する転位ブロックとを含むさまざまなメカニズムを介して形成し得る。
(「Journal of Applied Physics」,Vol.81,No.7,1997で発表され、ここに参考として援用される)Srikanth Samavedam氏らによる論文に記載されるように、結晶の特定の領域におけるミスフィット転位の高密度は、高い局部応力領域を有する領域を招く結果になる。この応力領域は、2つの作用を有する。第1に、それは、ミスフィットを滑り過ぎようとする他のスレッディング転位の動きに対して障壁を呈する。他のミスフィット転位の高い応力領域によるスレッディング転位のこのピニングもしくはトラッピングは、ワーク硬化として知られる。第2に、高い応力領域は、その領域における局部的エピタキシャル成長率を大きく減少し得、残りの表面クロスハッチと比較して、表面形態により深い溝を招く結果になる。この表面形態に、より深いトラフはまた、高いミスフィット転位密度(MDD)のその領域を滑り過ぎようとするスレッディング転位を固定し得る。このサイクルは永続し、高密度のトラップされたスレッディング転位(例えば、転位パイルアップ)を有する線形領域を招く結果になる。
このようにして、勾配したバッファアプローチが、大域的なスレッディング転位密度の著しい減少を実証する一方で、ある条件下でのミスフィット転位に関連した応力領域は、半導体へテロ構造における転位パイルアップ欠陥を引き起こし得る。パイルアップ形成の裏側の特定のメカニズムに関わらず、転位パイルアップに存在する高い局部TDDは、これらの領域に形成されるデバイスの歩合に潜在的にひどい影響を有し、これらのデバイスを使用に適さないものにし得る。転位パイルアップの形成を抑制することが、従って所望される。
米国特許第5,442,205号明細書 米国特許第6,107,653号明細書 国際公開第01/22482号パンフレット
既知の半導体構造の限界を克服した緩和した格子不整合の半導体へテロ構造を提供することが本発明の目的である。
本発明のさまざまな局面において、組成的に勾配した半導体層における転位パイルアップは、減少もしくは実質的に除かれ、これによって、増加した半導体デバイス歩合および製造性に導く。このことは、組成的に勾配したバッファ層の続く成長および緩和の前に、スタート層としてまた、オプション的に、勾配した層の成長中の少なくとも1つの中間層としてその表面にわたり実質的に均一に分布する複数のスレッディング転位を有する半導体層を導入することによって達成される。この半導体層は、実質的に均一に分布するスレッディング転位を有する半導体層の表面に近接して位置するいわゆる「シード層」を含み得る。
また、勾配した層における受容され得るTDDおよび転位パイルアップ密度(DPDs)は、シード層の使用を介して、比較的高い濃度勾配(例えば、1μmの厚さにつき25%より大きいGe)で達成され得ることが見出された。ともに、またはさらなる向上として、堆積率(例えば、エピタキシャル成長率)は、高いTDDおよびDPDを防ぐために十分に低く保たれる。結果は、(例えば、米国特許第5,221,413号(以下、「413特許」と称す))に記載されるように)適用材料において、より低い勾配で形成された勾配した層を有する構造より反りの小さい、かつ、より経済的である、より薄い全体的な構造になる。
一般的に、1つの局面において、本発明は、半導体層の表面にわたり実質的に均一に分布する複数のスレッディング転位を有する第1の半導体層と、第1の表面の上に位置する実質的に緩和した組成的に均一なキャップ層を含む半導体構造に関する。1つの実施形態において、組成的に均一なキャップ層の格子定数は、第1の層の格子定数とは異なる。
組成的に均一なキャップ層は、II族要素、III族要素、IV族要素、V族要素およびVI族要素の少なくとも1つ(例えば、シリコンおよびゲルマニウムの少なくとも1つ)を含み得る。1つの実施形態において、組成的に均一なキャップ層の厚さは、およそ10%より大きいゲルマニウムを含む。組成的に均一なキャップ層の厚さは、およそ0.5μm〜およそ3.0μmの範囲にわたり得る。
さまざま実施形態において、半導体構造はまた、組成的に均一なキャップ層の上に位置する歪んだ半導体層を含む。歪んだ半導体層は、引張られ歪まされ得、例えば、引張られ歪んだシリコンまたは引張られ歪んだシリコン―ゲルマニウム合金、もしくは圧縮され歪んだシリコン―ゲルマニウム合金を含み、ならびに圧縮され歪んだゲルマニウムをまたは圧縮され歪んだシリコン―ゲルマニウム合金を含み得る。
また、半導体構造は、組成的に均一なキャップ層と第1の層との間に位置する組成的に勾配した層を含み得る。勾配した層は、II族要素、III族要素、IV族要素、V族要素およびVI族要素の少なくとも1つ(例えば、シリコンおよびゲルマニウムの少なくとも1つ)を含み得る。本発明の1つの実施形態において、勾配した層は、シリコンと、ゲルマニウムとを含み、およそ5%Ge/μmより大きい勾配率を有する。この実施形態の1つの変形において、勾配した層は、およそ50%Ge/μmより小さい勾配率を有する。いくつかの実施形態において、勾配した層は、およそ10%のゲルマニウムより大きい濃度に勾配される。厚さは、およそ0.5μm〜およそ10.0μmの範囲にわたり得る。
本発明の特定の実施形態において、半導体構造の第1の層は、勾配した層の少なくともその後に続く1つの部分より低い局部勾配率を有する勾配した層の初期部分を含む。スレッディング転位は、初期部分において均一に分布する。この実施形態のさまざまな変形において、勾配した層は、シリコンおよびゲルマニウムの少なくとも1つを含む。局部勾配率における差異は、5%Ge/μmであり得、例えば、20%Ge/μmであり得る。この実施形態の1つの変形において、緩和し勾配したバッファ層の初期部分の勾配率は、およそ10%Ge/μmを超えない。他の変形において、初期部分と緩和し勾配した層の少なくとも続く1つの部分の間の界面でのGe含量における不連続性は、およそ10%Geを超えなく、例えば、およそ5%Geを超えない。
さまざまな実施形態において、第1の半導体層は、第1の層の表面に近接して位置するシード層を含む。スレッディング転位は、シード層に均一に分布し得る。シード層は、少なくとも部分的に緩和され得、組成的に均一であり得るか組成的に勾配しているかのいずれかであり得る。さらに、シード層の厚さは、その平衡臨界厚さの2倍を超え得る。いくつかの変形において、シード層の厚さは、その平衡臨界厚さのおよそ5倍より小さい。シード層の少なくとも一部分は、およそ850℃(例えば、1000℃より高い温度)の成長温度成長によって形成され得る。シード層の厚さは、およそ10nm〜およそ1000nm、例えば、およそ30nm〜およそ300nmの範囲にわたり得る。
本発明のさまざまな実施形態に従ったキャップ層は、およそ1/cmより小さい、例えば、0.01/cmより小さいDPDと、およそ5×10/cmより小さいTDDとを有し得る。
本発明のこの実施形態のいくつかの変形において、半導体構造はまた、組成的に均一なキャップ層とシード層との間に堆積する組成的に勾配した層を含む。勾配した層の少なくとも1つおよびシード層は、シリコンおよびゲルマニウムの少なくとも1つを含み得る。1つの特徴に従って、勾配した層におけるゲルマニウムの濃度は、シード層と勾配した層との間の界面でのシード層におけるゲルマニウムの濃度とは異なる。詳細には、シード層と勾配した層との間の界面でのゲルマニウム濃度における不連続性は、およそ2%〜50%Ge、例えば、およそ5%〜15%Geの範囲にわたり得る。1つの実施形態において、半導体構造はまた、勾配層内に位置する少なくとも1つの中間シード層を含む。
いくつかの実施形態において、半導体構造はまた、組成的に均一なキャップ層とシード層との間に位置する組成的に均一なバッファ層を含む。バッファ層は、シリコンを含み得る。この実施形態のいくつかの変形において、バッファ層およびシード層の少なくとも1つは、シリコンとゲルマニウムとを含む。バッファ層におけるゲルマニウムの濃度は、シード層とバッファ層との間の界面でのシード層におけるゲルマニウムの濃度とは異なり得る。シード層とバッファ層との間の界面でのゲルマニウム濃度のおける不連続性は、およそ2%〜50%Ge、例えば、およそ5%〜15%Geの範囲にわたり得る。
一般的に、他の局面において、本発明は、減少したスレッディング転位パイルアップを有する半導体構造を製造する方法を特徴とする。方法は、半導体層の表面にわたり実質的に均一に分布する複数のスレッディング転位を有する第1の半導体層を提供し、第1の半導体層の表面の上に実質的に緩和した、および組成的に均一なキャップ層を形成するステップを包含する。第1の半導体層は、少なくとも1つのキャップ層における転位パイルアップの形成を抑制する。
いくつかの実施形態において、組成的に均一なキャップ層の格子定数は、第1の層の格子定数とは異なる。他の実施形態において、方法は、キャップ層を形成する前に、第1の層の上に組成的に均一なバッファ層を形成するステップをさらに包含する。また、本発明の実施形態に従って第1の半導体層を提供するステップは、例えば、シード層の平衡臨界厚さの2倍〜5倍の範囲の厚さまでシード層を成長させることによって半導体構造の上に少なくとも部分的に緩和したシード層を包含し得る。方法はまた、シード層の堆積温度より高い温度でシード層をアニールするステップを包含し得る。
いくつかの実施形態において、第1の半導体層を提供するステップは、種を第1の半導体層に埋め込むことを包含する。第1の半導体層と同様に種は、シリコンを含み得る。
また他の実施形態において、第1の半導体層を提供するステップは、semiconductor―on―insulator基板を提供することを含む。あるいは、第1の層を提供するステップは、スレッディング転位の実質的に均一な分布を有するシリコン基板を提供することを含み得る。シリコン基板におけるスレッディング転位の密度は、およそ10/cmを超え得る。シリコン基板の平均表面粗さは、1Åより大きく、例えば、5Åより大きくなり得る。
いくつかの実施形態において、本発明の方法はまた、キャップ層を形成する前に組成的に勾配した層を形成するステップ、またオプション的に組成的に勾配したバッファ層内に少なくとも1つのシード層を形成するステップを含む。
また他の局面において、本発明は、基板の上に緩和し勾配した半導体層を形成する方法を特徴とする。本発明のこの局面に従った方法は、第1の半導体層を提供し、0%より大きく100%までの範囲にわたるゲルマニウム含量を有する最終的な組成に対して、およそ25%Ge/μmを超える勾配でゲルマニウム含量を増加するように、シリコンおよびゲルマニウムの少なくとも1つを含み、かつ、およそ10/cmを超えないスレッディング転位密度を含む緩和勾配層を第1の半導体層の上にエピタキシャル的に成長させるステップを包含する。
本発明のこの局面は、以下の特徴を含み得る。勾配層は、およそ20/cmを超えない例えば、1/cmを超えない、またはさらなる例として、0.01/cmを超えない転位パイルアップ密度を有し得る。勾配率は、少なくとも30%Ge/μm例えば、少なくとも40%Ge/μmであり得る。エピタキシャル成長は、900℃〜1200℃の範囲にわたる温度および1nm/sより速い速度で起こり得る。緩和し勾配した層は、0.1μm〜0.4μmの範囲におよぶ厚さを含み得る。
1つの実施形態において、第1の半導体層は、半導体層の表面にわたり実質的に均一に分布する複数複数のスレッディング転位を有し、方法は、第1の層の表面の上に組成的に均一なキャップ層を提供するステップをさらに包含する。キャップ層は、実質的に緩和しており、緩和し勾配した層は、キャップ層の上に成長する。
さらなる他の局面において、本発明は、第1の半導体層と、第1の半導体層の上に、シリコンおよびゲルマニウムを含む緩和し勾配したエピタキシャル層を含む半導体構造であって、0%より大きく100%までの範囲にわたるゲルマニウム含量を有する最終的な組成に対して、およそ25%Ge/μmを超える勾配でゲルマニウム含量を増加するように勾配される半導体構造を特徴とする。構造は、10/cmを超えないスレッディング転位密度を有する。この構造における転位パイルアップ密度は、1/cm(例えば、0.01/cm)を超えない。
本発明のさらなる他の局面において、半導体構造は、基板の上に位置する組成的に勾配した層と、組成的に勾配した層の上に位置する実質的に緩和された組成的に均一なキャップ層とを含む1つの半導体構造を含む。キャップ層は、1/cm、例えば、0.01/cmより小さい転位パイルアップの密度を有する。
本発明のさらなる局面において、半導体構造は、第1の半導体層の表面にわたり実質的に均一に分布する複数のスレッディング転位を有する第1の半導体層と、第1層の表面の上に位置する組成的に均一なキャップ層であって、実質的に緩和したキャップ層と、緩和したキャップ層の上に位置するp型金属酸化膜半導体(PMOS)トランジスタまたはn型金属酸化膜半導体(NMOS)トランジスタのいずれか(またはその両方)とを含む。
PMOSトランジスタは、緩和したキャップ層の一部分の上に位置するゲート誘電体部分とゲート誘電体部分の上に位置するゲートとを含み得る。ゲートは伝導層を含み、p型ドーパントを含むソースおよびドレインがゲート誘電体部分に近接して位置する。
NMOSトランジスタは、緩和したキャップ層の一部分の上に位置するゲート誘電体部分とゲート誘電体部分の上に位置するゲートとを含み得る。ゲートは伝導層を含み、n型ドーパントを含むソースおよびドレインがゲート誘電体部分に近接して位置する。
図面において、同様の参照特性は、通常、異なる図面でも同じ部分を示す。また、図面は、必ずしも一定の比例で拡大するのではなく、強調は、代わりに本発明の原則に置かれる。以下の記載において、本発明のさまざまな実施形態は、以下の図面を参照して記載される。
本発明のさまざまな実施形態に従って、半導体層の表面にわたり均一に分布された複数のスレッディング転位を有する半導体層が、組成的に勾配したバッファ層の後に続く成長および緩和の前のスタート層として用いられ、またオプション的に、勾配層の成長中の少なくとも1つの中間層として用いられる。
図1を参照して、本発明の実施形態に従った半導体構造50は、基板100を含む。本発明とともに用いられるために適した基板100は、例えば、SiOまたはシリコン―ゲルマニウム合金といった基板の上に堆積するシリコンといった半導体を含む。1つの実施形態において、集団的に層110と称されるいくつかの半導体層は、基板100の上にエピタキシャル的に成長する。この実施形態において、層110および基板100は、ともに「仮想基板」と称される。
エピタキシャル的に成長した層110は、(これらに限定されないが)常圧化CVD(APCVD)と、減圧CVD(LPCVD)と、超高真空CVD(UHCVD)とを含む任意に適したエピタキシャル堆積システムにおいて、またはモレキュラービームエピタキシーによって成長し得る。エピタキシャル成長システムは、単一のウエハーまたは複数のウエハーバッチ反応器であり得る。成長システムはまた、低エネルギープラズマ利用して、層成長速度を高め得る。
製造用途においてボリュームエピタキシーに対して一般的に用いられる適したCVDシステムは、例えば、Applied Material(Santa Clara,CA)から利用可能なEPI CENTURA単一ウエハー複数チャンバシステム、またはASM International(The Netherlands,Bilthoven)から利用可能なEPSILON単一ウエハーエピタキシャル反応器を含む。
CVDプロセスにおいて、エピタキシャル成長を得ることは、一般的にソースガスをチャンバに導入することを伴う。ソースガスは、少なくとも1つの前駆体と、例えば、水素といったキャリアガスとを含み得る。層がSiから形成される本発明のこれらの実施形態において、例えば、シラン、ジシラン、トリシランまたはジクロシラン(DCS)、トリクロシラン(TCS)、もしくはシリコンテトラクロライトがといったシリコン前駆ガスが用いられ得る。逆に言えば、層がGeから形成される本発明のこれらの実施形態において、例えば、ゲルマン(GeH)、ジゲルマン(digermane)、ゲルマニウムテトラクロライド、またはジクロロゲルマン(dichlorogermane)、もしくは他のGeを含む前駆体といったゲルマニウム前駆ガスが用いられ得る。最後に、SiGe合金から層が形成される本発明のこれらの実施形態において、さまざまな比率でシリコンとゲルマニウム前駆ガスの組み合わせが用いられる。
本発明のさまざまな実施形態において、以下に詳細に記載される組成的に勾配したまたは組成的に均一なシード層120は、基板100の上にエピタキシャル的に堆積する。また、以下に詳細に記載されるように、組成的に均一なバッファ層130は、シード層120の上に堆積し得る。
依然図1を参照して、緩和されて組成的に勾配した層140は、ここに記載されるパラメータに従って基板100(および、存在するならば、層120および/または層130)の上にエピタキシャル的に堆積する。緩和し勾配した層140は、例えば、勾配比が1μmの厚さにつきおよそ5%より大きいGe、通常、5%Ge/μmより大きく100%Ge/μmまでであり、好ましくは、5%Ge/μmと50%Ge/μmとの間であり、最終的なGe含量は、およそ10%Ge/μmと100%Ge/μmとの間であるSiとGeとを含み得る。緩和し勾配した層の全体的な勾配比は、通常、層の全体の厚さに対するGe含量の全体の変化の比率として定義される一方で、勾配した層の一部分内の「局部勾配比」は、全体的な勾配比とは異なり得る。例えば、0%Geから10%Geに勾配された1μm領域を含む勾配した層(10%Ge/μmの局部勾配比)および10%Geから30%Geに勾配された1μm領域を含む勾配した層(20%Ge/μmの局部勾配比)は、15%Ge/μmの全体的な勾配比を有する。このようにして、緩和し勾配した層は、必ずしも線形的プロファイルを有し得ないが、異なる局部勾配比を有するより小さい領域を含み得る。
層140における組成的な勾配は、例えば、線形的な勾配またはステップ的な勾配のいずれかによって達成され得、この場合、Ge組成において別々のステップが取られる(例えば、10%Ge/μmの最終的な勾配比に対する2%Geの200nmの急上昇)。受容され得るTDDおよびDPDを有して達成され得る最大勾配比は、通常、層の厚さおよびソースガスの使用を最小化するために好まれる。受容され得るTDDレベルは、一般的に10/cmより小さく、好ましくは、5×10/cm未満である一方で、受容され得るDPDレベルは、一般的に、20/cmより小さく、好ましくは、0/cm〜5/cmの間である。例えば、SiとGeの場合には、30%〜50%Ge/μmの範囲である勾配比が好都合であると見出された。欠陥密度は、「Journal of the Electrochemical Society」126:479(1979)に概要されるような標準クロム酸ベースのSchimmelエッチングおよび微分干渉コントラスト(Nomarski)モードで動作する光学顕微鏡を用いて測定される。スレッディング転位密度は、転位パイルアップから離れて位置する1領域につきエッチピットの数を数えることによって計算され、(cm−2)の単位の結果になる。転位パイルアップ密度は、1領域につき転位パイルアップの全体的な長さを測定することによって計算され、(cm−1)の単位の結果になる。欠陥密度はまた、好ましくは平面送信電子顕微鏡といった補完特性技術を用いて確認され得る。
本発明に従った緩和し勾配した層140の厚さは、例えば、およそ0.1μm〜およそ10μmにわたり得、好ましくは、およそ0.5μとおよそ4μmの間である。追加的に、勾配した層140は、例えば、600℃〜1200℃の範囲の温度で成長し得る。例えば、900℃を超える、より高い成長温度は、より速い成長率を可能にする一方で、スレッディング転位の核生成を最小化するために好まれる。
組成的に均一な(例えば、定組成)緩和したキャップ層150は、一般的に勾配した層140の上に位置する。キャップ層150は、例えば、1〜100%Ge、好ましくは10%〜90%Ge、および、例えば、0.2μm〜3μm、好ましくは0.5μmより大きい厚さを含む均一な組成を有するSi1−XGEを含む。定組成の緩和したキャップ層150および/または勾配した層140は、表面粗さの減少のために平坦化または平滑化にされ得、またはされ得ない。平坦化または平滑化は、他の技術も同様に受容され得るが、例えば、CMPまたはインサイツ(in situ)エピタキシーベースの方法によって達成され得る。平坦化または平滑化処理の使用は、1nm未満の表面粗さを有する緩和したキャップ層150の結果になり得る。代替の実施形態において、緩和したキャップ層150は、勾配した層140を持たないで、基板100の上に直接的に形成され得る。
半導体材料を含む圧縮され歪んだ層160は、緩和したキャップ層150の上に位置し得る。1つの実施形態において、圧縮され歪んだ層160は、緩和した(Si1−yGE)キャップ層のGe含量(x)より高いGe含量(y)を有するSi1−XGEといったIV族要素を含む。圧縮され歪んだ層160は、例えば、1%〜100%Ge、好ましくは40%より大きいGeを含み得、例えば、10Å〜500Åの厚さ、好ましくは200Å未満の厚さを有し得る。いくつかの実施形態において、圧縮され歪んだ層160は、少なくとも1つIII族要素とV族要素、例えば、インジウムガリウムヒ素、インジウムガリウムリンまたはガリウムヒ素とを含む。代替の実施形態において、圧縮され歪んだ層160は、少なくとも1つII族要素とVI族要素、例えば、ジンクセレン、ジンクサルファ、カドミウムテルルまたは水銀テルルとを含む。
引張られ歪んだ層170は、圧縮され歪んだ層160の上に位置し得、圧縮され歪んだ層160と界面を共有する。他の実施形態において、引張られ歪んだ層170は、圧縮され歪んだ層160の下に位置する。あるいは、他の別の実施形態おいて、圧縮され歪んだ層160がなく、代わりに、引張られ歪んだ層170が、緩和したキャップ層150の上に位置し、緩和したキャップ層150と界面を共有する。また別の実施形態において、緩和した定組成の再成長層(図示されず)は、緩和したキャップ層の上に位置し、緩和したキャップと界面を共有する。また、引張られ歪んだ層が定組成の再成長層の上に位置し、定組成の再成長層と界面を共有する。再成長層は、例えば、1%〜100%Ge、および例えば、0.01μm〜2μmの厚さ有しする均一な組成を有するSi1−XGEを含み得る。
1つの実施形態において、引張られ歪んだ層170は、シリコンから形成される。この実施形態において、引張られ歪んだ層170は、Geソースガスに露出していない堆積ツールの専用のチャンバ内に形成され得、これによって2次汚染を回避し、引張られ歪んだ層170と緩和したキャップ層150または圧縮され歪んだ層160との間の界面の品質を向上させる。さらに、引張られ歪んだ層170は、同位体的純粋シリコン前駆体から形成され得る。同位体的純粋シリコンSiは、従来のSiより良い熱伝導率を有する。より高い熱伝導率は、引張られ歪んだ層170の上に続いて形成されるデバイスから熱を分散することを補助し得、これによって、引張られ歪んだ層170によって提供される高められたキャリア移動度を維持する。
他の実施形態において、引張られ歪んだ層170は、SiGeまたは、II族要素、III族要素、V族要素およびVI族要素の少なくとも1つから形成される。1つの実施形態において、引張られ歪んだ層は、例えば、50Å〜500Åの厚さ、好ましくは、300Å未満の厚さを有するシリコンである。
上述されるように、本発明に従って、組成的に勾配した半導体層140および緩和したキャップ層150における転位パイルアップは、組成的に勾配した層の続く成長および緩和の前にスタート層として、またオプション的に、勾配した層の成長中に、少なくとも1つの中間層として半導体層の表面にわたり実質的に均一に分布する複数のスレッディング転位を有する半導体層を導入することによって減少または実質的に除かれる。依然図1を参照して、1つの実施形態において、いわゆる「シード層」120は、半導体基板100の表面に近接して位置する。
図2は、図1と参照して記載される半導体構造の1つの実施形態を示す。この実施形態において、この構造は、SiGe材料を含み、シード層120を含む。図2を参照して、Ge濃度は、半導体デバイスの断面概略図に対してプロットされる。明確にするために、層(100〜150)の厚さは、歪ませられた。
本質的に、シード層120は、部分的または全面的に緩和した層にわたり実質的に均一に分布するスレッディング転位と、それ自身とその後に続いて堆積される層の少なくとも1つ層との間の組成における不連続性とを有する部分的または全面的に緩和した層を含む。組成における不連続性は、正か負のいずれかであり得る。
シード層120は、スレッディング転位の実質的に均一に分布に導く。その結果、領域におけるスレッディング転位の密度は、ウエハーにわたる密度からの大きさの1つオーダーより大きく異ならない。さらに、シード層から生じるスレッディング転位の密度は、100/cm大きくなり得る。
本発明のいくつかの実施形態において、SiGe材料システムに対して、シード層120は、SiGe勾配した層が堆積されるSi基板の上のその臨界厚さを超えて成長するSi1−yGEであり得る。図2に示される実施形態において、シード層120は、均一な組成を有する。代替の実施形態において、シード層120の少なくとも一部分は、組成的に勾配している。
シード層は、例えば、10nm〜100nmの厚さであり得る。特定の実施形態において、シード層120の厚さは、およそ30nm〜およそ300nmの範囲にわたる。シード層120は、2%〜50%Ge、好ましくはおよそ5%〜15%Geの範囲にわたるGe不連続性を含む。
シード層120は、任意のいくつかのメカニズムを介するその後に続く転位パイルアップ形成を防ぐ。例えば、シード層120は、部分的または全面的に緩和しているので、この層におけるスレッディング転位密度は、実質的に均質(すなわち均一)に分布する。緩和処理は、その後に続いて堆積する勾配したバッファ層全体にわたり再利用され得るスレッディング転位の任意に分布するアレイを供給し、不均質な転位分布が、成長中に形成し、転位パイルアップに導くことを防ぐ。同様に、シード層は、勾配したバッファが堆積する、より大きい格子定数材料を形成するので、シード層の上に成長する勾配したバッファは、最終的には、格子不整合をより小さく緩和する必要がある。このことは、スレッディング転位の不均質な分布の核生成、最終的には、転位パイルアップに導き得る勾配したバッファ成長中に、歪みの成長を防ぐことを助ける。次に、シード層における残余の圧縮歪みはまた、転位の動きを促進する有効的な応力を増加し得、より高い滑り速度に導き、従って、より効率的な歪んだ緩和に導く。
追加的に、Eugene Fitzgerald氏らによって記載されるように、層緩和中に、転位を核生成するために活性化される場所の数は、成長温度と層歪みとの積の関数である。シード層は、緩和前に高い固有の歪みを有するので、これは、(ウエハーにわたり実質的に均一に分布する)多くの場所が、層緩和中に転位を形成するために活性化されることを意味する。このようにして、転位パイルアップ(つまり、緩和中に転位形成に対する2、3個だけの局部場所活性化)を促進するコンディションが回避されて、転位パイルアップの密度を減少させる。
最後に、シード層120はまた、滑りスレッディング転位を閉じ込めるように知られる形態的な特徴を相殺する表面形態を提供し、これによって、転位パイルアップに導く。例えば、部分的または全面的に緩和したシード層の形態は、クロスハッチパターンにおける深いトラフの形成に逆らい得、成長中に、より平面的な表面、およびより少ない転位ブロック事象に導く。これらのシード層とともに成長する勾配したバッファのいくつかの異なる実施形態は、以下に説明される。
図1、2を参照して、定組成なバッファ層130は、シード層120の上に位置する。バッファ層130は、組成的に勾配したバッファ層の後に続く成長の前にスレッディング転位の実質的に均一な分布を促進する。バッファ層130は、シード層120より低いGe濃度を有し得、いくつかの実施形態において、0%Geであり得る。いくつかの実施形態において、層120と層130との間の界面は、より低いGe組成またはより高いGe濃度のいずれかに、Ge濃度における突然の増加をさらに示し得る。代替の実施形態において、定組成のバッファ層130がない場合があり得、代わりに勾配層140は、シード層120の上に位置し得、シード層120と界面を共有する。
上述されるように、シード層120は、部分的または全面的に歪んだ緩和を受けるべきであり、シード層120におけるスレッディング転位の分布に導く。均一な組成のシード層に対して、2つのレジームが利用され得る。以下のより詳細に記載されるように高い格子ミスフィットの薄い層または低い格子ミスフィットの厚い層である。また、いくつかの実施形態において、シード層120は、堆積温度より高い温度でアニールされ得、他の層の後に続く成長の前にさらなる緩和を促進する。
図3は、シード層不整合および厚さ変動を有する転位パイルアップ密度の定性的評価示す。シード層の厚さは、各層の臨界厚さ(Tcrit)によって表される。臨界厚さは、完全的に歪んだ層が平衡である最大厚さを定義する。臨界厚さを超えると、平衡での膜が部分的または全面的に歪んだ緩和を受ける。臨界厚さは、基板に対する膜の格子不整合(f)に依存し、従って、膜とアンダーレイする層xとの間のGe含量における不連続性に依存し、また(ここに参考して援用されるD.Houghtonの「Journal of Applied Physics」,15 August 1991,2136−2151によって提供された)以下の等式よって記載される。
crit=(0.55/x)ln(10Tcrit
ここで、Tcritの単位は、ナノメートル(nm)である。
このようにして、一般的に、臨界厚さは、Ge含量xにおける差異が減少するとき、増加する。低いGe含量の不連続性または同等に、低い格子不整合(例えば、x<0.1に対して、0.4%未満の格子不整合が対応する)に対して、臨界厚さは、比較的大きく(例えば、30nmより大きく)、緩和は、臨界厚さが超えられるとき、厚さの関数として比較的ゆっくりと進む。高いGe含量の不連続性または同等に、高い格子不整合(例えば、x>0.1に対して、0.4%より大きい格子不整合が対応する)に対して、臨界厚さは、比較的小さく(例えば、30nmより大きく)、緩和は、臨界厚さが超えられるとき、厚さの関数として比較的早くと進む。本発明のいくつかの実施形態において、Geの不連続性は正であり、例えば、シード層120は、隣接した層より大きいGe含量を含む。他の実施形態において、Geの不連続性は負であり、例えば、シード層120は、隣接した層より小さいGe含量を含む。
このようにして、部分的または全面的に歪み緩和のコンディションは、不整合膜を平衡に導くために必要とされる緩和の量によって制御され、かつ、部分的または全面的歪み緩和のコンディションは、格子不整合の関数である。速度限界は、低温度での平衡歪み緩和を抑制し得る。しかし、図3に記載されるシード層は、一般的に、成長中に平衡歪み緩和を確実にするために十分高い温度である1000℃より高い温度で一般的成長し得るので、Tcritより大きい層は、上記に概要される基準に従って歪み緩和を受ける。一般的に、850℃より高い温度に成長する任意のSiGe層は、平衡歪み緩和を受けるべきである。
図3において参照される実施形態は、0.5μmの均一な組成のSi0.98Ge0.02バッファ層と、定組成のバッファ層130と組成的に勾配した層150との界面での4%Geに対するGe組成においてステップを有するSi0.8Ge0.2へのステップ勾配を介して成長する組成的に勾配した層140と、2μmの均一な組成Si0.8Ge0.2キャップ層とを特徴とする。
依然図3を参照して、さまざまな実施形態において、シード層120は、隣接した層に対して比較的低い格子不整合を有し、平衡歪み緩和を確実にするために十分高い温度で、その臨界厚さの数倍大きく成長する。1つの実施形態において、シード層120は、好ましくは、その臨界厚さの2倍より大きくおよそ5倍まで成長する。1つの例において、Si0.94Ge0.06を含むシード層120が、1075℃で、Si基板の上のその臨界厚さの5倍まで成長する。もちろん、この特定の実施形態は、例示的にすぎず、これに限定されると解釈されるべきではない。なぜなら、成長において他の要因が全体的なパイルアップ密度に影響するからである。例のために、図2を参照して、組成的に勾配した層140の勾配率または定組成のバッファ層130の厚さおよび組成もまた、全体的なパイルアップ密度に影響する。シード層120の包含は、(シード層を有しない同一のサンプルと比較して)ウエハーの中央において、DPDを1〜50/cmから1/cm未満に減少し、ウエハーのエッジで、DPDを5〜70/cmから1/cm未満に減少し得る。下記に記載されるそれらを含むいくつかの実施形態において、シード層120の包含は、ウエハーの中央およびウエハーのエッジの両方において、DPDを<0.01に減少し得る。
図4を参照して、他の実施形態において、上述されるように、シード層それ自身は、組成的な勾配を含み得る。これらの実施形態において、シード層に対する通常の設計要求は、上述されるように同一である。つまり、全体のシード層構造は、部分的または全体的な歪み緩和を受ける。図4に示されるように、半導体構造は、勾配シード層420と、定組成のバッファ層430と、組成的に勾配した層440と、均一な組成のキャップ層450とを含む。構造はまた、定組成のバッファ層430と組成的に勾配したバッファ層440との間のGe組成において不連続性435を含む。Ge含量は、およそ0%まで低下し、それから、突然およそ4%のGeまで上昇する。
他の実施形態において、1つ以上のシード層は、組成的に勾配した層の内に含まれ得る。図5を参照して、1の実施形態において、基板500、複数のシード層520、勾配した層540、緩和したキャップ層550におけるGe含量が、半導体デバイスの断面概略図に対してプロットされる。明確にするために、層(500〜550)の厚さは、歪ませられた。図5に示されるように、勾配したバッファ540は、複数のシード層520を含む。シード層(520a、520b、520c、520d、520e)は、ステップ勾配を介して成長する組成的に勾配したバッファ層540における別々の段階の間に挿入される。全体の構造は、それから、均一に組成したキャップ層550を有して終了する。この実施形態において、複数のシード層の使用は、全体の構造に全体にわたりミスフィット転位の均一な分布を有利に促進し、これによって、単一のシード層を有する実施形態と比較してDPDをよりさらに減少し得る。追加的に、図5は、Ge濃度において正の不連続性を有する複数のシード層520を示すが、任意の複数のシード層520は、隣接した層と比較してGe濃度において負の不連続性を含み得る。
先述の例にも関わらず、本発明は、これに限定されないが、(例えば、シラン、ジクロロシラン、トリクロロシラン、シリコンテトラクロライド、ゲルマニウムテトラクロライドおよび他のゲルマニウムハライドといった)前駆ソースガスまたは液体の任意の組み合わせと、任意の成長圧力と、任意の成長温度と、任意の層成長率と、任意の勾配バッファ層の勾配率とを含むエピタキシャル成長コンディションの広範囲に適用されることが強調される。
DPDを減少する他の方法が、本発明の範囲から逸脱することなくシード層の導入の代わりに用いられ得る。詳細には、本発明のいくつかの実施形態において、実質的に均一に分布するスレッディング転位が、異なるシード層の成長を介する方法とは異なる方法によって半導体基板の上に形成され得る。例えば、1つの実施形態において、勾配した層の成長は、所定の態様で制御され、その結果、緩和し勾配した配層の初期部分は、少なくとも1つのその後に続く部分より低い局部勾配した層を有する。この初期部分のより均一な緩和は、それから、勾配した層の後に続く副層の成長および緩和の前にスレッディング転位の実質的に均一な分布を提供し得る。この実施形態の1つの変形において、局部勾配率における差異は、5%Ge/μmより大きくなり得る。他の変形において、局部勾配率における差異は、20%Ge/μmより大きくなり得る。この実施形態の特定の変形において、緩和し勾配したバッファ層の初期部分の勾配率は、10%Ge/μm未満になり得る。また、緩和し勾配したバッファ層の初期部分と続く部分との間の界面でのGe含量における不連続性は、10%Ge未満、例えば、5%Ge未満であり得る。
また別の実施形態において、上述されるように、勾配した層の初期部分におけるスレッディング転位の実質的に均一な分布を有する勾配した層の使用は、図5に示されるように、緩和し勾配したバッファ層の成長中に、1つ以上のシード層の使用を補完する。
またさらに別の実施形態において、半導体基板は、既存のスレッディング転位を有するバルク半導体結晶からカットされ得る(例えば、Siの大きい円柱状のブールからカットされるSiウエハー)。これらのスレッディング転位は、好ましくは、格子不整合材料の続くエピタキシ中に滑走し得る転位(例えば、当業者によって認識されるSi、SiGeおよび他のダイヤモンドキューブの半導体における格子不整合歪みを緩和する60°転位)である。他の技術もまた、半導体基板の上にスレッディング転位の実質的に均一な分布を導入するために用いられ得る。1つの実施形態において、基盤は、イオン埋め込みによってダメージを受ける。この埋め込みは、基板表面にわたり実質的に均一に分布する転位を形成し得る。例えば、Si、Ge、BF2、As、希ガスまたはその他のものといった種の埋め込みは、十分な格子ダメージを招く結果になり得、その結果転位が形成する。別の実施形態において、粗い表面を有する基盤が用いられ得る。これは、鏡面平滑化(この分野で代表的であるSi基板対して一般的である1〜2Å未満の粗さより大きい残りの表面粗さレベル)の最終的な研磨ステップをまだ受けていない基板、例えば、Siであり得る。あるいは、平滑Si基板は、物理的または化学的処理(例えば、物理的な荒削り、エッチング、酸化、および表面酸化物の除去等)によって粗くされ得る。このような粗い基板の上の格子不整合層、例えば、組成的に勾配したバッファ層の成長は、ウエハーの表面にわたりスレッディング転位の実質的に均一な核生成の招くこの実施形態の1つの変形において、局部勾配率における差異は、20%Ge/μmより大きくなり得る。結果に得る。これは、基板の上の比較的少ない不均質な場所(例えば、表面パーティクル)または基板エッジに沿ったいくつかの場所で転位の非均一な核生成に対して好まれ得る。
半導体基板の上に堆積するシード層を有する半導体基板といった、ミスフィット転位の均一な分布(つまりあるレベルの転位パイルアップを許容する能力)を有する基板の使用は、オーバレイする勾配した層において高い勾配率を促進する。一般的に、高いDPDを回避する所望は、より低い勾配率、(先に言及された ’413特許に記載されるように)、例えば、25%/μmの使用を促進する。このアプローチは、多くの用途に対して適しているが、このような低い組成の勾配は、所定のGe含量を達成する比較的厚いSiGe層の結果になり、比較的厚いSiGe層は、いくつかの不都合を示し得る。例えば、ウエハーの反りは、厚さと成長温度の関数であるので、より厚い層は、より大きいウエハーの反りを引き起こし得る。当業者には既知であるように、このウエハーの反りは、CMPまたはリソグラフィといった続くウエハーの処理ステップに対して問題を呈し得る。より厚い層はまた、もちろん、ソース材料のより多い量の使用を意味する。自明の経済的な不都合に加えて、より厚い層に対するソース材料のより多い量の使用はまた、エピタキシャル処理に対する他の2つの欠点(追加的なエピタキシャル反応器の壁の被覆およびウエハーの上の追加的なパーティクル堆積)を招く結果になる。これらはともにウエハーの品質および経済的側面に悪影響を与える。より薄い層はまた、より良い熱拡散のために好まれ得る(特に、SiGe層の熱伝導率は、比較されるSi層の熱伝導率より低いために好まれ得る)。従って、より薄いエピタキシャル層が、より薄い層の対して好まれ得る。
受容され得るTDDおよびDPDは、シード層(または、上述されるように、半導体基板の上にスレッディング転位の実質的に均一に分布を達成する代替のアプローチ)を用いることによって、あるいは、堆積率(例えば、エピタキシャル成長率)が十分に低く保たれ、いくつかのパイルアップが許容されるとき、1マイクロメートルの厚さにつき25%Geより大きい濃度勾配を有して達成され得ることが見出された。処理時間の点において、より高い濃度勾配は、より低い堆積率を平衡化する。従って、全体的なエピタキシャル処理は、より低い勾配率に関連した処理時間に対して同様、または同一にすらなり得る。結果として、材料コストにおける節約は、時間効率の点における犠牲によって相殺されない。
半導体基板の上に堆積するエピタキシャル層を有する半導体ウエハーは、図1〜5を参照して上述されるように、例えば、CMOSデバイスおよび回路を含むさまざまなデバイスの製造のために用いられ得る。図6を参照して、p型金属酸化半導体(PMOS)トランジスタ680は、半導体ウエハー50の第1の領域682に形成される。n型ウエル684は、第1の領域682における層110に形成される。n型金属酸化半導体(NMOS)トランジスタ686は、半導体ウエハー50の第2の領域687に形成される。PMOSトランジスタ680およびNMOSトランジスタ686は、緩和したキャップ層650の第1の部分上に堆積される第1のゲート誘電体部分688と、緩和したキャップ層650の第2の部分上に堆積される第2のゲート誘電体部分689とをそれぞれ含む。第1のゲート誘電体部分688および第2のゲート誘電体部分689は、シリコン酸化物といったゲート酸化物を含み得る。第1のゲート690は、第1のゲート誘電体部分688の上に堆積し、第2のゲート692は、第2のゲート誘電体部分689の上に堆積する。第1のゲート690および第2のゲート692は、ドープされた多結晶シリコン、金属または金属シリサイドといった伝導材料から形成し得る。(内側の境界によって例示のために定義される)第1のソース696および第1のドレイン697は、第1のゲート690に近接した第1の領域682に形成される。第1のソース696および第1のドレイン697は、ホウ素といったp型イオンの埋め込みによって形成され得る。PMOSトランジスタ680は、第1のソース696と、第1のドレイン697と、第1のゲート690と、第1の誘電体部分688とを含む。(内側の境界によって例示のために定義される)第2のソース698および第2のドレイン699は、第2のゲート692に近接した第2の領域687に形成される。第2のソース698および第2のドレイン699は、ホウ素といったp型イオンの埋め込みによって形成され得る。NMOSトランジスタ686は、第2のソース698と、第2のドレイン699と、第2のゲート692と、第2の誘電体部分689とを含む。歪んだ層(160、170)のいずれかは、製造の後、いずれのトランジスタ構造からなくなる。
半導体基板の上に位置するエピタキシャル層を有する半導体ウエハーは、図1〜5を参照して上述されるように、例えば、絶縁体(SSOI)基板の上の歪んだ半導体を含む他のさまざまな他の構造を製造のために用いられ得る。このような製造は、絶縁体を含むハンドルウエハーの半導体構造のウエハーボンディングによって達成され得る。図1を簡単に参照して、基板100および層110は、それから除去され、歪んだ層(160、170)のいずれかまたは両方において、ハンドルウエハーの上に堆積される結果になる。あるいは、歪んだ層(160、170)は、ボンディングの前に半導体構造50からなくなり、緩和したキャップ層150の一部分が、基板100および残りの層110のボンディングおよび除去の後にハンドルウエハーの上に位置し得る。歪んだ層を含む半導体層は、それから、いずれかの最終的なSSOI構造の上に位置し得る。この分野において良く知られているが、SSOI構造を作成するための技術はが、例えば、ここに参考として援用される米国特許第6,602,613号にさらに記載される。
本発明は、本発明の本質的な特性の精神から逸脱することなく他の特定の形で具現化され得る。先述の実施形態は、従って、すべての点において、ここに記載される本発明に限定するよりむしろ例示的である考えられる。
本発明の1つの実施形態に従った半導体層の上に形成された半導体層を有する半導体基板の概略的な断面図を示す。 図1の実施形態の1つの変形に従った断面概略図に対してプロットされる図1の半導体構造の異なる層におけるゲルマニウム濃度のグラフである。 本発明のさまざまな実施形態に従った異なる厚さおよびゲルマニウム含量のシード層の対するDPDの定性的評価を含む表である。 図1の実施形態の1つの変形に従った断面概略図に対してプロットされる図1の半導体構造の異なる層におけるゲルマニウム濃度のグラフである。 本発明の代替の実施形態従った半導体構造の異なる層におけるゲルマニウム濃度のグラフである。

Claims (101)

  1. 第1の半導体層の表面にわたり実質的に均一に分布する複数のスレッディング転位を有する第1の半導体層と、
    該第1の該表面の上に位置する組成的に均一なキャップ層であって、実質的に緩和した組成的に均一なキャップ層と
    を含む、半導体構造。
  2. 前記組成的に均一なキャップ層の格子定数は、前記第1の層の格子定数とは異なる、請求項1に記載の半導体構造。
  3. 前記組成的に均一なキャップ層の上に位置する歪んだ半導体層をさらに含む、請求項1に記載の半導体構造。
  4. 前記歪んだ半導体層は、引張られ歪んでいる、請求項3に記載の半導体構造。
  5. 前記歪んだ半導体層は、引張られ歪んだシリコンまたは引張られ歪んだシリコン―ゲルマニウム合金を含む、請求項4に記載の半導体構造。
  6. 前記歪んだ半導体層は、圧縮され歪んでいる、請求項3に記載の半導体構造。
  7. 前記歪んだ半導体層は、圧縮され歪んだゲルマニウムまたは圧縮され歪んだシリコン―ゲルマニウム合金を含む、請求項6に記載の半導体構造。
  8. 前記組成的に均一なキャップ層は、II族要素、III族要素、IV族要素、V族要素およびVI族要素の少なくとも1つを含む、請求項1に記載の半導体構造。
  9. 前記組成的に均一なキャップ層は、シリコンおよびゲルマニウムの少なくとも1つを含む、請求項8に記載の半導体構造。
  10. 前記組成的に均一なキャップ層は、およそ10%より大きいゲルマニウムを含む、請求項9に記載の半導体構造。
  11. 前記組成的に均一なキャップ層の厚さは、およそ0.5μm〜およそ3.0μmの範囲にわたる、請求項1に記載の半導体構造。
  12. 前記組成的に均一なキャップ層は、平坦化される、請求項1に記載の半導体構造。
  13. 前記組成的に均一なキャップ層と前記第1の層との間に位置する組成的に勾配した層をさらに含む、請求項1に記載の半導体構造。
  14. 前記勾配した層は、II族要素、III族要素、IV族要素、V族要素およびVI族要素の少なくとも1つを含む、請求項13に記載の半導体構造。
  15. 前記勾配した層は、シリコンおよびゲルマニウムの少なくとも1つを含む、請求項14に記載の半導体構造。
  16. 前記勾配した層は、およそ5%Ge/μmより大きい勾配率を有する、請求項15に記載の半導体構造。
  17. 前記勾配した層は、およそ50%Ge/μmより小さい勾配率を有する、請求項16に記載の半導体構造。
  18. 前記勾配した層は、およそ10%より大きい濃度に勾配される、請求項13に記載の半導体構造。
  19. 前記勾配した層の厚さは、およそ0.5μm〜およそ10.0μmの範囲にわたる、請求項13に記載の半導体構造。
  20. 前記第1の層は、前記勾配した層の初期部分を含み、該初期部分は、該勾配した層の少なくとも1つのその後に続く部分より低い局部勾配率を有し、前記スレッディング転位は、該初期部分において均一に分布する、請求項13に記載の半導体構造。
  21. 前記勾配した層は、シリコンおよびゲルマニウムの少なくとも1つを含む、請求項20に記載の半導体構造。
  22. 局部勾配率における差異は、およそ5%Ge/μmより大きい、請求項21に記載の半導体構造。
  23. 局部勾配率における差異は、およそ20%Ge/μmより大きい、請求項22に記載の半導体構造。
  24. 前記緩和し勾配したバッファ層の前記初期部分の前記勾配率は、およそ10%Ge/μmを超えない、請求項21に記載の半導体構造。
  25. 前記初期部分と前記緩和し勾配した層の少なくとも1つのその後に続く部分との間の界面でのGe含量における不連続性は、およそ10%Geを超えない、請求項21に記載の半導体構造。
  26. 前記初期部分と前記緩和し勾配したバッファ配層の少なくとも1つのその後に続く部分との間の界面でのGe含量における不連続性は、およそ5%Geを超えない、請求項25に記載の半導体構造。
  27. 前記第1の層は、該第1の層の前記表面に近接して位置するシード層を含み、前記スレッディング転位は、該シード層において均一に分布する、請求項1に記載の半導体構造。
  28. 前記シード層は、少なくとも部分的に緩和している、請求項27に記載の半導体構造。
  29. 前記シード層は、組成的に均一である、請求項27に記載の半導体構造。
  30. 前記シード層は、組成的に勾配している、請求項27に記載の半導体構造。
  31. 前記シード層の厚さは、その平衡臨界厚さの2倍より大きい、請求項27に記載の半導体構造。
  32. 前記シード層の厚さは、その平衡臨界厚さの5倍より小さい、請求項31に記載の半導体構造。
  33. 前記シード層の少なくとも一部分は、およそ850℃の成長温度での成長によって形成される、請求項27に記載の半導体構造。
  34. 前記シード層の少なくとも一部分は、1000℃より大きい成長温度での成長によって形成される、請求項27に記載の半導体構造。
  35. 前記シード層は、およそ10nm〜およそ1000nmの範囲にわたる厚さを有する、請求項27に記載の半導体構造。
  36. 前記シード層は、およそ30nm〜およそ300nmの範囲にわたる厚さを有する、請求項35に記載の半導体構造。
  37. 前記キャップ層は、およそ1/cmより小さい転位パイルアップの密度を有する、請求項27に記載の半導体構造。
  38. 前記キャップ層は、0.01/cmより小さい転位パイルアップの密度を有する、請求項27に記載の半導体構造。
  39. 前記キャップ層は、およそ5×10/cmより小さいスレッディング転位密度を有する、請求項27に記載の半導体構造。
  40. 前記組成的に均一なキャップ層と前記シード層との間に位置する組成的に均一なバッファ層をさらに含む、請求項27に記載の半導体構造。
  41. 前記バッファ層は、シリコンを含む、請求項40に記載の半導体構造。
  42. 前記バッファ層と前記シード層の少なくとも1つは、シリコンおよびゲルマニウムの少なくとも1つを含む、請求項40に記載の半導体構造。
  43. 前記バッファ層におけるゲルマニウムの濃度は、前記シード層と該バッファ層との間の界面での該シード層におけるゲルマニウムの濃度とは異なる、請求項42に記載の半導体構造。
  44. 前記シード層と前記バッファ層との界面でのGe濃度における不連続性は、およそ2%〜50%Geの範囲にわたる、請求項43に記載の半導体構造。
  45. 前記シード層と前記バッファ層との界面でのGe濃度における不連続性は、およそ5%〜15%Geの範囲にわたる、請求項44に記載の半導体構造。
  46. 前記シード層と前記バッファ層との界面でのGe濃度における不連続性は、およそ10%Geを含む、請求項45に記載の半導体構造。
  47. 前記組成的に均一なキャップ層と前記シード層との間に位置する組成的に勾配した層をさらに含む、請求項27に記載の半導体構造。
  48. 前記勾配した層と前記シード層の少なくとも1つは、シリコンおよびゲルマニウムの少なくとも1つを含む、請求項47に記載の半導体構造。
  49. 前記勾配した層におけるゲルマニウムの濃度は、前記シード層と該勾配した層との間の界面での該シード層におけるゲルマニウムの濃度とは異なる、請求項47に記載の半導体構造。
  50. 前記シード層と前記勾配した層との界面でのGe濃度における不連続性は、およそ2%〜50%Geの範囲にわたる、請求項49に記載の半導体構造。
  51. 前記シード層と前記勾配した層との界面でのGe濃度における不連続性は、およそ5%〜15%Geの範囲にわたる、請求項50に記載の半導体構造。
  52. 前記シード層と前記勾配した層との界面でのGe濃度における不連続性は、およそ10%Geを含む、請求項51に記載の半導体構造。
  53. 前記勾配した層内に位置する少なくとも1つの中間シード層をさらに含む、請求項47に記載の半導体構造。
  54. 前記第1の層は、silicon―on―insulator基板を含む、請求項1に記載の半導体構造。
  55. 減少したスレッディング転位パイルアップを有する半導体構造を製造する方法であって、該方法は、
    第1の半導体層の表面にわたり実質的に均一に分布する複数のスレッディング転位を有する第1の半導体層を提供することと、
    該第1の半導体層の該表面の上に組成的に均一なキャップ層を形成することであって、該キャップ層は、実質的に緩和しており、該第1の半導体層は、少なくとも該キャップ層における転位パイルアップの形成を抑制する、ことと
    を包含する、方法。
  56. 前記組成的に均一なキャップ層の格子定数は、前記第1の層の格子定数とは異なる、請求項55に記載の方法。
  57. 前記キャップ層の形成の前に、前記第1の層の上に組成的に均一なバッファ層を形成することをさらに包含する、請求項55に記載の方法。
  58. 第1の半導体層を提供するステップは、半導体基板の上に少なくとも部分的に緩和したシード層を包含する、請求項55に記載の方法。
  59. 前記少なくとも部分的に緩和したシード層を形成するステップは、該シード層を、該シード層の平衡臨界厚さの2倍〜5倍の範囲にわたる厚さに成長させることを包含する、請求項58に記載の方法。
  60. 前記少なくとも部分的に緩和したシード層を形成するステップは、該シード層を、該シード層の堆積温度より大きい温度で該シード層をアニールすることを包含する、請求項58に記載の方法。
  61. 第1の半導体層を提供するステップは、該第1の半導体層に種を埋め込むことを包含する、請求項55に記載の方法。
  62. 前記第1の半導体層は、シリコンを包含し、前記種は、シリコンを包含する、請求項61に記載の方法。
  63. 第1の半導体層を提供するステップは、semiconductor―on―insulator基板を提供することを包含する、請求項55に記載の方法。
  64. 前記第1の半導体層を提供するステップは、スレッディング転位の実質的に均一な分布を有するシリコン基板を提供することを包含する、請求項55に記載の方法。
  65. 前記第1の半導体層を提供するステップは、およそ102/cmを超えるスレッディング転位の密度を有するシリコン基板を提供することを包含する、請求項55に記載の方法。
  66. 前記第1の半導体層を提供するステップは、およそ103/cmを超えるスレッディング転位の密度を有するシリコン基板を提供することを包含する、請求項55に記載の方法。
  67. 前記第1の半導体層を提供するステップは、およそ104/cmを超えるスレッディング転位の密度を有するシリコン基板を提供することを包含する、請求項55に記載の方法。
  68. 前記第1の半導体層を提供するステップは、1Åより大きい平均表面粗さを有するシリコン基板を提供することを包含する、請求項55に記載の方法。
  69. 前記第1の半導体層を提供するステップは、5Åより大きい平均表面粗さを有するシリコン基板を提供することを包含する、請求項55に記載の方法。
  70. 前記キャップ層は、1/cmより小さい転位パイルアップの密度を有する、請求項55に記載の方法。
  71. 前記キャップ層は、0.01/cmより小さい転位パイルアップの密度を有する、請求項55に記載の方法。
  72. 前記キャップ層は、およそ5×10/cmより小さいスレッディング転位密度を有する、請求項55に記載の方法。
  73. 前記キャップ層を形成する前に、組成的に勾配した層を形成することをさらに包含する、請求項55に記載の方法。
  74. 前記組成的に勾配したバッファ層内に少なくとも1つのシード層を形成することをさらに包含する、請求項73の方法。
  75. 基板の上に緩和し勾配した半導体層を形成する方法であって、
    該方法は、
    第1の半導体層を提供することと、
    前記第1の半導体層上にシリコンおよびゲルマニウムの少なくとも1つを含む緩和し勾配した層をエピタキシャル的に成長させることであって、0%より大きく100%までの範囲にわたるゲルマニウム含量を有する最終的な組成に対して、およそ25%Ge/μmを超える勾配でゲルマニウム含量を増加させる、こと
    を包含し、該緩和し勾配した半導体層は、10/cmを超えないスレッディング転位密度を有する、方法。
  76. 前記勾配した層は、およそ20/cmを超えない転位パイルアップ密度を有する、請求項75の方法。
  77. 前記勾配した層は、およそ1/cmを超えない転位パイルアップ密度を有する、請求項75の方法。
  78. 前記勾配した層は、およそ0.01/cmを超えない転位パイルアップ密度を有する、請求項75の方法。
  79. 前記勾配した層は、少なくとも30%Ge/μmである、請求項75に記載の方法。
  80. 前記勾配した層は、少なくとも40%Ge/μmである、請求項75に記載の方法。
  81. 前記エピタキシャル成長は、900℃〜1200℃に範囲のわたる温度で起こる、請求項75に記載の方法。
  82. 前記エピタキシャル成長は、およそ1nm/sより大きい速度で起こる、請求項81に記載の方法。
  83. 前記緩和し勾配した層は、0.1μm〜4.0μmの範囲にわたる厚さを有する、請求項75に記載の方法。
  84. 前記第1の半導体は、該第1の半導体の表面にわたり実質的に均一に分布する複数のスレッディング転位を有し、前記第1の層の前記表面の上に組成的に均一なキャップ層を提供するステップをさらに包含し、該キャップ層は、実質的に緩和しており、前記緩和し勾配した層が該キャップ層の上に成長する、請求項75に記載の方法。
  85. 前記組成的に均一なキャップ層の格子定数は、前記第1の層の格子定数とは異なる、請求項84に記載の方法。
  86. 第1の半導体層と、さらにシリコンおよびゲルマニウムを含む緩和し勾配したエピタキシャル層であって、0%より大きく100%までの範囲にわたるゲルマニウム含量を有する最終的な組成に対して、およそ25%Ge/μmを超える勾配でゲルマニウム含量を増加するように勾配される緩和し勾配したエピタキシャル層とを含み、10/cmを超えないスレッディング転位密度を有する、半導体構造。
  87. 前記転位パイルアップ密度は、1/cmを超えない、請求項86に記載の構造。
  88. 前記転位パイルアップ密度は、0.01/cmを超えない、請求項86に記載の構造。
  89. 前記緩和し勾配した層は、少なくとも30%Ge/μmの速度で勾配される、請求項86に記載の構造。
  90. 前記緩和し勾配した層は、少なくとも40%Ge/μmの速度で勾配される、請求項86に記載の構造。
  91. 前記緩和し勾配した層は、0.1μm〜0.4μmの範囲にわたる厚さを有する、請求項86に記載の構造。
  92. 前記第1の半導体は、該第1の半導体の表面にわたり実質的に均一に分布する複数のスレッディング転位を有し、前記第1の層の表面の上に組成的に均一なキャップ層を提供するステップをさらに包含し、該キャップ層は、実質的に緩和しており、該第1の層の格子定数とは異なる格子定数を有し、前記緩和し勾配した層が該キャップ層の上に位置する、請求項86に記載の構造。
  93. 半導体基板と、
    該基板の上に位置する組成的に勾配した層と、
    該組成的に勾配した層の上に位置する実質的に緩和した組成的に均一なキャップ層であって、1/cmより小さい転位パイルアップの密度を有する、実質的に緩和した組成的に均一なキャップ層と
    を含む、半導体構造。
  94. 前記キャップ層は、0.01/cmより小さい転位パイルアップの密度を有する、請求項93に記載の半導体構造。
  95. 第1の半導体層の表面にわたり実質的に均一に分布する複数のスレッディング転位を有する第1の半導体層と、
    該第1の該表面の上に位置する組成的に均一なキャップ層であって、実質的に緩和した組成的に均一なキャップ層と
    該緩和したキャップ層の上に位置するp型金属酸化膜半導体(PMOS)トランジスタと
    を含む半導体層であって
    該PMOSトランジスタは、
    該緩和したキャップ層の一部分の上に位置するゲート誘電体部分と、
    該ゲート誘電体部分の上に位置するゲートであって、伝導層を含む、ゲートと、
    該ゲート誘電体部分に近接して位置するソースおよびドレインであって、p型ドーパントを含むソースおよび第1のドレインと
    を含む、半導体構造。
  96. 第1の半導体層の表面にわたり実質的に均一に分布する複数のスレッディング転位を有する第1の半導体層と、
    該第1の該表面の上に位置する組成的に均一なキャップ層であって、実質的に緩和した組成的に均一なキャップ層と
    該緩和したキャップ層の上に位置するn型金属酸化膜半導体(NMOS)トランジスタと
    を含む半導体層であって、
    該NMOSトランジスタは、
    該緩和したキャップ層の一部分の上に位置するゲート誘電体部分と、
    該ゲート誘電体部分の上に位置するゲートであって、伝導層を含む、ゲートと、
    該ゲート誘電体部分に近接して位置するソースおよびドレインであって、n型ドーパントを含むソースおよびドレインと
    を含む、半導体構造。
  97. 第1の半導体層の表面にわたり実質的に均一に分布する複数のスレッディング転位を有する第1の半導体層と、
    該第1の該表面の上に位置する組成的に均一なキャップ層であって、実質的に緩和した組成的に均一なキャップ層と
    該緩和したキャップ層の上に位置するp型金属酸化膜半導体(PMOS)トランジスタと
    を含む半導体層であって、
    該PMOSトランジスタは、
    該緩和したキャップ層の第1の一部分の上に位置する第1のゲート誘電体部分と、
    該第1のゲート誘電体部分の上に位置する第1のゲートであって、第1の伝導層を含む、第1のゲートと、
    該第1のゲート誘電体部分に近接して位置する第1のソースおよび第1のドレインであって、p型ドーパントを含む第1のソースおよび第1のドレインと
    を含み、
    該半導体構造は、さらに、
    該緩和したキャップ層の上に位置するn型金属酸化膜半導体(NMOS)トランジスタと
    を含み、
    該NMOSトランジスタは、
    該緩和キャップ層の第2の一部分の上に位置する第2のゲート誘電体部分と、
    該第2のゲート誘電体部分の上に位置する第2のゲートであって、第2の伝導層を含む、第2のゲートと、
    該第2のゲート誘電体部分に近接して位置する第2のソースおよび第2のドレインであって、n型ドーパントを含む第2のソースおよび第2のドレインと
    を含む、半導体構造。
  98. 第1の半導体層と、さらにシリコンおよびゲルマニウムを含む緩和し勾配したエピタキシャル層であって、0%より大きく100%までの範囲にわたるゲルマニウム含量を有する最終的な組成に対して、およそ25%Ge/μmを超える勾配でゲルマニウム含量を増加するように勾配される緩和し勾配したエピタキシャル層とを含む半導体層であって、該半導体層は、10/cmを超えないスレッディング転位密度を有し、
    該半導体層は、
    該勾配した層の上に位置する緩和された組成的なキャップ層と、
    該緩和したキャップ層の上に位置するp型金属酸化膜半導体(PMOS)トランジスタと
    をさらに含み、
    該PMOSトランジスタは、
    該緩和したキャップ層の一部分の上に位置するゲート誘電体部分と、
    該ゲート誘電体部分の上に位置するゲートであって、伝導層を含む、ゲートと、
    該ゲート誘電体部分に近接して位置するソースおよびドレインであって、p型ドーパントを含むソースおよび第1のドレインと
    を含む、半導体構造。
  99. 第1の半導体層と、さらにシリコンおよびゲルマニウムを含む緩和し勾配したエピタキシャル層であって、0%より大きく100%までの範囲にわたるゲルマニウム含量を有する最終的な組成に対して、およそ25%Ge/μmを超える勾配でゲルマニウム含量を増加するように勾配される緩和し勾配したエピタキシャル層とを含む半導体層であって、該半導体層は、10/cmを超えないスレッディング転位密度を有し、
    該半導体層は、
    該勾配層の上に位置する緩和された組成的なキャップ層と、
    該緩和したキャップ層の上に位置するn型金属酸化膜半導体(NMOS)トランジスタと
    をさらに含み、
    該NMOSトランジスタは、
    該緩和したキャップ層の一部分の上に位置するゲート誘電体部分と、
    該ゲート誘電体部分の上に位置するゲートであって、伝導層を含む、ゲートと、
    該ゲート誘電体部分に近接して位置するソースおよびドレインであって、n型ドーパントを含むソースおよびドレインと
    を含む、半導体構造。
  100. 第1の半導体層と、さらにシリコンおよびゲルマニウムを含む緩和し勾配したエピタキシャル層であって、0%より大きく100%までの範囲にわたるゲルマニウム含量を有する最終的な組成に対して、およそ25%Ge/μmを超える勾配でゲルマニウム含量を増加するように勾配される緩和し勾配したエピタキシャル層とを含む半導体層であって、該半導体層は、10/cmを超えないスレッディング転位密度を有し、
    該半導体層は、
    該勾配層の上に位置する緩和した組成的なキャップ層と、
    該緩和したキャップ層の上に位置するp型金属酸化膜半導体(PMOS)トランジスタと
    をさらに含み、
    該PMOSトランジスタは、
    該緩和したキャップ層の第1の一部分の上に位置する第1のゲート誘電体部分と、
    該第1のゲート誘電体部分の上に位置するゲートであって、第1の伝導層を含む、第1のゲートと、
    該第1のゲート誘電体部分に近接して位置する第1のソースおよび第1のドレインであって、p型ドーパントを含む第1のソースおよび第1のドレインと
    を含み、
    該半導体構造は、
    該緩和したキャップ層の上に位置するn型金属酸化膜半導体(NMOS)トランジスタと
    をさらに含み、
    該NMOSトランジスタは、
    該緩和キャップ層の第2の一部分の上に位置するゲート第2の誘電体部分と、
    該第2のゲート誘電体部分の上に位置するゲートであって、第2の伝導層を含む、第2のゲートと、
    該第2のゲート誘電体部分に近接して位置する第2のソースおよび第2のドレインであって、n型ドーパントを含む第2のソースおよび第2のドレインと
    を含む、半導体構造。
  101. 前記組成的に均一なキャップ層は、1nmより小さい平均表面粗さを有する、請求項1に記載の半導体構造。
JP2004529920A 2002-08-23 2003-08-22 減少した転位パイルアップを有する半導体ヘテロ構造および関連した方法 Expired - Lifetime JP5144002B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US40548402P 2002-08-23 2002-08-23
US60/405,484 2002-08-23
PCT/US2003/026467 WO2004019391A2 (en) 2002-08-23 2003-08-22 Semiconductor heterostructures having reduced dislocation pile-ups and related methods

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010238045A Division JP5491351B2 (ja) 2002-08-23 2010-10-22 減少した転位パイルアップを有する半導体ヘテロ構造および関連した方法

Publications (3)

Publication Number Publication Date
JP2005536876A true JP2005536876A (ja) 2005-12-02
JP2005536876A5 JP2005536876A5 (ja) 2006-01-19
JP5144002B2 JP5144002B2 (ja) 2013-02-13

Family

ID=31946878

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2004529920A Expired - Lifetime JP5144002B2 (ja) 2002-08-23 2003-08-22 減少した転位パイルアップを有する半導体ヘテロ構造および関連した方法
JP2010238045A Expired - Lifetime JP5491351B2 (ja) 2002-08-23 2010-10-22 減少した転位パイルアップを有する半導体ヘテロ構造および関連した方法
JP2013229066A Expired - Lifetime JP5639248B2 (ja) 2002-08-23 2013-11-05 減少した転位パイルアップを有する半導体ヘテロ構造および関連した方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2010238045A Expired - Lifetime JP5491351B2 (ja) 2002-08-23 2010-10-22 減少した転位パイルアップを有する半導体ヘテロ構造および関連した方法
JP2013229066A Expired - Lifetime JP5639248B2 (ja) 2002-08-23 2013-11-05 減少した転位パイルアップを有する半導体ヘテロ構造および関連した方法

Country Status (5)

Country Link
US (8) US7049627B2 (ja)
EP (2) EP2267762A3 (ja)
JP (3) JP5144002B2 (ja)
AU (1) AU2003274922A1 (ja)
WO (1) WO2004019391A2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008153671A (ja) * 2006-12-15 2008-07-03 Soitec Silicon On Insulator Technologies 半導体ヘテロ構造
JP2009545169A (ja) * 2006-07-24 2009-12-17 エーエスエム アメリカ インコーポレイテッド 半導体バッファ構造体内の歪み層
JP2010239130A (ja) * 2009-03-11 2010-10-21 Sumitomo Chemical Co Ltd 半導体基板、半導体基板の製造方法、電子デバイス、および電子デバイスの製造方法
US9929009B2 (en) 2013-12-19 2018-03-27 Asm Ip Holding B.V. Cyclical deposition of germanium
US10553423B2 (en) 2012-09-05 2020-02-04 Asm Ip Holding B.V. Atomic layer deposition of GeO2

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7041170B2 (en) 1999-09-20 2006-05-09 Amberwave Systems Corporation Method of producing high quality relaxed silicon germanium layers
AU2003261300A1 (en) * 2002-07-29 2004-02-16 Amberwave Systems Selective placement of dislocation arrays
EP2267762A3 (en) 2002-08-23 2012-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor heterostructures having reduced dislocation pile-ups and related methods
JP4796771B2 (ja) * 2002-10-22 2011-10-19 台湾積體電路製造股▲ふん▼有限公司 半導体デバイス
KR100605504B1 (ko) * 2003-07-30 2006-07-28 삼성전자주식회사 저전위밀도를 갖는 에피텍셜층을 포함하는 반도체 소자 및 상기 반도체 소자의 제조방법
EP1513233B1 (en) * 2003-09-05 2008-10-29 Epispeed S.A. InGaAs/GaAs lasers on Silicon produced by LEPECVD and MOCVD
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7157379B2 (en) * 2003-09-23 2007-01-02 Intel Corporation Strained semiconductor structures
TWI242237B (en) * 2003-10-16 2005-10-21 Ind Tech Res Inst A manufacturing method and structure for relation strained sige
US20050104092A1 (en) * 2003-11-19 2005-05-19 International Business Machiness Corportion Method of reducing dislocation-induced leakage in a strained-layer field-effect transistor
US20050196925A1 (en) * 2003-12-22 2005-09-08 Kim Sang H. Method of forming stress-relaxed SiGe buffer layer
US6995078B2 (en) * 2004-01-23 2006-02-07 Chartered Semiconductor Manufacturing Ltd. Method of forming a relaxed semiconductor buffer layer on a substrate with a large lattice mismatch
GB2411047B (en) * 2004-02-13 2008-01-02 Iqe Silicon Compounds Ltd Compound semiconductor device and method of producing the same
GB2418531A (en) * 2004-09-22 2006-03-29 Univ Warwick Formation of lattice-tuning semiconductor substrates
GB2420222A (en) * 2004-11-13 2006-05-17 Iqe Silicon Compounds Ltd Enhanced carrier mobility in strained semiconductor layers through smoothing surface treatment
US7682952B2 (en) * 2004-11-30 2010-03-23 Massachusetts Institute Of Technology Method for forming low defect density alloy graded layers and structure containing such layers
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
DE102005000826A1 (de) * 2005-01-05 2006-07-20 Siltronic Ag Halbleiterscheibe mit Silicium-Germanium-Schicht und Verfahren zu deren Herstellung
US7470972B2 (en) * 2005-03-11 2008-12-30 Intel Corporation Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
EP1705697A1 (en) * 2005-03-21 2006-09-27 S.O.I. Tec Silicon on Insulator Technologies S.A. Composition graded layer structure and method for forming the same
US20060228872A1 (en) * 2005-03-30 2006-10-12 Bich-Yen Nguyen Method of making a semiconductor device having an arched structure strained semiconductor layer
US7642205B2 (en) 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US20070267722A1 (en) * 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20060292719A1 (en) * 2005-05-17 2006-12-28 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) * 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP5481067B2 (ja) * 2005-07-26 2014-04-23 台湾積體電路製造股▲ふん▼有限公司 代替活性エリア材料の集積回路への組み込みのための解決策
US7638842B2 (en) * 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
EP1763069B1 (en) * 2005-09-07 2016-04-13 Soitec Method for forming a semiconductor heterostructure
US7521376B2 (en) * 2005-10-26 2009-04-21 International Business Machines Corporation Method of forming a semiconductor structure using a non-oxygen chalcogen passivation treatment
WO2007053686A2 (en) * 2005-11-01 2007-05-10 Massachusetts Institute Of Technology Monolithically integrated semiconductor materials and devices
US20070252223A1 (en) * 2005-12-05 2007-11-01 Massachusetts Institute Of Technology Insulated gate devices and method of making same
US7700395B2 (en) * 2006-01-11 2010-04-20 Stc.Unm Hybrid integration based on wafer-bonding of devices to AlSb monolithically grown on Si
WO2007112066A2 (en) 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US7785995B2 (en) * 2006-05-09 2010-08-31 Asm America, Inc. Semiconductor buffer structures
US8063397B2 (en) * 2006-06-28 2011-11-22 Massachusetts Institute Of Technology Semiconductor light-emitting structure and graded-composition substrate providing yellow-green light emission
US7648853B2 (en) * 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US20080070355A1 (en) * 2006-09-18 2008-03-20 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US20080187018A1 (en) * 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
EP1928020B1 (en) * 2006-11-30 2020-04-22 Soitec Method of manufacturing a semiconductor heterostructure
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US9508890B2 (en) * 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US20080274626A1 (en) * 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
WO2010033813A2 (en) 2008-09-19 2010-03-25 Amberwave System Corporation Formation of devices by epitaxial layer overgrowth
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US7867891B2 (en) * 2008-12-10 2011-01-11 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
US7902009B2 (en) * 2008-12-11 2011-03-08 Intel Corporation Graded high germanium compound films for strained semiconductor devices
SG171987A1 (en) 2009-04-02 2011-07-28 Taiwan Semiconductor Mfg Devices formed from a non-polar plane of a crystalline material and method of making the same
US20150004366A1 (en) * 2009-08-03 2015-01-01 National Tsing Hua University Substrate with high fracture strength
US20110263098A1 (en) * 2010-04-23 2011-10-27 Applied Materials, Inc. Hybrid deposition chamber for in-situ formation of group iv semiconductors & compounds with group iii-nitrides
JP2012038973A (ja) * 2010-08-09 2012-02-23 Siltronic Ag シリコンウエハ及びその製造方法
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
CN102931058B (zh) * 2011-08-08 2015-06-03 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法,pmos晶体管的形成方法
CN102931083B (zh) * 2011-08-10 2015-07-29 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
CN102938377B (zh) * 2011-08-15 2015-06-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法,pmos晶体管及其形成方法
CN102956445A (zh) * 2011-08-24 2013-03-06 中芯国际集成电路制造(上海)有限公司 一种锗硅外延层生长方法
CN103000499B (zh) * 2011-09-14 2015-12-16 中芯国际集成电路制造(上海)有限公司 一种锗硅硼外延层生长方法
CN103165448B (zh) * 2011-12-08 2016-01-06 中芯国际集成电路制造(上海)有限公司 Pmos晶体管的形成方法
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
JP5435116B2 (ja) 2012-03-15 2014-03-05 株式会社リコー 粉体収納容器、その粉体収納容器から現像剤を補給する粉体補給装置、およびそれが搭載される画像形成装置
CN103377897B (zh) * 2012-04-23 2016-03-02 中芯国际集成电路制造(上海)有限公司 一种硅锗源/漏结构的形成方法
CN105518838B (zh) * 2013-07-02 2019-11-26 雅达公司 使用快速热加工形成异质外延层以除去晶格位错
US9153717B2 (en) * 2013-08-09 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Backside illuminated photo-sensitive device with gradated buffer layer
US9368342B2 (en) * 2014-04-14 2016-06-14 Globalfoundries Inc. Defect-free relaxed covering layer on semiconductor substrate with lattice mismatch
US9263586B2 (en) 2014-06-06 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum well fin-like field effect transistor (QWFinFET) having a two-section combo QW structure
CN105632927B (zh) * 2014-10-30 2018-09-07 中芯国际集成电路制造(上海)有限公司 Pmos晶体管的形成方法
KR102257423B1 (ko) 2015-01-23 2021-05-31 삼성전자주식회사 반도체 기판 및 이를 포함하는 반도체 장치
US9437680B1 (en) * 2015-03-31 2016-09-06 International Business Machines Corporation Silicon-on-insulator substrates having selectively formed strained and relaxed device regions
EP3125273A1 (en) * 2015-07-31 2017-02-01 IMEC vzw Strained group iv channels
US9570300B1 (en) 2016-02-08 2017-02-14 International Business Machines Corporation Strain relaxed buffer layers with virtually defect free regions
US9698266B1 (en) * 2016-03-09 2017-07-04 International Business Machines Corporation Semiconductor device strain relaxation buffer layer
CN108573852A (zh) * 2017-03-08 2018-09-25 上海新昇半导体科技有限公司 具有原子级平整表面的薄膜的制备方法
US20190131454A1 (en) * 2017-11-01 2019-05-02 Qualcomm Incorporated Semiconductor device with strained silicon layers on porous silicon
CN110676162B (zh) * 2018-07-03 2022-09-02 合肥晶合集成电路股份有限公司 金属硅化物层的形成方法、半导体器件及其形成方法
US20220254633A1 (en) * 2019-05-16 2022-08-11 Nippon Telegraph And Telephone Corporation Semiconductor Layered Structure
US11515158B2 (en) 2020-03-11 2022-11-29 Globalfoundries U.S. Inc. Semiconductor structure with semiconductor-on-insulator region and method
EP3965141A1 (en) 2020-09-04 2022-03-09 Siltronic AG Method for depositing a silicon germanium layer on a substrate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05121450A (ja) * 1991-06-13 1993-05-18 Hitachi Ltd 半導体装置及びその製造方法
JPH07169926A (ja) * 1993-10-29 1995-07-04 Internatl Business Mach Corp <Ibm> 疑似基板構造体及びその製造方法
WO2000054338A1 (en) * 1999-03-12 2000-09-14 International Business Machines Corporation High speed ge channel heterostructures for field effect devices
JP2002502121A (ja) * 1998-01-30 2002-01-22 コミツサリア タ レネルジー アトミーク 特にヘテロエピタキシャル堆積用のコンプライアント基板
JP2002118254A (ja) * 2000-08-01 2002-04-19 Mitsubishi Materials Silicon Corp 半導体基板と電界効果型トランジスタ並びにSiGe層の形成方法及びこれを用いた歪みSi層の形成方法と電界効果型トランジスタの製造方法
JP2003022970A (ja) * 2001-07-06 2003-01-24 Mitsubishi Materials Silicon Corp 半導体基板と電界効果型トランジスタ並びにSiGe層の形成方法及びこれを用いた歪みSi層の形成方法と電界効果型トランジスタの製造方法
JP2003051444A (ja) * 2001-08-06 2003-02-21 Sumitomo Mitsubishi Silicon Corp 半導体基板及び電界効果型トランジスタ並びにこれらの製造方法

Family Cites Families (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4010045A (en) * 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
DE3542482A1 (de) 1985-11-30 1987-06-04 Licentia Gmbh Modulationsdotierter feldeffekttransistor
US5298452A (en) * 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4987462A (en) * 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
JPH0637025B2 (ja) * 1987-09-14 1994-05-18 スピードファム株式会社 ウエハの鏡面加工装置
US4900372A (en) * 1987-11-13 1990-02-13 Kopin Corporation III-V on Si heterostructure using a thermal strain layer
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
DE3816358A1 (de) * 1988-05-13 1989-11-23 Eurosil Electronic Gmbh Nichtfluechtige speicherzelle und verfahren zur herstellung
US5221367A (en) * 1988-08-03 1993-06-22 International Business Machines, Corp. Strained defect-free epitaxial mismatched heterostructures and method of fabrication
US4963949A (en) * 1988-09-30 1990-10-16 The United States Of America As Represented Of The United States Department Of Energy Substrate structures for InP-based devices
US5250445A (en) 1988-12-20 1993-10-05 Texas Instruments Incorporated Discretionary gettering of semiconductor circuits
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US4997776A (en) * 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
GB8905511D0 (en) * 1989-03-10 1989-04-19 British Telecomm Preparing substrates
US5210052A (en) * 1989-05-18 1993-05-11 Fujitsu Limited Method for fabricating a semiconductor substrate
US5013681A (en) * 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5202284A (en) * 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
EP0445475B1 (en) * 1990-02-20 1998-08-26 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5164359A (en) 1990-04-20 1992-11-17 Eaton Corporation Monolithic integrated circuit having compound semiconductor layer epitaxially grown on ceramic substrate
US5316958A (en) * 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5158907A (en) * 1990-08-02 1992-10-27 At&T Bell Laboratories Method for making semiconductor devices with low dislocation defects
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JPH04198095A (ja) 1990-11-28 1992-07-17 Fujitsu Ltd 化合物半導体薄膜成長方法
DE4101167A1 (de) 1991-01-17 1992-07-23 Daimler Benz Ag Anordnung und verfahren zur herstellung komplementaerer feldeffekttransistoren
US5434102A (en) * 1991-02-25 1995-07-18 Symetrix Corporation Process for fabricating layered superlattice materials and making electronic devices including same
US5091767A (en) * 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5221413A (en) * 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Heteroepitaxial layers with low defect density and arbitrary network parameter
JPH07187892A (ja) 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> シリコン及びその形成方法
SG67879A1 (en) * 1991-08-22 1999-10-19 At & T Corp Removal of substrate perimeter material
US5166084A (en) 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5208182A (en) * 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5207864A (en) * 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
JP3191972B2 (ja) 1992-01-31 2001-07-23 キヤノン株式会社 半導体基板の作製方法及び半導体基板
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5426069A (en) * 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5386132A (en) * 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
US5418743A (en) 1992-12-07 1995-05-23 Nippon Steel Corporation Method of writing into non-volatile semiconductor memory
US5426316A (en) * 1992-12-21 1995-06-20 International Business Machines Corporation Triple heterojunction bipolar transistor
US5523592A (en) 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
JP3093904B2 (ja) * 1993-02-16 2000-10-03 富士通株式会社 化合物半導体結晶の成長方法
US5381434A (en) * 1993-03-30 1995-01-10 Bell Communications Research, Inc. High-temperature, uncooled diode laser
US5387796A (en) * 1993-05-26 1995-02-07 Epitaxx, Inc. Low leakage current photodetector arrays
US5308444A (en) * 1993-05-28 1994-05-03 At&T Bell Laboratories Method of making semiconductor heterostructures of gallium arsenide on germanium
US5346848A (en) 1993-06-01 1994-09-13 Motorola, Inc. Method of bonding silicon and III-V semiconductor materials
US5413679A (en) * 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5310451A (en) * 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JPH0794420A (ja) * 1993-09-20 1995-04-07 Fujitsu Ltd 化合物半導体結晶基板の製造方法
JP2980497B2 (ja) 1993-11-15 1999-11-22 株式会社東芝 誘電体分離型バイポーラトランジスタの製造方法
KR0123434B1 (ko) 1994-02-07 1997-11-26 천성순 실리콘 웨이퍼에서의 부정합전위의 발생을 억제화하기 위한 링패턴 형성방법 및 그 구조
JP2669368B2 (ja) * 1994-03-16 1997-10-27 日本電気株式会社 Si基板上化合物半導体積層構造の製造方法
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3116731B2 (ja) * 1994-07-25 2000-12-11 株式会社日立製作所 格子不整合系積層結晶構造およびそれを用いた半導体装置
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
WO1996015550A1 (en) * 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
US5539214A (en) 1995-02-06 1996-07-23 Regents Of The University Of California Quantum bridges fabricated by selective etching of superlattice structures
US5777347A (en) * 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US5920088A (en) * 1995-06-16 1999-07-06 Interuniversitair Micro-Electronica Centrum (Imec Vzw) Vertical MISFET devices
US6010937A (en) * 1995-09-05 2000-01-04 Spire Corporation Reduction of dislocations in a heteroepitaxial semiconductor structure
JP3403877B2 (ja) * 1995-10-25 2003-05-06 三菱電機株式会社 半導体記憶装置とその製造方法
KR100473901B1 (ko) * 1995-12-15 2005-08-29 코닌클리케 필립스 일렉트로닉스 엔.브이. SiGe층을포함하는반도체전계효과디바이스
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5943560A (en) 1996-04-19 1999-08-24 National Science Council Method to fabricate the thin film transistor
US6039803A (en) * 1996-06-28 2000-03-21 Massachusetts Institute Of Technology Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon
JP3217015B2 (ja) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション 電界効果トランジスタの形成方法
JPH1041400A (ja) * 1996-07-26 1998-02-13 Sony Corp 半導体装置およびその製造方法
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
TW335558B (en) 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
DE59707274D1 (de) 1996-09-27 2002-06-20 Infineon Technologies Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US5859864A (en) * 1996-10-28 1999-01-12 Picolight Incorporated Extended wavelength lasers having a restricted growth surface and graded lattice mismatch
US6140687A (en) 1996-11-28 2000-10-31 Matsushita Electric Industrial Co., Ltd. High frequency ring gate MOSFET
US5808344A (en) 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
KR100212693B1 (ko) 1996-12-14 1999-08-02 권혁준 규소/규소게르마늄 모스 전계 트랜지스터 및 그 제조방법
US5714777A (en) * 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
EP0867701A1 (en) * 1997-03-28 1998-09-30 Interuniversitair Microelektronica Centrum Vzw Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5786614A (en) * 1997-04-08 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Separated floating gate for EEPROM application
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6033974A (en) * 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
DE19720008A1 (de) 1997-05-13 1998-11-19 Siemens Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US5877070A (en) * 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
ATE283549T1 (de) * 1997-06-24 2004-12-15 Massachusetts Inst Technology Kontrolle der verspannungsdichte durch verwendung von gradientenschichten und durch planarisierung
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US6160303A (en) 1997-08-29 2000-12-12 Texas Instruments Incorporated Monolithic inductor with guard rings
US6033995A (en) * 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6232138B1 (en) * 1997-12-01 2001-05-15 Massachusetts Institute Of Technology Relaxed InxGa(1-x)as buffers
US6154475A (en) 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
JP3447939B2 (ja) * 1997-12-10 2003-09-16 株式会社東芝 不揮発性半導体メモリ及びデータ読み出し方法
US6218681B1 (en) * 1997-12-24 2001-04-17 Mitsubishi Chemical Corporation Gallium arsenide phosphide epitaxial wafer and light emitting diode
FR2773177B1 (fr) 1997-12-29 2000-03-17 France Telecom Procede d'obtention d'une couche de germanium ou silicium monocristallin sur un substrat de silicium ou germanium monocristallin, respectivement, et produits multicouches obtenus
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6153495A (en) 1998-03-09 2000-11-28 Intersil Corporation Advanced methods for making semiconductor devices by low temperature direct bonding
WO1999053539A1 (en) * 1998-04-10 1999-10-21 Massachusetts Institute Of Technology Silicon-germanium etch stop layer system
JP4258034B2 (ja) * 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
US6372356B1 (en) * 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US6291326B1 (en) * 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
JP3403076B2 (ja) * 1998-06-30 2003-05-06 株式会社東芝 半導体装置及びその製造方法
JP2000031491A (ja) 1998-07-14 2000-01-28 Hitachi Ltd 半導体装置,半導体装置の製造方法,半導体基板および半導体基板の製造方法
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6368733B1 (en) * 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
JP2000124325A (ja) 1998-10-16 2000-04-28 Nec Corp 半導体装置およびその製造方法
US6329063B2 (en) * 1998-12-11 2001-12-11 Nova Crystals, Inc. Method for producing high quality heteroepitaxial growth using stress engineering and innovative substrates
JP2000186000A (ja) * 1998-12-22 2000-07-04 Speedfam-Ipec Co Ltd シリコンウェーハ加工方法およびその装置
DE19859429A1 (de) * 1998-12-22 2000-06-29 Daimler Chrysler Ag Verfahren zur Herstellung epitaktischer Silizium-Germaniumschichten
US6130453A (en) 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
US6162688A (en) 1999-01-14 2000-12-19 Advanced Micro Devices, Inc. Method of fabricating a transistor with a dielectric underlayer and device incorporating same
EP1020900B1 (en) 1999-01-14 2009-08-05 Panasonic Corporation Semiconductor device and method for fabricating the same
US6210988B1 (en) * 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6074919A (en) * 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6133799A (en) 1999-02-25 2000-10-17 International Business Machines Corporation Voltage controlled oscillator utilizing threshold voltage control of silicon on insulator MOSFETS
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6103559A (en) 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
JP4521542B2 (ja) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置および半導体基板
US6251755B1 (en) * 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
US6151248A (en) * 1999-06-30 2000-11-21 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared by adjacent cells
US6323108B1 (en) * 1999-07-27 2001-11-27 The United States Of America As Represented By The Secretary Of The Navy Fabrication ultra-thin bonded semiconductor layers
US6242324B1 (en) * 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6235567B1 (en) * 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
WO2001022482A1 (en) 1999-09-20 2001-03-29 Amberwave Systems Corporation Method of producing relaxed silicon germanium layers
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US7041170B2 (en) * 1999-09-20 2006-05-09 Amberwave Systems Corporation Method of producing high quality relaxed silicon germanium layers
US6249022B1 (en) * 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6271726B1 (en) * 2000-01-10 2001-08-07 Conexant Systems, Inc. Wideband, variable gain amplifier
US6503773B2 (en) * 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6602613B1 (en) * 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
DE10004578C1 (de) 2000-02-03 2001-07-26 Wacker Siltronic Halbleitermat Verfahren zur Herstellung einer Halbleiterscheibe mit polierter Kante
US6261929B1 (en) * 2000-02-24 2001-07-17 North Carolina State University Methods of forming a plurality of semiconductor layers using spaced trench arrays
US6316301B1 (en) * 2000-03-08 2001-11-13 Sun Microsystems, Inc. Method for sizing PMOS pull-up devices
JP3603747B2 (ja) 2000-05-11 2004-12-22 三菱住友シリコン株式会社 SiGe膜の形成方法とヘテロ接合トランジスタの製造方法、及びヘテロ接合バイポーラトランジスタ
US6555839B2 (en) * 2000-05-26 2003-04-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US7503975B2 (en) * 2000-06-27 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method therefor
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
EP1350290B1 (en) * 2000-08-04 2006-11-22 Amberwave Systems Corporation Silicon wafer with embedded optoelectronic material for monolithic oeic
WO2002013262A2 (en) * 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
US6482749B1 (en) * 2000-08-10 2002-11-19 Seh America, Inc. Method for etching a wafer edge using a potassium-based chemical oxidizer in the presence of hydrofluoric acid
DE60125952T2 (de) * 2000-08-16 2007-08-02 Massachusetts Institute Of Technology, Cambridge Verfahren für die herstellung eines halbleiterartikels mittels graduellem epitaktischen wachsen
US6420937B1 (en) * 2000-08-29 2002-07-16 Matsushita Electric Industrial Co., Ltd. Voltage controlled oscillator with power amplifier
JP2002076334A (ja) 2000-08-30 2002-03-15 Hitachi Ltd 半導体装置及びその製造方法
US6475072B1 (en) * 2000-09-29 2002-11-05 International Business Machines Corporation Method of wafer smoothing for bonding using chemo-mechanical polishing (CMP)
JP2002164520A (ja) 2000-11-27 2002-06-07 Shin Etsu Handotai Co Ltd 半導体ウェーハの製造方法
AU2002228779A1 (en) 2000-12-04 2002-06-18 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
US6594293B1 (en) * 2001-02-08 2003-07-15 Amberwave Systems Corporation Relaxed InxGa1-xAs layers integrated with Si
US6900103B2 (en) 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
JP2002289533A (ja) 2001-03-26 2002-10-04 Kentaro Sawano 半導体表面の研磨方法、半導体デバイスの製造方法および半導体デバイス
US6603156B2 (en) * 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
WO2002082514A1 (en) * 2001-04-04 2002-10-17 Massachusetts Institute Of Technology A method for semiconductor device fabrication
JP2002356399A (ja) 2001-05-31 2002-12-13 Mitsubishi Materials Silicon Corp 半導体基板と電界効果型トランジスタ並びにSiGe層の形成方法及びこれを用いた歪みSi層の形成方法と電界効果型トランジスタの製造方法
US6593625B2 (en) 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
AU2002322105A1 (en) * 2001-06-14 2003-01-02 Amberware Systems Corporation Method of selective removal of sige alloys
US6717213B2 (en) * 2001-06-29 2004-04-06 Intel Corporation Creation of high mobility channels in thin-body SOI devices
JP4039013B2 (ja) * 2001-07-06 2008-01-30 株式会社Sumco 半導体基板と電界効果型トランジスタ並びにSiGe層の形成方法及びこれを用いた歪みSi層の形成方法と電界効果型トランジスタの製造方法
JP3985519B2 (ja) * 2001-12-27 2007-10-03 株式会社Sumco 半導体基板及び電界効果型トランジスタ並びにこれらの製造方法
EP1415331A2 (en) * 2001-08-06 2004-05-06 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
DE10143741A1 (de) * 2001-09-06 2003-03-27 Wacker Siltronic Halbleitermat Beschichtete Siliciumscheibe und Verfahren zu ihrer Herstellung
WO2003028106A2 (en) * 2001-09-24 2003-04-03 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
JP4277467B2 (ja) * 2001-10-29 2009-06-10 株式会社Sumco 半導体基板及び電界効果型トランジスタ並びにこれらの製造方法
US6576532B1 (en) * 2001-11-30 2003-06-10 Motorola Inc. Semiconductor device and method therefor
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
WO2003105189A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
EP2267762A3 (en) * 2002-08-23 2012-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor heterostructures having reduced dislocation pile-ups and related methods
JP4307974B2 (ja) 2003-12-12 2009-08-05 日本碍子株式会社 フィルタの圧力損失測定装置
US7282425B2 (en) * 2005-01-31 2007-10-16 International Business Machines Corporation Structure and method of integrating compound and elemental semiconductors for high-performance CMOS

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05121450A (ja) * 1991-06-13 1993-05-18 Hitachi Ltd 半導体装置及びその製造方法
JPH07169926A (ja) * 1993-10-29 1995-07-04 Internatl Business Mach Corp <Ibm> 疑似基板構造体及びその製造方法
JP2002502121A (ja) * 1998-01-30 2002-01-22 コミツサリア タ レネルジー アトミーク 特にヘテロエピタキシャル堆積用のコンプライアント基板
WO2000054338A1 (en) * 1999-03-12 2000-09-14 International Business Machines Corporation High speed ge channel heterostructures for field effect devices
JP2002118254A (ja) * 2000-08-01 2002-04-19 Mitsubishi Materials Silicon Corp 半導体基板と電界効果型トランジスタ並びにSiGe層の形成方法及びこれを用いた歪みSi層の形成方法と電界効果型トランジスタの製造方法
JP2003022970A (ja) * 2001-07-06 2003-01-24 Mitsubishi Materials Silicon Corp 半導体基板と電界効果型トランジスタ並びにSiGe層の形成方法及びこれを用いた歪みSi層の形成方法と電界効果型トランジスタの製造方法
JP2003051444A (ja) * 2001-08-06 2003-02-21 Sumitomo Mitsubishi Silicon Corp 半導体基板及び電界効果型トランジスタ並びにこれらの製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009545169A (ja) * 2006-07-24 2009-12-17 エーエスエム アメリカ インコーポレイテッド 半導体バッファ構造体内の歪み層
JP2008153671A (ja) * 2006-12-15 2008-07-03 Soitec Silicon On Insulator Technologies 半導体ヘテロ構造
JP2010239130A (ja) * 2009-03-11 2010-10-21 Sumitomo Chemical Co Ltd 半導体基板、半導体基板の製造方法、電子デバイス、および電子デバイスの製造方法
US8823141B2 (en) 2009-03-11 2014-09-02 Sumitomo Chemical Company, Limited Semiconductor wafer, method of producing semiconductor wafer, electronic device, and method of producing electronic device
US10553423B2 (en) 2012-09-05 2020-02-04 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US10811249B2 (en) 2012-09-05 2020-10-20 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9929009B2 (en) 2013-12-19 2018-03-27 Asm Ip Holding B.V. Cyclical deposition of germanium
US10741388B2 (en) 2013-12-19 2020-08-11 Asm Ip Holding B.V. Cyclical deposition of germanium

Also Published As

Publication number Publication date
EP1530800B1 (en) 2016-12-14
AU2003274922A1 (en) 2004-03-11
WO2004019391A3 (en) 2004-12-02
US20060009012A1 (en) 2006-01-12
US7368308B2 (en) 2008-05-06
US7375385B2 (en) 2008-05-20
EP1530800A2 (en) 2005-05-18
JP5639248B2 (ja) 2014-12-10
US9309607B2 (en) 2016-04-12
JP2014075585A (ja) 2014-04-24
JP2011018946A (ja) 2011-01-27
US20140338589A1 (en) 2014-11-20
US20160225609A1 (en) 2016-08-04
WO2004019391A2 (en) 2004-03-04
US7049627B2 (en) 2006-05-23
EP2267762A2 (en) 2010-12-29
US9934964B2 (en) 2018-04-03
US20040087117A1 (en) 2004-05-06
US20110012172A1 (en) 2011-01-20
JP5491351B2 (ja) 2014-05-14
US20080079024A1 (en) 2008-04-03
US20040075105A1 (en) 2004-04-22
EP2267762A3 (en) 2012-08-22
US20120104461A1 (en) 2012-05-03
US8823056B2 (en) 2014-09-02
US7829442B2 (en) 2010-11-09
JP5144002B2 (ja) 2013-02-13
US8129747B2 (en) 2012-03-06

Similar Documents

Publication Publication Date Title
JP5639248B2 (ja) 減少した転位パイルアップを有する半導体ヘテロ構造および関連した方法
US7208332B2 (en) Methods for preserving strained semiconductor substrate layers during CMOS processing
US8362503B2 (en) Thick nitride semiconductor structures with interlayer structures
US7666799B2 (en) Epitaxial growth of relaxed silicon germanium layers
US7594967B2 (en) Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051109

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090812

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091111

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091118

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091210

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091217

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100108

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100212

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100517

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100622

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101022

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101109

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20101112

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20110121

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120309

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120314

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120927

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121002

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121029

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121122

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151130

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5144002

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term