JP2005501397A - 半導体基板上にエピタキシャル膜を蒸着するためのシステムおよび方法 - Google Patents

半導体基板上にエピタキシャル膜を蒸着するためのシステムおよび方法 Download PDF

Info

Publication number
JP2005501397A
JP2005501397A JP2002581562A JP2002581562A JP2005501397A JP 2005501397 A JP2005501397 A JP 2005501397A JP 2002581562 A JP2002581562 A JP 2002581562A JP 2002581562 A JP2002581562 A JP 2002581562A JP 2005501397 A JP2005501397 A JP 2005501397A
Authority
JP
Japan
Prior art keywords
gas
processing system
semiconductor substrate
wafer processing
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002581562A
Other languages
English (en)
Inventor
イー.ジョンズガード クリステイン
イー.サローズ デイビッド
エル.メシネオ ダニエル
ディー.メイロー ロバート
ダブリュー.ジョンズガード マーク
Original Assignee
マットソン テクノロジイ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マットソン テクノロジイ インコーポレイテッド filed Critical マットソン テクノロジイ インコーポレイテッド
Publication of JP2005501397A publication Critical patent/JP2005501397A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

エピタキシャル蒸着用システムおよび方法。この反応炉は、ヒータシステム、断熱システムおよびチャンバ壁部とに取り囲まれたホットウォール式処理キャビティを含む。この処理キャビティの壁部は、石英および炭化シリコンなどの、熱膨張率が半導体基板と実質的に同じ材料を含むことができ、1200℃までの高温に加熱可能な等温キャビティまたはほぼ等温キャビティを含むことができる。プロセスガスを複数のポートを介して注入することができ、そのガスは、膜ソースガス、ドーパントソースガスおよびキャリヤガスを含むガス成分の分配制御を微細なレベルで行うことができるものである。ガス供給システムは、温度測定装置を介する、またはシャワーヘッドを介するなど、ガスを処理キャビティに送出する別の方法をさらに含むものである。本発明の一実施形態において、このシステムはシランをシリコンソースガスとして使用できる。本発明の別の実施形態では、基板をサセプタから持上げるためのリフトピン機構は、処理時にサセプタと共に回転できるものである。

Description

【技術分野】
【0001】
本発明は一般に半導体基板の処理に関し、より詳細には、半導体基板ウエハ上にエピタキシャル膜を蒸着させるための改良型システムおよび方法に関する。
【背景技術】
【0002】
本願は、2001年4月12日に仮出願された米国特許出願第60/283,541号に基づくものであり、その優先権を主張するものである。
【0003】
エピタキシャル蒸着を用いる処理は一般に、半導体基板ウエハに単数または複数の層または膜を成長させるものである。この層の成長は、所望の物理学的、電気的および機械的特性を有する層を製造するための基本処理および装置により細心の注意をもって制御される。その特性の例として、通常、エピタキシャル層の成長速度および厚さ、抵抗率、ドーパント濃度、ドーピング遷移幅、欠陥密度、金属および粒子の汚染レベル、およびスリップが挙げられる。こうした特性は、エピタキシャル層を成長させる操作条件(温度、ガス流量およびプロセスガス濃度など)に大きく左右されるため、基本処理および装置のこうした操作条件を常に高精度に制御して、半導体基板の表面全体に均一なエピタキシャル層を製造できるようにしなければならない。しかし、その制御を要件レベルに維持することは、最近では製造処理が200mmから300mmに移行していること、多くの半導体製造業者から課される処理仕様がより緻密になっていること、製造の費用効率を高めるためにより高い処理性能(単位時間当たりの処理基板数)が要求されていることから、その実現がますます難しくなってきているのは明らかである。処理要件が増加していることを考えると、従来のエピタキシャル蒸着手法を用いていては、処理性能要件を満たしつつ必要な制御レベルを維持するのはさらに難しいことである。
【0004】
図1を参照すると、既存の手法によりエピタキシャル蒸着を実施するための反応炉の1例が全体を100として例示されている。この反応炉は石英ベルジャー101からなっており、これで半導体基板102を包囲して外部汚染物から基板102を隔離している。処理時に半導体基板102を支持し、回転させるためのサセプタ103も、ベルジャー101内に配置されている。エピタキシャル層の蒸着に用いるプロセスガスは、ガス入口ポート104から反応炉内に注入され、それとは反応炉の反対側にある排気ポート110を介して反応炉から排気される。半導体基板102およびプロセスガスの双方を操作温度まで加熱するために、複数個の石英ハロゲンランプ112がベルジャー101の上方部分周囲に配置されており、これらから、エネルギーがベルジャー101の透明な壁部を通して反応炉内に照射される。光高温計113が、反応炉壁部の小窓114上方に設けられており、これで反応炉の温度を検出する。この温度測定値が、光高温計113から適したランプ制御回路(図示せず)に中継されると、その回路により、検出された反応炉温度に応じたハロゲンランプ112の出力量の増減が行われる。
【0005】
操作時、図1に示した反応炉例の場合、プロセスガスをガス入口ポート104からベルジャー101内に注入して、エピタキシャル層を半導体基板102上に蒸着させる。このプロセスガスは通常、四塩化シリコン(SiCl4)、トリクロロシラン(SiHCl3)およびジクロロシラン(SiH2Cl2)などのシリコンソースガスと、水素などのキャリヤガスとを含むが、これに、アルシン(AsH3)およびホスフィン(PH3)やジボラン(B26)などの前駆体ガスから提供可能なn形ドーパントまたはp形ドーパントを含めることもできる。プロセスガスのガス流105は、ガス入口ポート104を通過することにより半導体基板102に向けて水平となる。ガス流105が半導体基板102に接近してこれを通過するにしたがい、ベルジャー101の容積が比較的大きいため、ガス流105は層流106に分割されて基板102の表面上を流動した後、自然に循環流107となって、ベルジャー101の上方部分に充満する。この循環流は、温度勾配および具体的長さ(サセプタ表面から包囲チャンバの頂部表面まで)に応じて、層状とも乱流ともなり得る。また、層流106と比較的静止状態である(回転はしているが)半導体基板102との間に速度勾配があるため、半導体基板102の表面上方に境界層108が形成される。
【0006】
この層流が半導体基板102上方を通過するにしたがい、ある程度の反応物が境界層108を介して拡散し、半導体基板102の表面上に吸着される。吸着された反応物115は、表面拡散を受けて、成長中の単結晶膜上で適した格子位置116に辿り付く。この表面拡散ステップは、エネルギーを必要とするものであり、最終的に得られるエピタキシャル層の品質を決定する重要なファクタである。原子が追加されて堆積される前に反応物を格子位置に収容しておくことができるほどに表面エネルギーが十分でないと、結晶格子内に望ましくない欠陥が発生する。反応物115はまた、半導体基板102の表面と化学的に反応して副産物117を形成する。この副産物は、表面から離脱し、境界層108を介して拡散して層流106内に戻り、排気ポート110を介して反応炉から除去される。
【0007】
図1に例示した例などの従来のエピタキシャル反応炉には、いくつか欠点があるため、さまざまな用途に対する有効かつ費用効率のよい設備とならない場合もある。1つの問題は、ベルジャー101の容積が比較的大きいために、この種の反応炉では半導体基板102およびプロセスガスの処理温度を正確に制御できないことである。上述したように、半導体基板102およびプロセスガスの処理温度は、所望する物理学的、電気的および機械的特性の実現に決定的なファクタである。この温度が低すぎると、反応物のエネルギーが不足して、反応物は適した格子位置に収容されず、結晶格子内の欠陥密度が高まりかねない。また温度が高すぎれば、SiH4やSi26を使用した場合、シリコン分子が結合して蒸気相内にシリコン凝集体が形成されてしまう。シリコン凝集体が形成されれば、基板102の表面に落下して、単結晶の成長を干渉する可能性がある。ベルジャー101の容積を削減することが望ましいが、反応炉の構造上の完全性という観点から、それには限界がある。
【0008】
もう1つの問題点は、半導体基板102の表面上におけるプロセスガスの流動および濃度を制御する、既存の反応炉の性能に関連する。図1の層流106が半導体基板102上を通過する間、プロセスガス内の反応物は一様に放出されていくため、層流106の反応物濃度は、ガス入口ポート104から排気ポート110に近づくにつれて低くなる。処理時には半導体基板102を回転させることで、半導体基板102の周囲における放出反応物濃度の影響を低減することができるが、半導体基板の内側部分に放出される反応物濃度については、図1のガス流システムでは適切に対処することができない。このため、半導体基板の周囲部分において、内側部分に比較するとその厚さは厚くなり、抵抗率は低くなってしまう。
【0009】
さらに別の問題は、ベルジャー101の壁部に低品質のシリコン膜が堆積する可能性に関するものである。いわゆる「コールドウォール式」反応炉の設計者は通常、反応を発生させるために反応物ガスの温度を十分高く維持するように、また同時に、その壁部に低品質のアモルファスシリコン膜を蒸着させないためにベルジャー101の温度を低く維持するように相当の努力をしている。しかし、壁部の温度が高温になりすぎると、シリコン分子が半導体基板102に付着するだけでなく、反応炉の石英壁部にも薄膜を形成してしまう。このように望ましくない低品質膜が形成されると、ベルジャー101が色を帯びてさまざまな問題を起こしかねない。アモルファスシリコンの石英ベルジャー101への粘着力は弱い上、シリコンと石英とでは熱膨張率が異なるため、反応炉が冷却されると、シリコンは石英壁部から剥がれ落ちやすくなる。アモルファスシリコンはまた、処理時に石英壁部から剥がれて、半導体基板102上に落下することにより、微粒子混入を起こしかねない。ベルジャー101が色を帯びれば、ハロゲンランプ112からベルジャー101を通過できるエネルギー量も低下する。さらに、アモルファスシリコンが窓114をコーティングする可能性もあり、こうなると、光高温計113が受信する光エネルギーは、本来受信するはずの量より減るため、光高温計113が検出するウエハ温度は実際よりも低いものとなり、ハロゲンランプ112により多くのエネルギーを送出するように指示を出して、ベルジャー112にさらに多くの不要な蒸着を形成させる結果になってしまう。このため、各半導体基板の処理後、HClでベルジャーの蒸着物をエッチングしなければならない場合も多く、結果として反応炉の処理性能が低下する。
【発明の開示】
【発明が解決しようとする課題】
【0010】
したがって、従来技術の欠点と、バイポーラジャンクショントランジスタ(BJT)および相補型金属酸化膜半導体(CMOS)技術などのさまざまな集積回路技術におけるエピタキシャル蒸着がますます重要性になってきていることとを鑑みると、半導体基板上にエピタキシャル層を蒸着する改良型システムおよび方法が必要である。
【課題を解決するための手段】
【0011】
本発明の実施形態は、半導体基板の品質および処理性能を高めるための改良型システムおよび方法を提供するものである。本発明の態様によれば、エピタキシャル反応炉は、ヒータシステム、断熱システム、およびチャンバ壁部で包囲されたホットウォール式処理キャビティを含むように構成される。この処理キャビティを囲む壁部の1つにスリットが設けられており、これにより、処理チャンバを冷却しなくても処理キャビティの中に半導体基板を挿入し、処理キャビティから半導体基板を取出すことができるようになっている。処理キャビティの壁部に、半導体基板と実質的に同じ熱性能を有する、石英や炭化ケイ素などの材料を含めることができる。これにより、半導体基板の加熱時に、処理チャンバの壁部を半導体基板と同じ200℃以内の温度、特に半導体基板と同じ100℃以内の温度にまで加熱することができる。例えば、一実施形態において、処理チャンバの壁部を、ほぼ半導体基板の処理温度まで加熱することができる。
【0012】
シリコン含有層の形成時にホットウォール処理チャンバを用いることにより、壁部表面に付着する分子は、アモルファスシリコンではなく金属シリコンを形成する。この金属シリコンは、コールドウォール式反応炉に通常形成されるアモルファスシリコン膜より安定であるため、反応炉に、各処理サイクル間で反応炉の壁部を清浄せずに複数枚の半導体基板を連続処理するという本発明の原理を組入れることができる。これにより、本発明の実施形態を用いて、安定した熱環境内において処理性能の高いエピタキシャル蒸着を提供することができる上、複雑で高価なランプシステムを使用せずにすむ。また、この処理キャビティの容積は比較的小さいため、境界層の厚さを制限し、基板への境界層を介する反応物と反応副産物との交換をより効率よく行うことができるようになる。
【0013】
この反応炉にはまた、複数のガス入口ポートを介してプロセスガスを処理チャンバ内に注入するためのガス供給システムを設けることができる。複数のガス入口ポートそれぞれにおける流量および/または濃度は、例えば、半導体基板の縁領域、中間領域、および中央領域の上のプロセスガスの流動を選択的に調節するように、個別にまたはグループ別に制御可能となっている。このガス供給システムはまた、半導体基板に対するプロセスガスの流動を選択的に調節するように、複数の排気ポートそれぞれにおける流量を、単独でまたはガス入口ポートの選択的制御と連動して、制御できるものである。
【0014】
別法として、ガス流動システムに、基板表面に向けてプロセスガスを下向きに流入させて境界層を削減するように、処理チャンバの上方部分に配置したシャワーヘッドを組入れてもよい。境界層を削減するもう1つの方法は、処理キャビティ内に凹部またはボール形状部分を形成するように処理チャンバの上方壁部を形作ることである。この場合、ガス供給システムにさらに、温度測定装置用に使用する貫通孔など、ガスを処理キャビティに送出する追加方法を含むことができる。また、例示した反応炉はホットウォール処理キャビティを含み、熱源が処理チャンバ内にあって、透明な石英ジャーを通過して伝達されることがないため、シランをシリコンソースガスとして効率よくかつ有効に使用するように、この反応炉のチャンバを構成することができる。
【0015】
本発明の一実施形態において、ガスをチャンバ内に給送するためのガス入口は、長手方向部分と横方向部分とを有する。長手方向部分は、チャンバの底部または頂部からチャンバ内に延在可能な部分であり、横方向部分は、ガス流をウエハ表面に方向付けるように構成可能な部分である。この構造において、ガスは、チャンバ内に略垂直に流入した後、水平に方向付けられてウエハを横切る。さらに、横方向部分を、ガス流をウエハ表面全体に充満させるように構成することもできる。
【0016】
具体的な一実施形態において、ガス入口の長手方向部分を、チャンバの加熱に用いる電気抵抗ヒータなどの加熱装置に隣接して配置することができる。こうすることにより、ガス入口を通過するガス流を、ウエハに曝露する前に予備加熱することができる。
【0017】
ガス入口それぞれの横方向部分を、共通プレナムを画定する支持リングと連通させることができる。プレナムは、ガスをウエハ表面全体に行き渡らせるために使用できるものである。同様に、このシステムに、ガス入口とは反対のウエハ側に排気装置を具備することができる。この排気装置に、ガスをチャンバから排気するための排気リングを具備し、複数のガス排気出口と連通させることができる。一実施形態において、このシステムに、少なくとも5つのガス入口と少なくとも5つのガス出口を設けることができる。
【0018】
処理チャンバに、チャンバおよびチャンバ内に容れられた半導体基板すべてを加熱するための加熱装置を具備することができる。一実施形態において、この加熱装置に、カゴ状加熱システムを含めることができる。カゴ状加熱システムには、頂部抵抗ヒータ、底部抵抗ヒータ、および少なくとも1つの側部抵抗ヒータを含めることができる。一実施形態において、ガス入口の長手方向部分を、この抵抗ヒータの少なくとも1つに隣接して配置することができる。こうすることにより、ガスを、半導体ウエハに接触させるまえに予備加熱することができる。
【0019】
本発明の別の実施形態において、基板をサセプタから隆起させるリフトピン機構は、処理時にサセプタと共に回転可能なものである。リフトピンをサセプタと共に回転させられることにより、リフトピンをサセプタ内の対応穴に位置合わせするようにサセプタの回転を停止させることに伴う難しさおよびリフトピン破損の危険性を回避することができる。この実施形態ではまた、通常静止しているピンに向けて回転を合わせるのにかかる時間を省くことができるため、処理キャビティから基板を抽出するために必要な時間を短縮することにより、処理性能を高めることができる。
【0020】
以上のみならず、本発明の他の特徴および利点は、当業者であれば、添付した図面と併せて説明する以下の詳細な記載からより明白になるであろう。
【発明を実施するための最良の形態】
【0021】
本発明の態様は、半導体基板を処理するための改良型システムおよび方法を提供するものである。本発明の例示的一実施形態は、半導体ウエハに対するエピタキシャルまたは他の熱的CVD蒸着用の安定したホットウォール式熱環境を提供するものである。不透明な石英壁部および回転式サセプタから断熱型処理キャビティが形成され、これで抵抗加熱システムを封入する、またはこれを抵抗加熱システムで包囲することができる。この壁部は、処理キャビティをそれより低温の周囲環境から断熱して、比較的一様で安定した熱加工環境を提供するものである。この処理環境を真空圧力に維持して、その熱環境の断熱性および安定性を高めることもできる。ウエハは狭いスロットを介してこの処理環境内に挿入され、ピンの上に配置される。このピンがウエハを加熱されたサセプタまで下降させる。ウエハがサセプタ上で回転しているところへ、シリコン蒸着ガスがウエハ表面全体に流入する。この処理キャビティは比較的小型であるため、処理効率および制御を改良するものである。蒸着物は処理キャビティのホットウォールにも形成されるが、この蒸着物は、比較的安定な金属シリコンとなりやすい。ウエハは、処理後、ピン上に配置されてキャビティから取出される。この処理キャビティを、位置合わせしたスロットまたはポートを有する処理チャンバで包囲することができる。こうすることにより、1回の動作でウエハを同一水平面に沿って横方向に処理キャビティおよびチャンバ内に挿入する、また、そこから取出すことができる。このウエハの挿入および取出しを行う間、処理キャビティを高温にしたままでよい。したがって、安定した熱環境で、複雑なランプシステムを必要とすることなく、あるいは、各ウエハを処理する毎にコールドウォール式処理環境を加熱および冷却する必要もなく、大変高い処理性能を実現することができる。
【0022】
この実施形態のさらなる利点は、さまざまなサイズおよび形状の半導体基板を処理できることである。コールドウォール式ランプシステムの場合、基板のサイズが異なれば、そのランプおよび温度制御システムを実質的に再構成しなければならない可能性がある。しかし、上述した実施形態による安定した熱環境では、異なるサイズのウエハでも比較的簡単に収容することができる。この反応炉が特定的に300mm直径のシリコンウエハを処理するように設計されていても、200mmのウエハも、150mmのウエハも、125mmのウエハも同様に処理することができる。さまざまなサイズのウエハを処理できれば、所有者のコスト負担を削減することができる。この反応炉は、製造業者があるサイズの基板から別のサイズの基板へと移行することを橋渡しする「橋渡しツール」としての役割を果たすことができる。例えば、300mm基板への移行準備ができていないチップ製造設備であれば、このツールを使用して200mm基板を処理していればよい。サイズの転換が最終的に実行されても、製造業者は新システムをすべて購入し直す必要を回避することができる。
【0023】
図2を参照すると、本発明の原理を有利なことに実行できるシステムの1例が図示されている。このシステムの構造部分は、処理キャビティを包囲する処理チャンバを含む。この処理キャビティは、サセプタ(基板支持プレートと呼ぶこともできる)と、キャビティの底部および頂部それぞれを形成しているフード部分とを有する。複数のヒータが処理チャンバの頂部および底部と、側部の一部とを取り囲んでいる。側部におけるヒータの位置は、処理する基板の数および所望の熱伝達特性に応じて変化する。ヒータの外側には、チャンバライナが設けられている。このライナにより、断熱性が得られ、チャンバ壁部を汚染から保護し、最終的に、チャンバ壁部自体を保護している。このヒータによるカゴ構造内で、サセプタの隣および下に位置しているのが、基板をサセプタから持上げる役割を果たすリフトピン支持プレートアセンブリである。この領域内にさらに配置されているのが、中央ポスト(およびその関連ハードウェア)である。このポストは、処理キャビティ内で転送ポートに隣接する位置からサセプタを上昇させ、またその位置までサセプタを下降させるものである。プロセスガスは、ガス供給システムにより処理キャビティ内に注入され、処理キャビティから排気される。このシステム例の概要を参照しながら、以下に、このシステム、回転リフトピン支持構造、加熱システムおよびガス注入システムが含む構成要素の詳細を記載する。
【0024】
図2に例示しているように、このシステム例は、200として図示したエピタキシャル蒸着用反応炉を含む。この反応炉の正面プレート201は、基板搬送システム207のロボット式ウエハ転送チャンバと嵌合できるようになっている。基板搬送システムの1例として、Mattson Technology,Inc.から利用可能なAspen IIIシステムが挙げられる。正面プレート201の中間に設けられた水平スリット202は、ウエハハンドリングシステム207の移送チャンバから反応炉200内外にウエハを搬送するためのものである。反応炉200はまた、電気的フィードスルー203をその頂部に、サセプタ操作装置204をその底部に具備している。ガス供給入口205はチャンバ底部に設けられている。この処理チャンバはさらに、少なくとも1層の絶縁シールドで取り囲まれた処理キャビティを含み、そのキャビティは処理チャンバ壁部に取り囲まれている。
【0025】
エピタキシャル反応炉200はさらに、反応炉200内にプロセスガスを流入させるためのガス供給システム210と、反応炉200からガスを排気させるためのポンピングシステムまたは排気ライン208と、ウエハを挿入および取出すためのウエハ搬送システム207と、サセプタを操作するための機械システム209と、反応炉200の操作を制御およびモニタするための制御システム212と、上述システムのサブシステム、ならびに、光高温計および温度制御システム210の他の温度測定装置などの外部設備とに連結されている。
【0026】
図3を参照すると、正面プレート201を左側部分301から取外してその内部を見せた状態で、図2に示した反応炉200の断面図が例示されている。まだ正面プレート201でカバーされている右側302も、露出された左側部分301と本質的に鏡面対照(mirrored)である。
【0027】
ここで図4を参照すると、図3で303として概要を示した処理キャビティの拡大図が例示されている。処理キャビティ303は、基板ホルダ401を含み、このホルダ401には、処理時に基板(図示せず)の位置をその上で固定しやすくなるように浅い凹部402が設けられている。凹部402のサイズおよび形状は、例えば、300mm(12インチ)シリコンウエハの縁部が凹部402内に収まるように構成することができる。基板ホルダ401に、固体炭化シリコン、炭化シリコンコーティンググラファイト、またはこれ以外の、基板ホルダ401からの汚染物流を最小限に抑え、半導体ウエハと適合する熱特性を有する材料を含めることができる。基板ホルダ401をサセプタと呼ぶこともできる。
【0028】
この基板ホルダ401は、石英などの材料で形成可能な支持プレート403に支持されている。石英を用いることは、不純物含有量が少なく、CTEが大変低い部品に製造することができる上、炭化シリコンに比較して安価でもあるため、この具体的な用途では特に有利である。支持プレート403を、中央ポスト404に容易に接合することができる。リフトピン支持プレート405も石英で製造することができる。このプレートは、リフトピン407用の収容部406を有する。リフトピン407は、支持プレート403を通じてはるばる貫通して、基板ホルダ401の頂面を突出ることなく、基板ホルダ401内部を終点としている。リフトピン407は、基板ホルダ401内に「入れ子」になっていると言える。リフトピン支持プレート405は、中央ポスト404を同軸状に取り囲む中央ポスト外側チューブ408に取付けられている。中央ポスト404はまた、支持プレートを突起409上で中心位置(基板の中心に対して)に支持および位置合わせしている。
【0029】
リフトピン407を基板ホルダ401の各穴内で入れ子にすることで、いくつかの利点が得られる。また、中央ポスト404および中央ポスト外側チューブ408の同軸アセンブリをリフトピン支持プレート405に対して中央に配置することでも利点が得られる。処理時に基板の回転と合わせてリフトピン407を回転させることで、ウエハをサセプタ401から持上げるようにピンを作動させる前にリフトピンを基板ホルダ底部の穴と完全に位置合わせするために基板ホルダを減速してゆっくり回転させる必要性がなくなるため、処理性能上の利点が得られる。
【0030】
図4の実施形態は、リフトピン407が取付けられる収容部406付きの、回転筐体内で中央ポスト404に固定されたリフトピン支持プレート405を提供するものである。この設計にすると、処理時に基板が回転している間でも、ピンは基板ホルダの穴と常に位置合わせされた状態となる。リフトピンが基板支持プレート403の各穴と絶えず位置合わせされて、その中に部分的に収容されているため、熱損失量も最小限に抑えられる。処理時にリフトピン407が基板支持プレート403と共に回転するため、リフトピン407は、大半の時間、基板支持プレート403の穴内部に位置している。中央ポスト外側チューブ408は、処理チャンバの下方(図2の204でおよその位置を図示)で回転筐体内にて中央ポスト404および関連ハードウェアに固定されており、一緒に回転する基板支持プレート403からウエハを持上げる役割を果たすものである。これにより、リフトピン407は常に基板ホルダ401および基板支持プレート403の各穴と位置合わせされた状態となる。この「熱的隙間」(プレートの穴)を塞ぐことにより、ウエハからの熱損失量が低減され、基板支持プレート403からウエハに提供される熱プロファイルがより均一となる。こうして、ウエハからの熱損失量を削減することができる。ウエハをアンロードするには、まず、リフトピン407でウエハを基板ホルダ401から持上げる。リフトピン407はすでに穴と位置合わせされているため、ロボット式移送アーム用に隙間を設けるまでウエハを持上げるだけでよい。その隙間距離は、例として401の頂面からおよそ0.5インチ(およそ1.27cm)から1インチ(2.54cm)である。
【0031】
図4の支持ピン構造を用いると、基板ホルダおよび支持プレートを回転させている間、リフトピン支持プレートを静止させておく機械的リスクを回避することができる。これにより、リフトピン支持を持上げてその位置合わせ不良を起こすリスクも排除することができる。このリフトピンを取外すべき場合には、反応炉を開き、少なくとも一部を解体してピンを交換しなければならない。これにより、処理時間を相当費やされてしまう。例示した支持ピン構造の場合、ウエハを支持している支持プレート内に開放した(閉塞していない状態)穴を有することにより発生する温度不均一のリスクと、ウエハに接触しているリフトピンがウエハと異なる温度である場合にウエハに熱衝撃を与える熱的リスクとを回避できる。
【0032】
回転型リフトピンを具備するというこの概念がエピタキシャル処理システムに限定されるものではないことに特に留意されたい。むしろ、この手法は、さまざまな種類の半導体処理装置に使用できるものであり、その例として、急速加熱処理(RTP)および化学蒸着(CVD)反応炉、およびピンや回転支持体を使用する他のシステムが挙げられる。
【0033】
図4を参照すると、処理キャビティの頂部には、フード409が具備されている。処理キャビティを、底部にサセプタ401を設け、頂部にフード409を設けて形成することにより、反応物ガスを半導体基板の処理用に処理キャビティ内に閉じ込めることができる。
【0034】
処理キャビティ(および、リフトピンに付随するサセプタ持上げ機構)の外側に配置されているのが加熱システムである。この加熱システムは、処理キャビティ(およびサセプタリフト機構)を本質的に包囲するカゴ状構造を含むことができる。図4を参照すると、頂部ヒータ410を、フード409の上方に位置決めすることができる。このヒータは断面図で図示されているが、加熱構成要素が図4の平面の前後に延在できるものである。したがって、加熱構成要素は図4では複数の別個構成要素のように見える。この頂部ヒータ410を複数のヒータで構成して、複数の加熱領域を形成してもよいことに留意されたい。図4の実施形態では、頂部ヒータ410は内側領域と外側領域とで構成されているが、これ以外の構成も考えられ、それも本発明の範囲内である。頂部ヒータ410のうち、右端および左端にそれぞれ位置する最も外側の4つの矩形が外側加熱領域410aを構成している。その間にあるおよそ19個の矩形それぞれ(1個のみに参照符号を付与)が、内側領域410bを構成している。
【0035】
この加熱システムにはまた、側部加熱構成要素を含めることができる。例えば、反応炉に、頂部構成要素411aおよび底部構成要素411bを有する側部ヒータを含めることができる。別法として、頂部および底部構成要素411aおよび411bを組合わせて単一ヒータを形成することもできるが、頂部構成要素と底部構成要素とを別個で設けたほうが、ウエハの温度、特にその縁部における温度の均一性をより正確に制御することができる。縁部ヒータを設けると、ウエハの縁部およびサセプタから処理チャンバ側部に放出されるエネルギーを補償できるため、基板温度の均一性を向上させることができる。実施形態によっては、2つの縁部ヒータは必要ないと決定されれば、この縁部ヒータに単一領域ヒータを含めることができる。例えば、基板を処理チャンバにロードし、処理チャンバからアンロードさせるためのポートを指している図4の参照符号412の位置に近い垂直位置でウエハを処理する場合、単一領域縁部ヒータを用いることができる。図4の反応炉の場合、単一領域ヒータを含む底部ヒータは、413として示されている。無論、この底部ヒータに複数の領域を含めることもできる。
【0036】
より明確にするために、頂部、底部および側部加熱構成要素を、処理チャンバから単一ユニットとして取出されたように図5に示す。図5にはまた、基板ホルダ401と、その下の支持プレート403と、処理キャビティの頂部を形成するフード409と、中央ポスト404とを図示している。この図5は、実施形態によってはヒータシステムが処理キャビティ303を取り囲むカゴ状構造を含むことができ、これにより、等温環境を実現して、熱流動を起こさないという所望の効果と基板に対する優れた加熱均一性とが得られるということを強調した図である。
【0037】
図4では、底部ヒータ413などの各加熱構成要素に、炭化シリコンコーティンググラファイト製加熱構成要素を下方シールド414と上方シールド415との間で包囲して含めることができる。シールドそれぞれに炭化シリコンコーティンググラファイトを含めることができるが、シールドのグラファイト芯は、加熱構成要素のケース内にあるため、抵抗加熱されない。これらのシールド(同義として「エンクロージャ」ともいう)は、いくつかの役割を果たす。加熱トレースに隣接する複数領域およびその間のスペースにおける不均一な温度を均一化することにより、キャビティ内への熱の放射に伴い、ヒータ隣接部分における温度の均一性をより良好にする。シールドはまた、加熱構成要素をその中に封入して、加熱構成要素が酸素に曝露されるリスクを防止する。3つ目の目的は、グラファイト芯をコーティングしている炭化シリコン層にヒビ割れが起こった場合に加熱構成要素を保護することである。シールドを設けておくことにより、グラファイト内の不純物を処理キャビティ内に侵入させないようにすることができる。
【0038】
同じ理由から、頂部ヒータ410aおよび410bを、炭化シリコンをコーティングしたグラファイト製シールドで包囲してもよい。例えば、頂部ヒータ410を頂部シールド416および底部シールド417で包囲することができる。同様に、側部ヒータをシールドで包囲してもよいが、このシールドは必ずしも炭化シリコンコーティンググラファイトでなくてもよい。例えば、側部ヒータ411を一実施形態では石英内に封入することができる。
【0039】
図6を参照すると、処理ステーション301および302と、内側石英チューブ601および外側石英「ピーナッツ」602とを示した、反応炉200の平面図が図示されている。内側石英チューブ601は、左側の処理ステーションと右側の処理ステーションとをそれぞれ取り囲んでいる。この実施形態では、ピーナッツ型の外側石英シールド602は1つだけ設けられているため、シールド602が両方のステーションを取り囲んでいる。側部ヒータ411もピーナッツ型であり、外側石英ピーナッツシールド602の内側に配置されている。
【0040】
再度図4を参照すると、頂部側部ヒータ支持部品418は、2つの側部ヒータ411aと411bとの間に位置している。支持部品418を設けたことにより、上方ヒータと下方ヒータとを電気的に接触させずに、上方ヒータを下方ヒータ上に搭載することができる。また、支持部品418により、2つのヒータの間に一定の距離をあけて上方ヒータを支持することができる。下方ヒータは、それ自体の支持部品419により支持されている。これらの側部ヒータ支持部品は、反応炉の幾何形状に応じていずれの形状でもよく、この場合は、側部ヒータと同じように、支持部品の形状は実質的にピーナッツ型である。
【0041】
実施形態によっては、抵抗ヒータの構成要素に、熱膨張率が同じでモノリシック構造を形成している非導電材料のマトリクス内に埋設された導電材料ストリップを含めることができる。このようなヒータについての詳細は、本願と同じ譲渡人に譲渡された、2000年11月16日出願件、"Apparatus and methods for resistively heating a thermal system"の従来技術に記載されている。この能動型加熱構成要素を、熱膨張特性が同じである高抵抗率セラミック材料内に埋設された、それより低い抵抗率のセラミック材料のトレース(a low resistivity trace)にすることができる。特定の実施形態では、この低抵抗率材料を、窒素をドーピングした炭化シリコンにすることができる。この材料の抵抗率は、ドーピングを施していない炭化シリコンマトリクスに比べておよそ4桁低くなっている。
【0042】
モノリシックプレートヒータの1例を図7に図示する。従来の炭化シリコンコーティンググラファイト製ヒータの場合と同様に、内側領域ヒータ701および外側領域ヒータ702として図7で示したように、モノリシックプレートヒータに複数の領域を設けることができる。各領域がそれぞれ、703および704として示すように、独自のコネクタ端子を有する。
【0043】
処理キャビティを取り囲むヒータと、ヒータシステムを取り囲む構造とに、最終的にチャンバ壁部に到達するまでのチャンバライナを含めることができる。シールド416の上方に位置するのがチャンバライナ420である。これにより、処理チャンバ内部に向けて配置されているハードウェアをチャンバ壁部421から拡散する汚染物から保護し、チャンバ壁部を処理セルで使用された腐食性ガスから保護することができる。シールド416はまた、処理キャビティに対する断熱を提供しており、これにより、キャビティの温度均一性が向上し、チャンバ壁部を高温から断熱することができる。このチャンバ壁部に、アルミニウム、陽極酸化アルミニウムおよびステンレス鋼を含めることができる。チャンバライナ420は、赤外エネルギーを処理チャンバ内に閉じ込めるように、この実施形態では、不透明な石英を含む。
【0044】
チャンバの側壁422を、水などの冷却液を壁部の中間部に流動させることにより水冷却することができる。頂部および底部チャンバ壁部にも水冷却用穴が設けている(参照番号付与せず)。側壁422は、側部石英チャンバライナ424により保護されており、ステンレス鋼製チャンバ底部壁426は石英ライナ425により保護されている。
【0045】
図8は、反応炉200の2つの基板処理領域が対称であることを例示した図である。図8は、本発明の原理を用いて2枚の基板を同時に処理できるという概念を明確にした図である。図8では、右側処理ステーション302用外側上方ヒータ領域を810aとして図示している。図8の加熱領域810aは、図4の左側加熱領域419aに類似した右側であり、図8の中間加熱領域810bは、反応炉200の左側加熱領域410bに類似している。このヒータがピーナッツ型であり、2つの処理ステーションベース間の領域に延在していないことから、図8の801として示す辺りの中間部には側部加熱構成要素がないことを理解されたい(図6も参照)。同等に、右側処理ステーション302の底部ヒータ813、底部シールド814および頂部シールド815を図8に示している。
【0046】
次に、ガス注入システムについて説明する。本ガス注入システムの実施形態の役割に、所望する厚さの均一性、抵抗率の均一性、および本反応炉の実施形態により実施可能なドーピング遷移幅に対する制御を実現することがある。
【0047】
再度図4を参照すると、ガスをチャンバ426の底部から処理スペース303内に垂直に送出するように構成されたガス注入チューブ427が図示されている。本発明の一実施形態には、こうしたガス注入チューブが5本ある(各側部301および302につき1セット5本のチューブ)。図4は処理チャンバの断面図であるが、3本の他のガス注入チューブが反応炉の「擬似立体」図として図4に示されている。図4の断面部分は、左寄り部分のガス注入チューブを通過していることから、この部分を用いて、どのようにガス注入チューブがガスを「充満」(plenumize)させるかを例示することができる。「充満」とは、プレナム内にガスを流動して通過させることにより、所望通りにガス流を拡散させることをいう。プレナムは、その中の上流側でガス流をより高くして所望の流形パターンを形成させるように、ガスの流動を制限する役割を果たす装置(マニホールドでもよい)である。
【0048】
プロセスガスはチューブ427を通過して上昇し、石英内側支持チューブ601上に位置するガス注入支持リング428を通過する。石英内側支持チューブ601が、ガス注入支持リング428を機械的に支持しているが、その円形状の石英チューブを「入れ子」にして(または収容して)、これを特定位置に位置決めしている。ガス注入支持リング428は、ガス注入プレナム429を支持しており、このプレナム内にガスがガス注入チューブ427から給送される。するとそのガスが、垂直から水平へと方向を変えて基板上を横切って流動する前に、プレナムスロット430を通過する。プレナムスロット430はまた、5本の入口チューブそれぞれから流入するガスの濃度および流動量を領域毎に制御する役割も果たす。ガスはプレナム429により「充満」され、直線状に扇形に拡散されて基板上に行き渡る。この概念を、図9および図10を参照すると、よりよく理解することができる。
【0049】
図9を参照すると、プレナム429は、平面図にすると円弧状であり、プレナム429の各スリット430を介して、5本の注入チューブ427の頂部が丁度見えるようになっている。ここでもう一度、プレナム429およびスリット430の背後にある概念は、5本の各チューブだけで可能な範囲よりも広くガスを流動させることである。これが図10Aに概略として図示されている。この図において、ガス流1001は扇形流動1002に拡散してからスリット430を通過する。これにより、位置1005にてガス流1001がチューブ427と同じ直径の流動内に留まった場合に得られる流動幅1004よりも、幅1003の例のように、基板をより広くカバーすることができる。この扇形により、ガス流は、円形状からすきま内の直線状流動となる。別の実施形態において、拡散ノズルを石英ガス注入チューブの一部とすることができる。
【0050】
この実施形態ではガス注入チューブ427は5本あるが、この本数を約1本から9本にすることができる。300mm基板の場合は特に、その基板上へのガスの拡散の仕方と、基板上の領域毎にドーパント、シリコンおよび主流ガス濃度を制御して、抵抗率、厚さおよび全体の均一性をそれぞれ実現できることとから、5本の注入チューブを使用することができる。
【0051】
図11を参照しながら、このガス注入システムの設計を以下に記載することにより、この実施形態によるいくつかの利点を説明することができる。2本の外側ガス注入チューブには同じ供給源から給送されており、この2本は「縁部」(edge)に対する「E」と表示されている。その縁部から中央に向けて内側に次の2本のガスは「中間部」(middle)に対する「M」と表示されている。最後に、中央チューブは「中央部」(center)に対する「C」と表示されている。この実施形態では、縁部、中間部、中央部の3領域それぞれに対して、シリコン濃度、ドーパント濃度およびキャリヤガス流動量(キャリヤガスを水素とすることができ、その場合「主流水素」と呼ぶことができる)をそれぞれ別個に制御できるように、ガスが垂直に方向付けられている。
【0052】
縁部での給送分は、2つの縁部ジェットに充満(または分割)され、その部分のソースは、図11で網状陰影をつけた(その時点の「スナップ写真」(snapshot)を見ることができれば)領域1101で全体的に示したウエハ縁部上を流動する。しかし、基板が回転しているため、この2本の縁部注入チューブでカバーされるウエハ領域は、図11の1102として示した円の外側である環状流路となる。2本の中間部注入チューブの供給を受ける領域を、適時の瞬間のスナップショットとして、基板のうち線影のない領域1103として示す。中間領域からのガスは、充満されると、縁部でも中央部でもない領域をカバーする。中央部のジェットは、この場合も基板が回転していることを考慮しないものとすれば、二重に網状陰影をつけた領域1104をカバーする。無論、図11では各流動がばらばらで重なり合わないものとして図示しているが、これらの領域は交ざり合うことになる。
【0053】
3領域それぞれに送出されるガス流におけるドーパント濃度を制御できることから、抵抗率の均一性などのエピタキシャル膜特性に関する成果が得られる。上述したように、ドーパント濃度は、ドーピング量の多いウエハの裏側からドーパントが脱気することによるオートドーピングの影響で、基板の縁部で所望より高くなる可能性がある。この場合、縁領域1101に送出するガス流のドーピング濃度を下げて、裏側のオートドーピングのために縁部でドーピングが高いことの補償をすることができる。
【0054】
もう1つの縁部効果は、ガス供給源が基板全体の上を流動するにつれてドーパント濃度が供給ガスから急激に下がることから生じる。これを図10Bに概略的に図示する。図10Bは、基板1006縁部からの距離の関数としてエピタキシャル膜の電気抵抗率を図式化したものである。ドーパントは、ガスが基板上を流動するにつれて、そのガス流から使用されるものである。電気抵抗率はドーパント濃度に反比例する(ドーパント濃度が高いほど、導電率も高い、すなわち電気抵抗率は低い)ため、電気抵抗率は、第1の縁部1007から中央部1008および反対側縁部1009に向けて高くなる。縁領域を流動するガスにはドーパントが多く含まれているため、縁部1007の抵抗率は低い。給送ガス流からドーパントが激減するため、抵抗率は1007から1008に向けて高くなる。基板が回転していないとすると、抵抗率プロファイルは、図に点線1010で示すように連続的に上昇する傾きを有する。しかし、基板は回転して、領域1009が1007の周囲で回転しており、給送ガス流でドーパント濃度が最も高くなる箇所に来ることになるため、抵抗率は線分1011に沿って低く戻るように折れ曲がる。
【0055】
ここで、中央部注入チューブCからより多くのドーパントを注入する性能について考察する。給送ガスチューブEから注入される給送ガスよりドーパント濃度がわずかに高い給送ガスをガス注入チューブCから注入すると、給送ガスが基板上を流動するにつれて激減するドーパントを補償することができる。基板の縁部も、中央部からのガス流により流量が左右される領域である領域1105および1106を通過するが、それでも、縁部がこの領域を通過する時間は1101を通過する時間より短い。
【0056】
こうしたガス注入策により、縁部における減少およびオートドーピングなどの自然現象を補償することができる。このシステムでは、3領域それぞれにおける給送ガスの3成分すべて、すなわち、シリコンソースガスの濃度、ドーパントソースガスの濃度、キャリヤガスの種類および流動量を制御できることに留意されたい。これらの成分それぞれを個別に、また3領域別々に操作できることにより、抵抗率の均一性の制御に融通がきくようになる。例えば、特定領域の抵抗率を上げたい場合、ドーパント濃度を下げることができる。あるいは特定領域の厚さを増加したい場合、シリコン濃度を上げればよい。別の場合では、ドーパントに対するシリコンの割合を保ち、キャリヤガスの流動量のみを増減して、シリコンをドーパントに対して同じ割合で送出しつつ、その混合物の均一性を変更することができる。
【0057】
プロセスガスは、ウエハ表面上を流動した後、排出される。給送ガスがガス注入チューブ427からプレナムガスリング429およびプレナムスリット430を通過して処理スペース303内に侵入すると、基板上を通過して、同じガス注入リング429を通過して出る。プロセスガスは、図4の右側に図示した排気溝432(背圧が生成されていないため、本当にはプレナムではない)に入ることでリング429を出る。排気チューブ431は、数本設けられた排気チューブの1本であり、この実施形態では5本の排気チューブがあるが、その数は増減可能である。別の実施形態において、排気チューブの数を約1本から9本にし、各直径を0.25インチ(0.64cm)から0.5インチ(1.27cm)とすることができる。図4に図示した方法では、ガスは左から入って右から出る。しかし、図6および図8を参照すると、2枚の基板を同時に処理するこの反応炉の場合、ガスは図の左側および右側から入って中央から出ることがわかる。図8では、左側の処理ステーション301内の注入チューブ427から注入されるガスは、排気チューブ831を介して処理キャビティを出る。これと左右対称に、右側の処理ステーション302内の注入チューブ827から注入されるガスは、排気チューブ832(3本のうち2本に参照番号を付与)を介して右側処理キャビティを出る。
【0058】
ガスの流動を制御し、それにより厚さおよび抵抗率の均一性を左右できる能力は、注入レベルだけでなく排気レベルにも存在する。5本の排気チューブ(処理ステーション毎)それぞれにおいて排気ポンプ圧を個々に制御することができる。これにより、排ガスは、どのチューブから最も強い真空(処理キャビティに対する負圧)を適用されたかに応じて、5本のチューブのいずれにも入ることができる。
【0059】
以上、ガス供給および排気システムの一般概要について説明してきた。上記では、膜厚さおよび抵抗率の均一性などの膜特性を制御するようにシステムを構成できる方法についても説明した。処理ガスを処理キャビティへ送出し、処理キャビティから処理ガスを除去するハードウェアを再考察しながら、エピタキシャル蒸着に適したガスの化学的性質について、以下にさらに詳細に説明する。
【0060】
ガスはチューブ427から注入され、プレナムスロット430で処理キャビティ303内に方向付けられる。その後、基板上を横切って移動して、ポート431から排気される。スロット430を出た時点で、ガスは、フード409の下方内側表面で「跳ね」返され、垂直から水平方向に向きを変えて、処理キャビティ内を排気チューブに向けて流動する。このガス流の方向変換は、いくつかの理由から行われる。その1つは、分解およびそれに引き続く反応の準備として給送ガスを予備加熱するためである。この方式の具体的な利点はシリコンソースガスとしてシランを用いた場合に明らかになるが、現在のところ、エピタキシャルシリコン蒸着は一般に、トリクロロシランが業界標準であろう塩化シラン類で行われている。
【0061】
トリクロロシランによるエピタキシャルシリコン蒸着は、一連の可逆反応を含むとの前提に立ったものである。
【0062】
【数1】
Figure 2005501397
【0063】
これらの反応が可逆性であるため、蒸着(正反応)はエッチング(逆反応)に匹敵する。これに対し、シランからの蒸着は可逆性ではなく、
【0064】
【数2】
Figure 2005501397
【0065】
また、HClの副産物がない。シランからの蒸着による利点は、クロロシランの場合より低い温度でエピタキシャル膜が得られること、容易に入手でき、環境により「優しい」こと、さらに、塩素が発生しないことから送出ラインおよびシステムによる化学的影響力が弱いため、膜に対する金属汚染の可能性が低いことである。
【0066】
シリコンソースガスに塩素が含有されていると、所与温度について、より多くの塩素が含有されるほど、成長速度はより遅くなるというパターンにしたがって、膜の成長速度が変化する。塩素含有量はまた、多結晶および単結晶シリコンが成長する遷移温度にも影響を与える。ソースとしてシランを用いる場合、エピタキシャルシリコン膜は900℃という低い温度でも成長するが、最高温度としては、SiCl4から単結晶シリコンを蒸着する場合、その反応温度を1100℃以上に維持しなければならない。
【0067】
シランからの蒸着の欠点は、ガス相(均質)核形成が一般に見られることである。シリコン凝集体が粒子汚染物となる可能性があることから、核形成という事象は望ましいものではない。見込みの高い利点がいずれの欠点をも補って余りあるため、必要とされているのは、具体的にいえば、こうした問題を緩和するように設計されていることで、シランからエピタキシャルシリコン膜を蒸着させるように構成できる反応炉である。シランからシリコンをエピタキシャル蒸着させることのできる本願による反応炉の性能については、後ほど説明する。
【0068】
目下の業界標準を代表する塩化シランについて続けると、トリクロロシランは、約400から700℃の温度範囲で熱分解を開始する。反応に活性化エネルギーを提供しなければならないことは、従来のシステムでは反応物を予備加熱できなかったことで管理がさらに難しくなり、反応の収量が少なかったことから、重要なポイントである。本発明の一実施形態では、反応物ガスを基板に到達する前に予備加熱することで、このエネルギーを補給することができる。
【0069】
ランプ加熱による従来のベルジャー反応炉は、水平な流動システムである場合が多い。ここでもう一度図1の反応炉を参照すると、反応物ガスは、基板のどこかに衝突する前に、まずサセプタ103の一部115を通過していることがわかる。これが、ガスを予備加熱する役割を果たしている。この予備加熱ステップにより、給送ガスのシリコン含有成分の解離が開始される。さもなければ、解離が全く開始されないまま、ガス流は基板上に前進する。後者の場合、ガスに予熱がなく、シリコン含有ガスの解離が遅い段階で開始されるため、基板の下流部分で成長量が多くなりがちであり、厚さも不均一になる可能性がある。
【0070】
本発明の実施形態は、図1に示した反応炉の場合よりも速い成長速度を提供することができる。その1つの理由は、処理キャビティの「高いエネルギー量」である。基板は2枚のプレート(フード409およびサセプタ401)の間に挟まれており、このプレートの温度は、実施形態によっては約1150℃に維持されているため、ガスを急速に加熱して、分解およびそれに続く反応に必要な熱励起状態を得ることができる。従来のランプシステムでも解離処理を開始できるほど十分に反応物ガスを予備加熱できたが、ガスが高温基板102上を流動するにつれて、低温の石英ベルジャー101を処理空間上に配置しているため、ガスは熱せられて膨脹した後、当然、ウエハから低温の石英窓付近の低温領域に向けて上方に対流移動をし始める。この対流が成長速度に悪影響を及ぼす可能性がある。
【0071】
従来の反応炉における第2の問題は、未反応ガスおよび反応副産物が排気ライン内に導かれ、そこで、トリクロロシランを主成分とする液体廃棄物質へと再凝結することである。実際、得られるコーティングが自然発火性であれば、危険な状況が発生しかねない。図1の例に類似した反応炉における反応効率は、予備加熱をしても約5から10パーセントと見積もられている。これはつまり、反応物ガスの大半が、廃棄ライン壁部に凝結して無駄になっているということである。
【0072】
本発明の実施形態によれば、ガス注入チューブ427が底部壁部426を介して処理チャンバ内に侵入していることから、反応物ガスを予備加熱することができる。ガス注入チューブ427は、底部壁部426を通過した後、底部ヒータ413を通過して、処理チャンバ内に入ってほぼすぐに「ヒータカゴ」内に位置決めされ、側部ヒータ411bおよび411aに隣接する空間内を処理チャンバの頂部に向けて伸びている。この構造により、給送ガスは処理キャビティに移動しながら、予備加熱されることになる。
【0073】
給送ガスを予備加熱することが望ましいが、加熱しすぎないことが重要である。シランの場合、給送ガス流内の反応物ガスが高温になりすぎると、ガス相核形成が発生して、固体シリコン原子の小集団(clusters)がガス相内に形成される可能性がある。シリコン原子の小集団は、単結晶の成長を継続させるように成長中のエピタキシャル膜の表面に適切な配向で到達することが少ないため、この現象は望ましいものではない。これは、その小集団に数個の原子しか含まれない場合も同じ結果となり得る。小集団は、単結晶成長を目的に表面に正しく配向せず、よくても多結晶膜を形成するというだけでなく、表面に粒子汚染物として単に落下する可能性もある。
【0074】
本発明の実施形態は、給送ガスのガス注入チューブ427内流動速度およびキャリヤガスの成分量を制御することを大きな理由として、ガスを加熱しすぎることなく予備加熱するものである。給送ガス流内のキャリヤガス(水素など)量が多いほど、スロット430からチューブを出て処理キャリパ位303内に侵入するガスの温度は低くなる。また、給送ガス流はガス注入チューブ内を速く流動するほど、熱の吸収が少ない。
【0075】
処理キャビティ内に入れる前に反応物ガスの温度を制御するためのもう1つの技法は、上記技法のように力学的ではないが、ガス注入チューブ427を製造する材料を選択することである。言い換えれば、チューブ427に適した材料を選択することで、給送ガスの温度を大雑把に制御することができる。(注:透明な石英の場合よりガス加熱が少ないが、同軸チューブを、外側環状流路にはH2を、内側チューブには処理ガスを流通させて使用することができる。)第1の選択肢は透明な石英である。石英の場合、入射する放射線の大半が透過してしまうため(放射率0.15以下)、加熱レベルは比較的低い。第2の選択肢は不透明な石英である。これは、部分的に放射線を吸収するため、透明石英の場合よりは高い加熱レベルが得られる。第3の選択肢は炭化シリコンである。これは放射線の大半を吸収するため、さらに高いレベルの加熱が得られる。この中間の選択肢である不透明な石英(放射率、0.30以下)の場合、透明な石英よりはガスを加熱できるが、炭化シリコン(放射率、0.75以下)に比較すると加熱できない。本発明の実施形態を数多く開発するために用いられたコンピュータモデリングから、スロット430を出るガスの温度を、ガス注入チューブの材料を単に変更するだけで、300から600℃の間で変化させられることがわかっている。そのモデリングでは、1つのモデルで、材料だけを変更した。ガス流動は固定しておき、チューブの材料だけを変更して考察した。
【0076】
得られる反応収量は、反応物ガスの温度だけでなく、境界層の形状にも影響される可能性がある。ガスを基板に向けて垂直に方向付けるシャワーヘッド型設計では、給送ガスをより有効に使用することができる。これは、境界層が実質的にないことが一部の理由となっている。従来のベルジャーおよび直接流動型反応炉の境界層は、図12Aの境界層1201として示すことができる。この境界層は、位置1202で形成されると、ガスが位置1203に向かって流動するにしたがって続き、基板から離れていく。この境界層の中では、基板の表面に向かって拡散するシリコン含有ガス分子と、基板の表面からガス流1204に戻るように拡散するHCl反応生成物とがぶつかり合う(competition is induced)。図12Aのベルジャー反応炉の場合、境界層1201が、位置1202から1203にかけて次第に厚くなっているため、ガス分子と反応生成物との交換はますます難しくなっている。
【0077】
本発明の実施形態は、図12Bに図示しているように、サセプタである底部プレート401と、フードである頂部プレート409という2枚の狭い間隔で配置された平行なプレートの間に流動させるものである。第2の境界層1203が、頂部プレート(フード409)に隣接して形成される。こうして処理キャビティの高さを制限することにより、境界層1201は狭くなり、図1202および1203として図示したように、図12Bの境界層はやや漸近的に互いに収束する。図12Bは、「新規」境界層1202に対する従来の反応炉における境界層1201を図示している。頂部プレート(フード409)は、境界層1201をその新規形状1202まで圧縮する役割を果たしている。境界層1202をより薄くすることの利点は、基板に反応物を到達させるまでの移送距離が短くなること、同様に、反応副産物がガス流に合流するために移動しなければならない距離も短くなることである。
【0078】
本発明の実施形態では、この2枚のプレート(サセプタ401およびフード409)間の距離を変更することができる。典型的な分離距離は約0.25インチ(約0.64cm)から約3インチ(約7.62cm)である。所与のガス量では、処理空間が小さいほど流動が速くなるため、サセプタとフードとを隔てる距離により、成長速度、暑さおよび抵抗率の均一性、オートドーピング、および他のドーピング特性を含む数多くの特性が影響を受ける。本発明の実施形態では、分離距離1205(処理キャビティの高さ)を変更できるため、この性能を利用して、処理特性をさらに制御することができる。本発明による反応炉の実施形態により、図1に図示した種類の反応炉の少なくとも2倍は効率のよい反応率が得られると推定される。
【0079】
以上、ガスを処理キャビティ内に注入する主な方法、ガスを予備加熱する理由、およびこの方法を実現できる手法について説明してきた。別の実施形態では、別の方法でガスをこのシステム内に注入することができる。その手法の例として、温度測定装置などのアクセサリハードウェアを介する、ならびに処理キャビティ内に配置されたシャワーヘッドを介するガス送出が挙げられる。この手法にしたがって、給送ガス成分のいずれをも、またはすべてを送出することができる。例えば、ドーパント供給ガスを光高温計などの温度測定装置を介して注入して、成長中のエピタキシャル膜の抵抗率均一性を調整してもよい。別法として、水素などのキャリヤガスをシャワーヘッドから注入して、給送ガスの温度を制御し、ソースガス成分の濃度を薄めてもよい。例えば、シャワーヘッドの具体的な一設計には、ウエハに対するガス温度制御用に充満した本流、H2で充満されたシリコン、H2で充満されたドーパント、およびH2で充満されたシリコンおよびドーパントが挙げられる。シャワーヘッドにより、局部的シリコン、ドーパント、シリコンおよびドーパント注入を提供することもできる。この場合、シャワーヘッドを用いて、直接注入地点をウエハ上全体のより広い直径面積に「扇状に拡大する」ことができる。その拡大量は、シャワーヘッドの穴のサイズおよびシャワーヘッドに供給される直接注入源からの流動量全体に応じて変化する。
【0080】
処理チャンバ内の表面温度を測定するための一般的装置は、通称「ライトパイプ」(light pipe)として知られている光高温計である。光高温計は、真空フィードスルーを介して基板を観察し、基板の温度に関する基板の情報を収集することを通常の機能としている。しかし、本発明の実施形態によれば、光高温計を浄化してからこれを用いて、基板のうち供給が不充分な領域に補充ドーパント供給ガスを必要に応じて注入することができる。この注入は、光高温計内に浄化ガスを導入することで行われる。
【0081】
図13は、ガスを処理キャビティ内に注入する別法を例示するために、反応炉の一部を立体的に示した、処理チャンバの側面図である。この方法を用いると、縁部における減少の緩和や不均一な成長条件の対処を目的に、ドーパントを基板上の特定個所に供給することができる。図13は、複数の温度測定フィードスルーシステム1301を示す図である。このシステムは、測定対象である領域から光高温計に光エネルギーを移送する石英ライトパイプを含む。この光高温計は、実際の温度を読取る装置である。図13において1301として示したライトパイプは、1つの半径方向に沿って位置合わせされているが、1303を両方の方向に共通した中心とする第2の方向1302など、他にもいくつかの半径方向に沿ってライトパイプを位置合わせすることができる。これを図14Aに概略的に図示する。
【0082】
図14Bに例示しているように、いずれの半径に沿って配分するにしても、ライトパイプを均等に配置する必要はない。図14Bにおいて、光高温計の1つは、ライトパイプ1303が位置する基板の中心から約1.5インチ(約3.81cm)のところに配置されている。その位置から縁部に向けて外側に、第1の光高温計グループが中心から1インチ(2.54cm)のインクリメントで配置されており、第2の光高温計は中心から約3インチ(約7.62cm)のところに、第3の光高温計は中心から4.0インチ(10.16cm)のところに配置されている。高温計の間隔は、縁部に向けて0.5インチ(1.27cm)ずつのインクリメントとなり、第4、第5および第6の(1.27cm)はウエハの中心から4.5インチ(11.43cm)、5.0インチ(12.7cm)および5.5インチ(13.97cm)のところに配置されている。ここからさらにその距離増加分は小さくなり、最後から2番目の高温計は中心から5.8インチ(14.73cm)のところに配置される。
【0083】
縁部ヒータによる効果が、縁領域に比較すると中央領域では少ないため、基板の中央領域では高温計をあまり互いに近づけては配置しない。ウエハの中心から5.8インチ(14.73cm)またはそれ以上の距離をあける可能性のある最も遠くの高温計も、実際にはサセプタ上の位置である。サセプタの縁部を観察する最後の光高温計を601として表示している。
【0084】
補助的ガス注入システムとなる性能を有するものとして上述した、浄化後のライトパイプで行う温度モニタは、受動的に、すなわち閉ループシステムに参加せずに行うことができるものである。閉ループシステムでは、温度測定装置からの情報が制御システムに中継されることにより、ヒータシステムに送出される電力が調整される。受動的モニタでは測定するが、その情報をヒータへのフィードバックループに使用することはない。別法として、ガス注入システムを能動的システムに組入れることもできる。
【0085】
いずれの場合も、図15に例示するように、温度測定装置を用いてガスを注入することができる。図15を参照すると、ライトパイプ筐体1501は、上方縁部ヒータ411aからの情報受信に用いられており、同様に、ライトパイプ筐体1502は、下方縁部ヒータ411bの情報を監視している。
【0086】
以下のように、浄化ガスを、ライトパイプ筐体内を通過させて注入することができる。シース1504は、実際のライトパイプ透過チューブの周囲に同軸状に取付けられて、ライトパイプ筐体にトランスレート(translate)されている。このシース/ライトパイプアセンブリが、ヒータのチャンバ壁部、石英断熱部、外側シールドを貫通する導管を介して、処理チャンバ内の領域に侵入する。ヒータからの光エネルギーは、ファイバ内の全反射によりライトパイプ筐体内に伝達され、ニプル1503にて可撓性ガラスファイバ束内に中継され、実際の高温計まで全反射でその通路を進行し続ける。高温計に到達すると、光エネルギー情報は校正温度に変換される。
【0087】
浄化ガスを、付属具1505を介して注入することができる。浄化ガスは、シース内側で光ファイバの外側周囲となる個所を流動して、付属具1505から処理チャンバに移動する。より明確に例示した単純図面を図16に示す。光ファイバ1602は、シース1601内で同軸状に位置決めされており、浄化ガス流1604はこのシース内を流動する。全反射する光1603が光ファイバ内を移動している様子が図示されている。
【0088】
この浄化ガスを通常、不活性ガス、希ガス、または水素などのキャリヤガスにすることができる。繊維の先端に不要な蒸着を形成させないようにガスの流束があれば、ガスの種類は重要ではない。システムを清浄に保つために必要なガス容積は最小限であり、通常約100〜200cm3/分であるが、約100〜500cm3/分とすることもできる。この浄化ガスを、排気チューブ431でチャンバから排気することができる。図13の実施形態などの実施形態によっては、光高温計1301および1302のそれぞれが基板上のガスを排気する。
【0089】
上述した種類の浄化ガスには少なくとも2つの利点がある。第1に、浄化ガスにより、光ファイバの端部または端部周囲に材料が蒸着しにくくなる。蒸着の発生は、光ファイバに進入できる光の量が削減され、実際の温度は変化していなくても読取られる温度に影響を与えることから望ましくない。浄化ガスを利用することによりファイバ端部を清浄に保ち、温度読取りの信頼性を高めることができる。
【0090】
第2に、浄化ガスを用いて、成長しているエピタキシャル層の中でドーパントが不充分な領域にドーパント供給ガスを送出することにより、抵抗率の均一性を調整することができる。上述したように、減少またはガス抜け機構などの理由から、特定領域におけるドーパント濃度が低くなる可能性がある。こうした影響を緩和するため、ドーパント供給ガスを光高温計のシースを介して注入することができるのである。この技法を特にうまく利用した例は、位置1008(図10B参照)で起こる可能性のある、層中央におけるドーパント不足を補償するために、中央光高温計1303を介してドーパントキャリヤガスを注入することである。このように、本発明の実施形態にしたがって光高温計の浄化ガスを用いると、光ファイバの先端を清浄に保ち、とりわけ、エピタキシャル層の抵抗率を局部的に実現することができる。
【0091】
以上、本発明を具体的な実施形態を参照しながら説明してきたが、当業者であれば、本発明が開示した実施形態に限定されるものではなく、以下に添付した請求の範囲の趣旨および範囲を逸脱しない範囲の他のさまざまな修正、変更および置換および広義等価物をカバーするものであることは明白である。
【図面の簡単な説明】
【0092】
【図1】既存の手法によるエピタキシャル層蒸着用反応炉の1例を示す図である。
【図2】本発明の原理を有利に実施できる反応炉システムの1例を示す図である。
【図3】反応炉の1例を示す側面図であり、左側部分の内部を露出させた図である。
【図4】図3に示した反応炉の左側内部を示す拡大側面図である。
【図5】本発明の原理を組入れた加熱システムの1例を示す図である。
【図6】縁部ヒータ、側部石英ライナ、ガス注入チューブおよびガス排気チューブを詳しく図示した、処理チャンバの1例を示す平面図である。
【図7】本発明の原理によるモノリシックプレートヒータの1例を示す図である。
【図8】処理ステーションを露出させ、ガス注入および排気システムが左右対称であることを図示した、反応炉を示す立体図である。
【図9】ガス注入チューブおよびガス排気チューブを示す平面図である。
【図10A】ガスをより均一に分配するために、どのようにしてガス注入チューブからガスを放散させられるかを示す図である。
【図10B】給送ガス流のドーパント濃度がどのように低下していくかを示す略図である。
【図11】所望する厚さおよび均一な抵抗率を実現するために、どのようにガス注入システムを構成できるかを示す図である。
【図12A】従来のエピタキシャル反応炉における厚いガス流境界層を概略的に示す図である。
【図12B】ガスを2枚の平行なプレート間に閉じ込めた、本発明の実施形態による薄いガス流境界層を概略的に示す図である。
【図13】基板頂部の温度を読取り、実施形態によっては厚さおよび抵抗率を均一にするようにガスを注入する温度測定装置の配置例を示す図である。
【図14A】温度測定装置の2本の交差配列を示す平面図である。
【図14B】基板の中央から基板の縁部にかけて、距離の関数として列に沿って温度測定装置をどのように配置できるかを示す側面図である。
【図15】温度測定装置を用いて処理チャンバに浄化ガスを注入できる反応炉の1例を示す断面図である。
【図16】浄化ガスをシースの内側、光ファイバの外側に流動させることのできる、光ファイバおよびシース構造の1例を示す図である。

Claims (52)

  1. 少なくとも1枚の半導体基板を受け取るための基板ホルダを含む処理チャンバと、
    前記処理チャンバと連通している加熱装置と、
    ガスを前記処理チャンバ内で半導体基板の表面上に流動させるための複数のガス入口であって、少なくともいくつかのガス入口がガスを半導体基板の縁領域の上で流動させるように構成され、少なくともいくつかのガス入口がガスを半導体基板の中間領域の上で流動させるように構成され、少なくともいくつかのガス入口がガスを半導体基板の中央領域の上で流動させるように構成されたガス入口と、
    半導体基板の前記縁領域、前記中間領域および前記中央領域の上の前記ガス流を選択的に制御するために、前記ガス入口に供給されるガスの流量を制御するように構成されたガス供給源と、
    前記処理チャンバからガスを排気するように構成されたガス排気システムと
    を含むことを特徴とするウエハ処理システム。
  2. 前記ガス供給源は、前記複数のガス入口それぞれに供給されるガスの流量および濃度を個別に制御するように構成されていることを特徴とする請求項1に記載のウエハ処理システム。
  3. 前記ガス排気装置は、半導体基板の前記縁領域、前記中間領域および前記中央領域の上の前記ガス流を選択的に制御するために、複数の排気ポートから排気されるガスの流量を制御するように構成されていることを特徴とする請求項1に記載のウエハ処理システム。
  4. 前記基板ホルダ上方に配置されたガス入口の第2のセットをさらに含むことを特徴とする請求項1に記載のウエハ処理システム。
  5. 前記処理チャンバは、前記チャンバ内を流動するガスの境界層を減らすために、前記処理チャンバ内に凹部を画定する上方壁部を含むことを特徴とする請求項1に記載のウエハ処理システム。
  6. 前記チャンバ内にガスを送出することもできるように同軸ケーブルを含む、前記チャンバ内に容れられた半導体基板の温度をモニタするための複数の温度測定装置をさらに含むことを特徴とする請求項1に記載のウエハ処理システム。
  7. 前記温度測定装置は高温計を含むことを特徴とする請求項6に記載のウエハ処理システム。
  8. 前記加熱装置は、複数の抵抗ヒータを含むことを特徴とする請求項1に記載のウエハ処理システム。
  9. 前記複数のガス入口は、長手方向部分および横方向部分を含むことにより、ガスが、前記横方向部分で半導体基板を横切る方向に方向付けられる前に、前記長手方向部分を介して上方または下方に流動することを特徴とする請求項1に記載のウエハ処理システム。
  10. 前記ガス入口を通過するガス流を半導体基板に接触させる前に予備加熱するために、前記ガス入口の前記長手方向部分は、前記加熱装置と連通した状態で配置されていることを特徴とする請求項9に記載のウエハ処理システム。
  11. 前記基板ホルダを回転させるためのウエハ回転装置をさらに含むことを特徴とする請求項1に記載のウエハ処理システム。
  12. 前記処理チャンバは、2枚の半導体基板を横に並べて受け取るように構成されていることを特徴とする請求項1に記載のウエハ処理システム。
  13. 半導体基板を受け取るための回転自在な基板ホルダを含む処理チャンバと、
    前記処理チャンバと連通している加熱装置と、
    ガスを前記処理チャンバ内で半導体基板の表面の上で流動させるための複数のガス入口であって、ガス入口のそれぞれが長手方向部分と横方向部分とを含み、ガスが半導体基板の表面の上に導かれるとき、前記長手方向部分を介してガスが上方または下方に流動してから横方向部分によって充満されるガス入口と、
    前記処理チャンバからガスを排気するように構成されたガス排気システムと
    を含むことを特徴とするウエハ処理システム。
  14. 前記ガス入口の前記横方向部分は、共通プレナムを画定するガス注入支持リングと連通していることを特徴とする請求項13に記載のウエハ処理システム。
  15. 前記ガス注入支持リングは円弧状であることを特徴とする請求項14に記載のウエハ処理システム。
  16. 前記ガス入口の前記長手方向部分は、前記ガス入口を通過するガス流を半導体基板に接触させる前に予備加熱するために、前記加熱装置と連通して配置されていることを特徴とする請求項13に記載のウエハ処理システム。
  17. 前記ガス入口の前記長手方向部分が、石英製であることを特徴とする請求項16に記載のウエハ処理システム。
  18. 前記ガス入口の前記長手方向部分は、炭化シリコン製であることを特徴とする請求項16に記載のウエハ処理システム。
  19. 少なくとも5個のガス入口を含むことを特徴とする請求項13に記載のウエハ処理システム。
  20. 前記ガス排気システムが、前記処理チャンバから前記排ガスをくみ上げるためのポンプを含むことを特徴とする請求項13に記載のウエハ処理システム。
  21. 前記チャンバ内に容れられた半導体基板の前記縁領域、前記中間領域および前記中央領域の上の前記ガス流を選択的に制御するために、前記複数のガス入口それぞれに供給されるガスの流量および濃度を個別に制御するように構成されたガス供給源をさらに含むことを特徴とする請求項13に記載のウエハ処理システム。
  22. 前記複数のガス入口それぞれの前記横方向部分は、前記処理チャンバの底部から延在していることを特徴とする請求項13に記載のウエハ処理システム。
  23. 前記複数のガス入口それぞれの前記横方向部分は、前記処理チャンバの頂部から延在していることを特徴とする請求項13に記載のウエハ処理システム。
  24. 半導体基板を受け取るための基板ホルダを具備し、頂部壁部、底部壁部および少なくとも1つの側部壁部を含む処理チャンバと、
    (a)前記頂部壁部の上方に配置された頂部抵抗ヒータと、
    (b)前記底部壁部の下方に配置された底部抵抗ヒータと、
    (c)前記少なくとも1つの側部壁部の背後に配置された側部抵抗ヒータと
    を含むカゴ状加熱アセンブリと
    を含むことを特徴とするウエハ処理システム。
  25. 前記頂部抵抗ヒータは、個別に制御される少なくとも2つの加熱領域を含むことを特徴とする請求項24に記載のウエハ処理システム。
  26. 前記カゴ状加熱アセンブリは、少なくとも2つの側部抵抗ヒータを含むことを特徴とする請求項24に記載のウエハ処理システム。
  27. 前記頂部抵抗ヒータおよび前記底部抵抗ヒータは、1対のシールド部材間に収容されていることを特徴とする請求項24に記載のウエハ処理システム。
  28. 前記シールド部材は、炭化シリコンを含む材料製であることを特徴とする請求項27に記載のウエハ処理システム。
  29. 前記処理チャンバは、ホットウォール式処理キャビティを含み、前記処理チャンバの前記頂部壁部および前記底部壁部は、加熱される半導体基板とほぼ同じ加熱特性を有する材料製であることを特徴とする請求項24に記載のウエハ処理システム。
  30. 前記処理チャンバは、2枚の半導体基板を横に並べて保持するように構成され、前記側部抵抗ヒータは、前記半導体基板の両方を取り囲むように構成されていることを特徴とする請求項24に記載のウエハ処理システム。
  31. 前記側部抵抗ヒータはピーナッツ形状であることを特徴とする請求項30に記載のウエハ処理システム。
  32. 前記頂部壁部および前記底部壁部は、石英、炭化シリコンおよびその混合物からなる群から選択される材料製であることを特徴とする請求項29に記載のウエハ処理システム。
  33. 前記頂部壁部は、前記底部壁部と約1/4インチ(約0.64cm)から約3インチ(約7.62cm)の距離をあけて位置していることを特徴とする請求項24に記載のウエハ処理システム。
  34. 少なくとも1枚の半導体基板を受け取るための処理チャンバと、
    前記処理チャンバと連通している加熱装置と、
    複数の穴を画定しており、中央ポストに接続されて回転できるようになっている基板ホルダと、
    前記基板ホルダにより画定された個々の穴内にそれぞれが入れ子となっている複数のリフトピンと、
    前記複数のリフトピンと係合するリフトピン支持プレートであって、前記支持プレートを選択的に上下に移動させるために前記中央ポストに沿って移動可能な、中央ポストと同軸状にある支持チューブに接続されており、前記支持プレートが上方に移動すると、前記支持プレートにより前記リフトピンは上昇して、半導体基板を前記基板ホルダから持上げられるようになっている支持プレートと
    を含むことを特徴とするウエハ処理システム。
  35. 前記基板ホルダが前記中央ポストにより回転させられると、前記リフトピン支持プレート、前記支持チューブおよび前記リフトピンは、前記基板ホルダと共に回転することを特徴とする請求項34に記載のウエハ処理システム。
  36. 前記基板ホルダは、炭化シリコンを含む材料製であることを特徴とする請求項34に記載のウエハ処理システム。
  37. 前記リフトピンおよび前記リフトピン支持プレートは、石英を含む材料製であることを特徴とする請求項34に記載のウエハ処理システム。
  38. 前記加熱装置は、少なくとも1つの電気抵抗ヒータを含むことを特徴とする請求項34に記載のウエハ処理システム。
  39. 前記処理チャンバ内に容れられている半導体基板と反応させるために、前記処理チャンバにガスを供給するためのガス供給システムをさらに含むことを特徴とする請求項34に記載のウエハ処理システム。
  40. 前記支持チューブは、前記中央ポストの外側に配置されていることを特徴とする請求項34に記載のウエハ処理システム。
  41. 前記リフトピンは、不透明な石英を含む材料製であることを特徴とする請求項34に記載のウエハ処理システム。
  42. 前記処理チャンバは、2枚の半導体基板を横に並べて受け取るように構成されており、2つの個別基板ホルダを含むことを特徴とする請求項34に記載のウエハ処理システム。
  43. 処理チャンバ内に半導体基板を配置するステップと、
    前記半導体基板を前記処理チャンバ内で加熱するステップと、
    ガスを前記処理チャンバ内に流動させるステップであって、前記基板が回転しているとき前記基板の一方の側から反対の第2の側に前記半導体基板表面の上を流動するように前記ガスが概ね垂直に流動してから充満し、前記ガスは、概ね垂直に流動するとき部分的に予備加熱され、前記ガスは、前記半導体基板表面と反応して層を形成するステップと、
    を含むことを特徴とする半導体基板上に層を蒸着させる方法。
  44. 前記ガスはシランを含むことを特徴とする請求項43に記載の方法。
  45. 前記層の形成時、前記半導体基板の温度は約1000℃未満であることを特徴とする請求項44に記載の方法。
  46. 前記ガスは塩化シランを含むことを特徴とする請求項43に記載の方法。
  47. 前記半導体基板の加熱時に、前記半導体基板の200℃以内の温度まで前記チャンバの前記壁部を加熱できるように、前記処理チャンバがホットウォール式チャンバを含むことを特徴とする請求項43に記載の方法。
  48. 前記半導体基板の加熱時に、前記半導体基板の100℃以内の温度まで前記チャンバの前記壁部を加熱できるように、前記処理チャンバがホットウォール式チャンバを含むことを特徴とする請求項43に記載の方法。
  49. 前記処理チャンバの高さは、約1/4インチ(約0.64cm)から約3インチ(約7.62cm)であることを特徴とする請求項43に記載の方法。
  50. 前記チャンバ内への前記ガスの流動は、前記半導体基板の縁領域、中間領域および中央領域に対して選択的に制御されることを特徴とする請求項43に記載の方法。
  51. 前記処理チャンバはホットウォール式処理チャンバを含み、前記処理チャンバは、前記チャンバ内に前記半導体基板を配置する前に予備加熱されることを特徴とする請求項43に記載の方法。
  52. 前記処理チャンバの予備加熱は、層の形成時の最高処理温度の少なくとも約100℃以内の温度まで行われることを特徴とする請求項51に記載の方法。
JP2002581562A 2001-04-12 2002-04-12 半導体基板上にエピタキシャル膜を蒸着するためのシステムおよび方法 Pending JP2005501397A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28354101P 2001-04-12 2001-04-12
PCT/US2002/011595 WO2002084710A2 (en) 2001-04-12 2002-04-12 Systems and methods for epitaxially depositing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008163423A Division JP2008244502A (ja) 2001-04-12 2008-06-23 ウエハ処理システムの温度測定装置

Publications (1)

Publication Number Publication Date
JP2005501397A true JP2005501397A (ja) 2005-01-13

Family

ID=23086516

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002581562A Pending JP2005501397A (ja) 2001-04-12 2002-04-12 半導体基板上にエピタキシャル膜を蒸着するためのシステムおよび方法
JP2008163423A Pending JP2008244502A (ja) 2001-04-12 2008-06-23 ウエハ処理システムの温度測定装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008163423A Pending JP2008244502A (ja) 2001-04-12 2008-06-23 ウエハ処理システムの温度測定装置

Country Status (8)

Country Link
US (2) US6902622B2 (ja)
JP (2) JP2005501397A (ja)
KR (1) KR20030090726A (ja)
CN (1) CN100482857C (ja)
AU (1) AU2002303333A1 (ja)
DE (1) DE10296662T5 (ja)
TW (1) TWI284977B (ja)
WO (1) WO2002084710A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013533641A (ja) * 2010-07-29 2013-08-22 ローレンス アドヴァンスド セミコンダクター テクノロジーズ,エルエルシー 基板処理装置およびシステム
JP2018148202A (ja) * 2017-03-07 2018-09-20 エーピー システムズ インコーポレイテッド ガス噴射装置、これを備える基板処理設備及びこれを用いた基板処理方法
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000138168A (ja) * 1998-10-29 2000-05-16 Shin Etsu Handotai Co Ltd 半導体ウェーハ及び気相成長装置
JP4625183B2 (ja) * 1998-11-20 2011-02-02 ステアーグ アール ティ ピー システムズ インコーポレイテッド 半導体ウェハのための急速加熱及び冷却装置
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6970644B2 (en) 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US6969918B1 (en) * 2001-08-30 2005-11-29 Micron Technology, Inc. System for fabricating semiconductor components using mold cavities having runners configured to minimize venting
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US7101812B2 (en) 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US6835914B2 (en) 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
US20040266208A1 (en) * 2003-06-25 2004-12-30 Yasuto Karasawa Method for preventing particle-based contamination of substrates and structure therefor
US7654596B2 (en) 2003-06-27 2010-02-02 Mattson Technology, Inc. Endeffectors for handling semiconductor wafers
JP2005183511A (ja) * 2003-12-17 2005-07-07 Shin Etsu Handotai Co Ltd 気相成長装置およびエピタキシャルウェーハの製造方法
US7453160B2 (en) * 2004-04-23 2008-11-18 Axcelis Technologies, Inc. Simplified wafer alignment
US7645342B2 (en) * 2004-11-15 2010-01-12 Cree, Inc. Restricted radiated heating assembly for high temperature processing
KR20060103640A (ko) * 2005-03-28 2006-10-04 삼성전자주식회사 반도체 제조장치
CN100358098C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺件处理装置
US7446284B2 (en) * 2005-12-21 2008-11-04 Momentive Performance Materials Inc. Etch resistant wafer processing apparatus and method for producing the same
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
CN101681870B (zh) * 2007-03-12 2011-08-17 东京毅力科创株式会社 用于提高衬底内处理均匀性的动态温度背部气体控制
US7674636B2 (en) * 2007-03-12 2010-03-09 Tokyo Electron Limited Dynamic temperature backside gas control for improved within-substrate process uniformity
US7988813B2 (en) * 2007-03-12 2011-08-02 Tokyo Electron Limited Dynamic control of process chemistry for improved within-substrate process uniformity
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
US7976216B2 (en) 2007-12-20 2011-07-12 Mattson Technology, Inc. Determining the temperature of silicon at high temperatures
JP5197030B2 (ja) * 2008-01-16 2013-05-15 株式会社東芝 エピタキシャルウェーハの製造装置及び製造方法
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
JP5039076B2 (ja) * 2008-03-24 2012-10-03 株式会社東芝 エピタキシャルウェーハの製造装置及び製造方法
RU2499081C2 (ru) * 2008-03-26 2013-11-20 ДжиТиЭйТи Корпорейшн Системы и способы распределения газа в реакторе для химического осаждения из паровой фазы
TWI464292B (zh) * 2008-03-26 2014-12-11 Gtat Corp 塗覆金之多晶矽反應器系統和方法
KR100976547B1 (ko) 2008-06-02 2010-08-17 주식회사 티씨케이 유도가열 서셉터 및 그 제조방법
JP4781421B2 (ja) * 2008-12-02 2011-09-28 トヨタ自動車株式会社 成膜装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
KR101153244B1 (ko) * 2009-12-24 2012-06-05 엘아이지에이디피 주식회사 화학기상증착장치
TWI431149B (zh) * 2009-12-24 2014-03-21 Lig Adp Co Ltd 化學氣相沈積設備及其控制方法
WO2011090487A1 (en) * 2010-01-25 2011-07-28 Hewlett-Packard Development Company, L.P. Hard imaging devices and hard imaging device operational methods
TWI503907B (zh) * 2010-04-14 2015-10-11 Wonik Ips Co Ltd 基板處理設備
CN102222598B (zh) * 2010-04-19 2015-04-08 圆益Ips股份有限公司 衬底处理装置
KR101151212B1 (ko) * 2010-04-20 2012-06-15 엘아이지에이디피 주식회사 화학기상증착장치 및 화학기상증착장치의 가스공급유닛
JP5646207B2 (ja) * 2010-04-30 2014-12-24 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8252422B2 (en) * 2010-07-08 2012-08-28 Jx Nippon Mining & Metals Corporation Hybrid silicon wafer and method of producing the same
DE112011103491B4 (de) * 2010-11-15 2020-09-24 Shin-Etsu Handotai Co., Ltd. Suszeptor und Verfahren zum Herstellen eines Epitaxialwafers
JP5395102B2 (ja) * 2011-02-28 2014-01-22 株式会社豊田中央研究所 気相成長装置
US20120272892A1 (en) * 2011-04-07 2012-11-01 Veeco Instruments Inc. Metal-Organic Vapor Phase Epitaxy System and Process
KR101685629B1 (ko) * 2011-04-29 2016-12-12 한국에이에스엠지니텍 주식회사 수평 흐름 원자층 증착 장치
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130130184A1 (en) * 2011-11-21 2013-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and Method for Controlling Wafer Temperature
WO2013141159A1 (ja) * 2012-03-22 2013-09-26 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US8809747B2 (en) * 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US9385017B2 (en) * 2012-08-06 2016-07-05 Nordson Corporation Apparatus and methods for handling workpieces of different sizes
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5602903B2 (ja) * 2013-03-14 2014-10-08 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
TWI624903B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 在雜訊環境中之現場溫度測量
WO2014179014A1 (en) * 2013-05-01 2014-11-06 Applied Materials, Inc. Inject and exhaust design for epi chamber flow manipulation
SG10201709699RA (en) * 2013-05-23 2017-12-28 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
JP5895929B2 (ja) * 2013-12-25 2016-03-30 ウシオ電機株式会社 光照射装置
US11015244B2 (en) 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US10450649B2 (en) 2014-01-29 2019-10-22 Gtat Corporation Reactor filament assembly with enhanced misalignment tolerance
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
TWI598980B (zh) * 2014-08-27 2017-09-11 杰宜斯科技有限公司 基板處理裝置及方法
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6153095B2 (ja) * 2014-12-19 2017-06-28 信越半導体株式会社 エピタキシャルウェーハの製造方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
WO2016164569A1 (en) * 2015-04-07 2016-10-13 Applied Materials, Inc. Process gas preheating systems and methods for double-sided multi-substrate batch processing
US10961621B2 (en) * 2015-06-04 2021-03-30 Svagos Technik, Inc. CVD reactor chamber with resistive heating and substrate holder
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10781533B2 (en) * 2015-07-31 2020-09-22 Applied Materials, Inc. Batch processing chamber
US20170051407A1 (en) * 2015-08-17 2017-02-23 Applied Materials, Inc. Heating Source For Spatial Atomic Layer Deposition
JP6707827B2 (ja) * 2015-09-28 2020-06-10 東京エレクトロン株式会社 成膜装置
JP6432742B2 (ja) * 2015-09-30 2018-12-05 信越半導体株式会社 エピタキシャル成長装置及びエピタキシャルウェーハの製造方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10607837B2 (en) 2016-08-19 2020-03-31 Applied Materials, Inc. Gas flow control for EPI thickness uniformity improvement
CN106244996B (zh) * 2016-09-22 2018-09-21 铜陵市铜创电子科技有限公司 一种金属化薄膜加工用加厚镀膜装置
CN106244998B (zh) * 2016-09-22 2019-04-23 铜陵市铜创电子科技有限公司 一种金属化薄膜加工用预热机构
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20190070639A1 (en) * 2017-09-07 2019-03-07 Applied Materials, Inc. Automatic cleaning machine for cleaning process kits
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP6812961B2 (ja) * 2017-12-25 2021-01-13 株式会社Sumco エピタキシャル成長装置およびそれを用いた半導体エピタキシャルウェーハの製造方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) * 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110885973A (zh) * 2018-09-11 2020-03-17 上海引万光电科技有限公司 化学气相沉积设备
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102321274B1 (ko) * 2018-09-14 2021-11-03 주식회사 엘지화학 자외선 투과 플레이트 오염 방지용 공기 분사 모듈을 구비하는 광 중합 반응 시스템
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
WO2020072241A1 (en) * 2018-10-01 2020-04-09 Applied Materials, Inc. Purged viewport for quartz dome in epitaxy reactor
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102659428B1 (ko) * 2018-11-29 2024-04-23 삼성디스플레이 주식회사 부싱부 및 그것을 포함하는 기판 처리 장치
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR102206215B1 (ko) 2018-12-28 2021-01-22 (주)피앤테크 웨이퍼 증착용 석영관의 실란가스 접촉 방지방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
EP4139498A1 (en) * 2020-04-20 2023-03-01 Applied Materials, Inc. Multi-thermal cvd chambers with shared gas delivery and exhaust system
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111554565A (zh) * 2020-05-08 2020-08-18 四川广瑞半导体有限公司 硅8英寸大功率元器件外延片制备工艺
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20220364261A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Chamber architecture for epitaxial deposition and advanced epitaxial film applications
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
JP2024510075A (ja) * 2021-05-31 2024-03-06 アプライド マテリアルズ インコーポレイテッド 結晶厚さマイクロバランシングセンサのその場epi成長速度制御
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114318543A (zh) * 2021-12-28 2022-04-12 江苏布里其曼科技股份有限公司 半极性氮化镓外延层结构制造系统及方法
WO2023205039A1 (en) * 2022-04-22 2023-10-26 Lam Research Corporation Heat guard
US20240110278A1 (en) * 2022-09-29 2024-04-04 Applied Materials, Inc. Dog bone exhaust slit tunnel for processing chambers

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5400A (en) * 1847-12-18 Improvement in galvanic batteries for telegraphs
US137311A (en) * 1873-04-01 Improvement in fabrics for dress-protectors
US104619A (en) * 1870-06-21 Improvement in hardening magnesian limestone
US235983A (en) * 1880-12-28 Lock and latch combined
US209326A (en) * 1878-10-29 Improvement in pulp-strainers
US17618A (en) * 1857-06-23 Method of holding and adjusting plane-irons in theib stocks
US236642A (en) * 1881-01-11 Washing-machine
US31793A (en) * 1861-03-26 evans
US3842794A (en) * 1973-06-29 1974-10-22 Ibm Apparatus for high temperature semiconductor processing
US4694779A (en) 1984-10-19 1987-09-22 Tetron, Inc. Reactor apparatus for semiconductor wafer processing
US5244501A (en) * 1986-07-26 1993-09-14 Nihon Shinku Gijutsu Kabushiki Kaisha Apparatus for chemical vapor deposition
JPH0758699B2 (ja) * 1986-12-24 1995-06-21 三菱化学株式会社 ▲iii▼−▲v▼族化合物半導体ウエハーのアニール方法
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
JPH03203317A (ja) * 1989-12-29 1991-09-05 Matsushita Electric Ind Co Ltd プラズマ処理装置
JP2725081B2 (ja) * 1990-07-05 1998-03-09 富士通株式会社 半導体装置製造用熱処理装置
US5269847A (en) 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5334277A (en) * 1990-10-25 1994-08-02 Nichia Kagaky Kogyo K.K. Method of vapor-growing semiconductor crystal and apparatus for vapor-growing the same
JPH06120177A (ja) * 1992-10-09 1994-04-28 Fujitsu Ltd ドライエッチング方法とそれに使用する装置
JPH06229834A (ja) * 1993-02-01 1994-08-19 Kawasaki Steel Corp 光ファイバ温度計および温度測定方法
TW289839B (ja) * 1993-02-09 1996-11-01 Gen Instrument Corp
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5484486A (en) * 1994-05-02 1996-01-16 Applied Materials, Inc. Quick release process kit
JP3824675B2 (ja) 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ 結晶製造装置
JP3432636B2 (ja) * 1995-04-05 2003-08-04 東京エレクトロン株式会社 処理装置及び処理方法
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
US6002109A (en) * 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5551985A (en) * 1995-08-18 1996-09-03 Torrex Equipment Corporation Method and apparatus for cold wall chemical vapor deposition
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
KR100310248B1 (ko) 1996-06-24 2001-12-15 엔도 마코토 기판처리장치
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US6067931A (en) * 1996-11-04 2000-05-30 General Electric Company Thermal processor for semiconductor wafers
US5993555A (en) * 1997-01-16 1999-11-30 Seh America, Inc. Apparatus and process for growing silicon epitaxial layer
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5874711A (en) 1997-04-17 1999-02-23 Ag Associates Apparatus and method for determining the temperature of a radiating surface
JP2973971B2 (ja) 1997-06-05 1999-11-08 日本電気株式会社 熱処理装置及び薄膜の形成方法
JPH1180964A (ja) 1997-07-07 1999-03-26 Canon Inc プラズマcvd法による堆積膜形成装置
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6027244A (en) 1997-07-24 2000-02-22 Steag Rtp Systems, Inc. Apparatus for determining the temperature of a semi-transparent radiating body
US5973447A (en) 1997-07-25 1999-10-26 Monsanto Company Gridless ion source for the vacuum processing of materials
US6075922A (en) 1997-08-07 2000-06-13 Steag Rtp Systems, Inc. Process for preventing gas leaks in an atmospheric thermal processing chamber
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6222990B1 (en) 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
US5970382A (en) 1998-01-26 1999-10-19 Ag Associates Process for forming coatings on semiconductor devices
US6056434A (en) 1998-03-12 2000-05-02 Steag Rtp Systems, Inc. Apparatus and method for determining the temperature of objects in thermal processing chambers
US6204484B1 (en) 1998-03-31 2001-03-20 Steag Rtp Systems, Inc. System for measuring the temperature of a semiconductor wafer during thermal processing
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US6034357A (en) 1998-06-08 2000-03-07 Steag Rtp Systems Inc Apparatus and process for measuring the temperature of semiconductor wafers in the presence of radiation absorbing gases
US6462310B1 (en) * 1998-08-12 2002-10-08 Asml Us, Inc Hot wall rapid thermal processor
NL1010003C2 (nl) * 1998-09-03 2000-03-13 Asm Int Reactor voorzien van verwarming.
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6310328B1 (en) 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
US6174651B1 (en) 1999-01-14 2001-01-16 Steag Rtp Systems, Inc. Method for depositing atomized materials onto a substrate utilizing light exposure for heating
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
US6200023B1 (en) 1999-03-15 2001-03-13 Steag Rtp Systems, Inc. Method for determining the temperature in a thermal processing chamber
US6293696B1 (en) 1999-05-03 2001-09-25 Steag Rtp Systems, Inc. System and process for calibrating pyrometers in thermal processing chambers
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
US6359263B2 (en) 1999-09-03 2002-03-19 Steag Rtp Systems, Inc. System for controlling the temperature of a reflective substrate during rapid heating
US6403923B1 (en) 1999-09-03 2002-06-11 Mattson Technology, Inc. System for controlling the temperature of a reflective substrate during rapid heating
US6514876B1 (en) 1999-09-07 2003-02-04 Steag Rtp Systems, Inc. Pre-metal dielectric rapid thermal processing for sub-micron technology
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6303906B1 (en) * 1999-11-30 2001-10-16 Wafermasters, Inc. Resistively heated single wafer furnace
WO2001045501A2 (en) 1999-12-21 2001-06-28 Mattson Thermal Products, Inc GROWTH OF ULTRATHIN NITRIDE ON Si(100) BY RAPID THERMAL N2 TREATMENT
US6500266B1 (en) * 2000-01-18 2002-12-31 Applied Materials, Inc. Heater temperature uniformity qualification tool
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
CN100342500C (zh) 2000-09-19 2007-10-10 马特森技术公司 形成介电薄膜的方法
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6559424B2 (en) 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
US6770146B2 (en) 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
TW544775B (en) * 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
US6884719B2 (en) 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
US20030029859A1 (en) * 2001-08-08 2003-02-13 Applied Materials, Inc. Lamphead for a rapid thermal processing chamber
US20030209326A1 (en) 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
US6875691B2 (en) 2002-06-21 2005-04-05 Mattson Technology, Inc. Temperature control sequence of electroless plating baths
US7734439B2 (en) 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013533641A (ja) * 2010-07-29 2013-08-22 ローレンス アドヴァンスド セミコンダクター テクノロジーズ,エルエルシー 基板処理装置およびシステム
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems
JP2018148202A (ja) * 2017-03-07 2018-09-20 エーピー システムズ インコーポレイテッド ガス噴射装置、これを備える基板処理設備及びこれを用いた基板処理方法
JP7097703B2 (ja) 2017-03-07 2022-07-08 エーピー システムズ インコーポレイテッド ガス噴射装置、これを備える基板処理設備及びこれを用いた基板処理方法

Also Published As

Publication number Publication date
CN100482857C (zh) 2009-04-29
US20050133159A1 (en) 2005-06-23
TWI284977B (en) 2007-08-01
WO2002084710A3 (en) 2003-02-06
JP2008244502A (ja) 2008-10-09
AU2002303333A1 (en) 2002-10-28
KR20030090726A (ko) 2003-11-28
US6902622B2 (en) 2005-06-07
US20030124820A1 (en) 2003-07-03
DE10296662T5 (de) 2004-04-22
WO2002084710A2 (en) 2002-10-24
CN1585832A (zh) 2005-02-23

Similar Documents

Publication Publication Date Title
JP2005501397A (ja) 半導体基板上にエピタキシャル膜を蒸着するためのシステムおよび方法
KR102360082B1 (ko) 고 선택비 산화물 제거 및 고온 오염물 제거가 통합된 에피택시 시스템
JP3824675B2 (ja) 結晶製造装置
TWI687966B (zh) 處理基板的方法及真空處理系統與設備
US20080092812A1 (en) Methods and Apparatuses for Depositing Uniform Layers
US9074284B2 (en) Heat treatment apparatus
KR101853274B1 (ko) 기판 상에 재료들을 증착하기 위한 방법들 및 장치
WO2004015742A2 (en) High rate deposition in a batch reactor
JP2017108152A (ja) 基板上に材料を堆積するための装置
US20120076936A1 (en) Substrate processing apparatus, gas nozzle and method of processing substrate
JP7348975B2 (ja) 一体化されたエピタキシと予洗浄システム
KR20110120963A (ko) 고수율 다중-웨이퍼 에피택셜 반응기
WO2012115170A1 (ja) 基板処理装置、基板の製造方法及び半導体装置の製造方法
US20100282166A1 (en) Heat treatment apparatus and method of heat treatment
EP0823491A2 (en) Gas injection system for CVD reactors
JP2000150399A (ja) エピタキシャル成長した半導体ウエ―ハを製造するためのcvd反応器及び方法
US6738683B1 (en) Apparatus and method for cleaning a bell jar in a barrel epitaxial reactor
US8771416B2 (en) Substrate processing apparatus with an insulator disposed in the reaction chamber
US11149351B2 (en) Apparatus and method for chemical vapor deposition process for semiconductor substrates
JP2014099427A (ja) 基板処理装置、及び、基板の製造方法
JP2002261028A (ja) 半導体装置の製造用基板載置治具と縦型炉の組合わせ、基板載置治具、及び半導体装置の製造方法
JP2004134625A (ja) 半導体装置の製造方法と製造装置
JP2005108988A (ja) 半導体製造装置
JPH05243161A (ja) 気相成長装置及びエピタキシャル膜の成長方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050316

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071019

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080121

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080222

RD13 Notification of appointment of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7433

Effective date: 20080523

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080523