JP2005191537A - プラズマ処理反応器内の導電性の面を保護するための方法及び装置 - Google Patents
プラズマ処理反応器内の導電性の面を保護するための方法及び装置 Download PDFInfo
- Publication number
- JP2005191537A JP2005191537A JP2004294142A JP2004294142A JP2005191537A JP 2005191537 A JP2005191537 A JP 2005191537A JP 2004294142 A JP2004294142 A JP 2004294142A JP 2004294142 A JP2004294142 A JP 2004294142A JP 2005191537 A JP2005191537 A JP 2005191537A
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- process chamber
- aluminum
- reactor
- chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
- H01J37/32495—Means for protecting the vessel against plasma
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/02—Details
- H01J37/026—Means for avoiding or neutralising unwanted electrical charges on tube components
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/02—Details
- H01J2237/0203—Protection arrangements
- H01J2237/0206—Extinguishing, preventing or controlling unwanted discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/02—Details
- H01J2237/022—Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S156/00—Adhesive bonding and miscellaneous chemical manufacture
- Y10S156/914—Differential etching apparatus including particular materials of construction
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S156/00—Adhesive bonding and miscellaneous chemical manufacture
- Y10S156/916—Differential etching apparatus including chamber cleaning means or shield for preventing deposits
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Mechanical Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Physical Or Chemical Processes And Apparatus (AREA)
- Crystals, And After-Treatments Of Crystals (AREA)
Abstract
【解決手段】 内部で、基板ホルダ216上に保持された基板215に隣接して、処理プラズマが発生する処理チャンバであって、自立型の電気絶縁性のライナー220〜223が、処理プラズマに面する処理チャンバの金属壁212に隣接して配置されていることを特徴とするものである。
【選択図】 図1
Description
Claims (6)
- 処理プラズマが導電性のプロセスチャンバ壁の付近に生成するプロセスチャンバ内で、前記プロセスチャンバ壁に取り付けられない自立型(フリースタンディング)の電気絶縁性ライナーを前記プロセスチャンバ壁の付近に有するプロセスチャンバであって、前記自立型ライナーが、前記プラズマと前記導電性のプロセスチャンバ壁との間に配置されて、電気絶縁効果を呈して前記導電性のプロセスチャンバ壁を保護するプロセスチャンバ。
- 前記自立型ライナーが、前記プラズマに面するセラミックの面を有する請求項1に記載のプロセスチャンバ。
- 前記セラミックが、アルミニウムの酸化物と、アルミニウムのフッ化物と、マグネシウムの酸化物と、マグネシウムのフッ化物と、タンタルの酸化物と、タンタルのフッ化物とを有する請求項2に記載のプロセスチャンバ。
- 前記セラミックが、酸化アルミニウムである請求項3に記載のプロセスチャンバ。
- 前記自立型ライナーが、前記プロセスチャンバ壁に取り付けられずに自立することができるよう、約130マイクロメートル以上の厚さを有し、また、前記自立型ライナーのプラズマに面する少なくとも0.02マイクロメートルの面が、前記導電性のプロセスチャンバ壁を保護するに充分なプラズマ電気障壁厚さを与える請求項2に記載のプロセスチャンバ。
- 前記自立型ライナーの厚さが、約250マイクロメートル〜6350マイクロメートルの範囲にある請求項5に記載のプロセスチャンバ。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/010,975 US5366585A (en) | 1993-01-28 | 1993-01-28 | Method and apparatus for protection of conductive surfaces in a plasma processing reactor |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002367135A Division JP2003249455A (ja) | 1993-01-28 | 2002-12-18 | プラズマ処理反応器内の導電性の面を保護するための方法及び装置 |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2005191537A true JP2005191537A (ja) | 2005-07-14 |
Family
ID=21748316
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP00869094A Expired - Lifetime JP3488734B2 (ja) | 1993-01-28 | 1994-01-28 | プラズマ処理反応器内の導電性の面を保護するための方法及び装置 |
JP2002367135A Pending JP2003249455A (ja) | 1993-01-28 | 2002-12-18 | プラズマ処理反応器内の導電性の面を保護するための方法及び装置 |
JP2004294142A Pending JP2005191537A (ja) | 1993-01-28 | 2004-10-06 | プラズマ処理反応器内の導電性の面を保護するための方法及び装置 |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP00869094A Expired - Lifetime JP3488734B2 (ja) | 1993-01-28 | 1994-01-28 | プラズマ処理反応器内の導電性の面を保護するための方法及び装置 |
JP2002367135A Pending JP2003249455A (ja) | 1993-01-28 | 2002-12-18 | プラズマ処理反応器内の導電性の面を保護するための方法及び装置 |
Country Status (2)
Country | Link |
---|---|
US (1) | US5366585A (ja) |
JP (3) | JP3488734B2 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2019505998A (ja) * | 2016-01-27 | 2019-02-28 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | スリットバルブゲート被覆及びスリットバルブゲートの洗浄方法 |
Families Citing this family (261)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6046425A (en) * | 1991-05-31 | 2000-04-04 | Hitachi, Ltd. | Plasma processing apparatus having insulator disposed on inner surface of plasma generating chamber |
US5891253A (en) * | 1993-05-14 | 1999-04-06 | Applied Materials, Inc. | Corrosion resistant apparatus |
US5522932A (en) * | 1993-05-14 | 1996-06-04 | Applied Materials, Inc. | Corrosion-resistant apparatus |
JP3288490B2 (ja) * | 1993-07-09 | 2002-06-04 | 富士通株式会社 | 半導体装置の製造方法及び半導体装置の製造装置 |
JP3172758B2 (ja) * | 1993-11-20 | 2001-06-04 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
US5798016A (en) * | 1994-03-08 | 1998-08-25 | International Business Machines Corporation | Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability |
US5680013A (en) * | 1994-03-15 | 1997-10-21 | Applied Materials, Inc. | Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces |
TW321821B (ja) * | 1994-05-17 | 1997-12-01 | Hitachi Ltd | |
US5885356A (en) * | 1994-11-30 | 1999-03-23 | Applied Materials, Inc. | Method of reducing residue accumulation in CVD chamber using ceramic lining |
US5558717A (en) * | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
US5605637A (en) * | 1994-12-15 | 1997-02-25 | Applied Materials Inc. | Adjustable dc bias control in a plasma reactor |
US5891350A (en) * | 1994-12-15 | 1999-04-06 | Applied Materials, Inc. | Adjusting DC bias voltage in plasma chambers |
US6133557A (en) * | 1995-01-31 | 2000-10-17 | Kyocera Corporation | Wafer holding member |
JP3362552B2 (ja) * | 1995-03-10 | 2003-01-07 | 東京エレクトロン株式会社 | 成膜処理装置 |
US20050236109A1 (en) * | 1995-03-16 | 2005-10-27 | Toshio Masuda | Plasma etching apparatus and plasma etching method |
JP3257328B2 (ja) * | 1995-03-16 | 2002-02-18 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
US6264812B1 (en) * | 1995-11-15 | 2001-07-24 | Applied Materials, Inc. | Method and apparatus for generating a plasma |
US5968324A (en) * | 1995-12-05 | 1999-10-19 | Applied Materials, Inc. | Method and apparatus for depositing antireflective coating |
JP4925681B2 (ja) * | 1995-12-28 | 2012-05-09 | 京セラ株式会社 | 耐食性部材 |
US5895530A (en) * | 1996-02-26 | 1999-04-20 | Applied Materials, Inc. | Method and apparatus for directing fluid through a semiconductor processing chamber |
US5810937A (en) * | 1996-03-13 | 1998-09-22 | Applied Materials, Inc. | Using ceramic wafer to protect susceptor during cleaning of a processing chamber |
KR100489918B1 (ko) * | 1996-05-09 | 2005-08-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 플라즈마발생및스퍼터링용코일 |
US6254746B1 (en) | 1996-05-09 | 2001-07-03 | Applied Materials, Inc. | Recessed coil for generating a plasma |
US6368469B1 (en) * | 1996-05-09 | 2002-04-09 | Applied Materials, Inc. | Coils for generating a plasma and for sputtering |
US5788799A (en) * | 1996-06-11 | 1998-08-04 | Applied Materials, Inc. | Apparatus and method for cleaning of semiconductor process chamber surfaces |
US5824365A (en) * | 1996-06-24 | 1998-10-20 | Micron Technology, Inc. | Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor |
US5993916A (en) * | 1996-07-12 | 1999-11-30 | Applied Materials, Inc. | Method for substrate processing with improved throughput and yield |
US5846332A (en) * | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US6254737B1 (en) | 1996-10-08 | 2001-07-03 | Applied Materials, Inc. | Active shield for generating a plasma for sputtering |
US6190513B1 (en) | 1997-05-14 | 2001-02-20 | Applied Materials, Inc. | Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition |
US6020035A (en) | 1996-10-29 | 2000-02-01 | Applied Materials, Inc. | Film to tie up loose fluorine in the chamber after a clean process |
US6419986B1 (en) * | 1997-01-10 | 2002-07-16 | Chevron Phillips Chemical Company Ip | Method for removing reactive metal from a reactor system |
US6055927A (en) | 1997-01-14 | 2000-05-02 | Applied Komatsu Technology, Inc. | Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology |
US5904800A (en) * | 1997-02-03 | 1999-05-18 | Motorola, Inc. | Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer |
US5945354A (en) * | 1997-02-03 | 1999-08-31 | Motorola, Inc. | Method for reducing particles deposited onto a semiconductor wafer during plasma processing |
US6120608A (en) * | 1997-03-12 | 2000-09-19 | Applied Materials, Inc. | Workpiece support platen for semiconductor process chamber |
US6432203B1 (en) | 1997-03-17 | 2002-08-13 | Applied Komatsu Technology, Inc. | Heated and cooled vacuum chamber shield |
JP3801730B2 (ja) | 1997-05-09 | 2006-07-26 | 株式会社半導体エネルギー研究所 | プラズマcvd装置及びそれを用いた薄膜形成方法 |
US6103070A (en) * | 1997-05-14 | 2000-08-15 | Applied Materials, Inc. | Powered shield source for high density plasma |
TW460943B (en) * | 1997-06-11 | 2001-10-21 | Applied Materials Inc | Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions |
US6177023B1 (en) * | 1997-07-11 | 2001-01-23 | Applied Komatsu Technology, Inc. | Method and apparatus for electrostatically maintaining substrate flatness |
US6565717B1 (en) | 1997-09-15 | 2003-05-20 | Applied Materials, Inc. | Apparatus for sputtering ionized material in a medium to high density plasma |
US6024044A (en) * | 1997-10-09 | 2000-02-15 | Applied Komatsu Technology, Inc. | Dual frequency excitation of plasma for film deposition |
US6098568A (en) | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6041734A (en) * | 1997-12-01 | 2000-03-28 | Applied Materials, Inc. | Use of an asymmetric waveform to control ion bombardment during substrate processing |
US7004107B1 (en) * | 1997-12-01 | 2006-02-28 | Applied Materials Inc. | Method and apparatus for monitoring and adjusting chamber impedance |
US6063441A (en) * | 1997-12-02 | 2000-05-16 | Applied Materials, Inc. | Processing chamber and method for confining plasma |
US5976900A (en) * | 1997-12-08 | 1999-11-02 | Cypress Semiconductor Corp. | Method of reducing impurity contamination in semiconductor process chambers |
US6117244A (en) * | 1998-03-24 | 2000-09-12 | Applied Materials, Inc. | Deposition resistant lining for CVD chamber |
US6203657B1 (en) * | 1998-03-31 | 2001-03-20 | Lam Research Corporation | Inductively coupled plasma downstream strip module |
US6129808A (en) * | 1998-03-31 | 2000-10-10 | Lam Research Corporation | Low contamination high density plasma etch chambers and methods for making the same |
JP2000012463A (ja) * | 1998-06-17 | 2000-01-14 | Mitsubishi Electric Corp | 成膜装置 |
TW434636B (en) * | 1998-07-13 | 2001-05-16 | Applied Komatsu Technology Inc | RF matching network with distributed outputs |
US6123791A (en) | 1998-07-29 | 2000-09-26 | Applied Materials, Inc. | Ceramic composition for an apparatus and method for processing a substrate |
US6132566A (en) * | 1998-07-30 | 2000-10-17 | Applied Materials, Inc. | Apparatus and method for sputtering ionized material in a plasma |
US6231725B1 (en) | 1998-08-04 | 2001-05-15 | Applied Materials, Inc. | Apparatus for sputtering material onto a workpiece with the aid of a plasma |
US6074953A (en) * | 1998-08-28 | 2000-06-13 | Micron Technology, Inc. | Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers |
US6159333A (en) * | 1998-10-08 | 2000-12-12 | Applied Materials, Inc. | Substrate processing system configurable for deposition or cleaning |
US6238528B1 (en) | 1998-10-13 | 2001-05-29 | Applied Materials, Inc. | Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source |
US6444083B1 (en) | 1999-06-30 | 2002-09-03 | Lam Research Corporation | Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof |
US6408786B1 (en) | 1999-09-23 | 2002-06-25 | Lam Research Corporation | Semiconductor processing equipment having tiled ceramic liner |
US6227140B1 (en) | 1999-09-23 | 2001-05-08 | Lam Research Corporation | Semiconductor processing equipment having radiant heated ceramic liner |
TW514996B (en) | 1999-12-10 | 2002-12-21 | Tokyo Electron Ltd | Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film |
US6673198B1 (en) | 1999-12-22 | 2004-01-06 | Lam Research Corporation | Semiconductor processing equipment having improved process drift control |
KR100831292B1 (ko) | 1999-12-30 | 2008-05-22 | 엘지디스플레이 주식회사 | 드라이 에칭장치 |
US6391146B1 (en) | 2000-04-11 | 2002-05-21 | Applied Materials, Inc. | Erosion resistant gas energizer |
US20030010354A1 (en) * | 2000-03-27 | 2003-01-16 | Applied Materials, Inc. | Fluorine process for cleaning semiconductor process chamber |
US6500356B2 (en) * | 2000-03-27 | 2002-12-31 | Applied Materials, Inc. | Selectively etching silicon using fluorine without plasma |
US6605195B2 (en) | 2000-04-14 | 2003-08-12 | Seagate Technology Llc | Multi-layer deposition process using four ring sputter sources |
US6863835B1 (en) | 2000-04-25 | 2005-03-08 | James D. Carducci | Magnetic barrier for plasma in chamber exhaust |
WO2001088971A1 (fr) * | 2000-05-17 | 2001-11-22 | Tokyo Electron Limited | Dispositif de traitement et procede d'entretien du dispositif, mecanisme et procede de montage d'une piece du dispositif de traitement, et mecanisme de verrouillage et procede de blocage du mecanisme de verrouillage |
TW511158B (en) * | 2000-08-11 | 2002-11-21 | Alps Electric Co Ltd | Plasma processing apparatus and system, performance validation system thereof |
US6413321B1 (en) | 2000-12-07 | 2002-07-02 | Applied Materials, Inc. | Method and apparatus for reducing particle contamination on wafer backside during CVD process |
US20040081746A1 (en) * | 2000-12-12 | 2004-04-29 | Kosuke Imafuku | Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment |
WO2002048421A1 (fr) * | 2000-12-12 | 2002-06-20 | Tokyo Electron Limited | Procede de regeneration de contenant pour le traitement de plasma, element a l'interieur de ce contenant, procede de preparation de l'element a l'interieur de ce contenant, et appareil de traitement de plasma |
FR2818292B1 (fr) * | 2000-12-19 | 2003-03-21 | Joint Industrial Processors For Electronics | Chambre de reaction metallique anti-corrosion de procede cvd ou rtp |
US6843258B2 (en) * | 2000-12-19 | 2005-01-18 | Applied Materials, Inc. | On-site cleaning gas generation for process chamber cleaning |
US6805952B2 (en) * | 2000-12-29 | 2004-10-19 | Lam Research Corporation | Low contamination plasma chamber components and methods for making the same |
US7128804B2 (en) | 2000-12-29 | 2006-10-31 | Lam Research Corporation | Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof |
US6613442B2 (en) * | 2000-12-29 | 2003-09-02 | Lam Research Corporation | Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof |
US6620520B2 (en) * | 2000-12-29 | 2003-09-16 | Lam Research Corporation | Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof |
US6790242B2 (en) | 2000-12-29 | 2004-09-14 | Lam Research Corporation | Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof |
US6537429B2 (en) | 2000-12-29 | 2003-03-25 | Lam Research Corporation | Diamond coatings on reactor wall and method of manufacturing thereof |
US6533910B2 (en) | 2000-12-29 | 2003-03-18 | Lam Research Corporation | Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof |
KR100735932B1 (ko) * | 2001-02-09 | 2007-07-06 | 동경 엘렉트론 주식회사 | 성막 장치 |
WO2002068710A1 (de) * | 2001-02-26 | 2002-09-06 | Unaxis Balzers Aktiengesellschaft | Verfahren zur herstellung von teilen und vakuumbehandlungssystem |
US20020160620A1 (en) * | 2001-02-26 | 2002-10-31 | Rudolf Wagner | Method for producing coated workpieces, uses and installation for the method |
US7378127B2 (en) * | 2001-03-13 | 2008-05-27 | Micron Technology, Inc. | Chemical vapor deposition methods |
US6830622B2 (en) * | 2001-03-30 | 2004-12-14 | Lam Research Corporation | Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof |
US6777045B2 (en) * | 2001-06-27 | 2004-08-17 | Applied Materials Inc. | Chamber components having textured surfaces and method of manufacture |
US6682627B2 (en) | 2001-09-24 | 2004-01-27 | Applied Materials, Inc. | Process chamber having a corrosion-resistant wall and method |
JP2003224115A (ja) * | 2001-11-05 | 2003-08-08 | Tokyo Electron Ltd | プラズマプロセスにおけるチャンバの共振を緩和する装置並びに方法 |
DE10163171A1 (de) * | 2001-12-21 | 2003-07-03 | Solvay Fluor & Derivate | Neue Verwendung für Legierungen |
US7371467B2 (en) | 2002-01-08 | 2008-05-13 | Applied Materials, Inc. | Process chamber component having electroplated yttrium containing coating |
US6942929B2 (en) | 2002-01-08 | 2005-09-13 | Nianci Han | Process chamber having component with yttrium-aluminum coating |
AU2002233412A1 (en) * | 2002-01-15 | 2003-07-30 | Joint Industrial Processors For Electronics | Anti-corrosive metal reaction chamber for chemical vapour deposition or rapid thermal annealing process |
US7229666B2 (en) * | 2002-01-22 | 2007-06-12 | Micron Technology, Inc. | Chemical vapor deposition method |
US8067067B2 (en) * | 2002-02-14 | 2011-11-29 | Applied Materials, Inc. | Clean, dense yttrium oxide coating protecting semiconductor processing apparatus |
US7479304B2 (en) * | 2002-02-14 | 2009-01-20 | Applied Materials, Inc. | Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate |
US20080213496A1 (en) * | 2002-02-14 | 2008-09-04 | Applied Materials, Inc. | Method of coating semiconductor processing apparatus with protective yttrium-containing coatings |
US6787185B2 (en) | 2002-02-25 | 2004-09-07 | Micron Technology, Inc. | Deposition methods for improved delivery of metastable species |
US6902629B2 (en) * | 2002-04-12 | 2005-06-07 | Applied Materials, Inc. | Method for cleaning a process chamber |
US7468104B2 (en) * | 2002-05-17 | 2008-12-23 | Micron Technology, Inc. | Chemical vapor deposition apparatus and deposition method |
JP2003342739A (ja) * | 2002-05-23 | 2003-12-03 | Sony Corp | プラズマ化学的気相成長装置 |
US6887521B2 (en) | 2002-08-15 | 2005-05-03 | Micron Technology, Inc. | Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices |
US7137353B2 (en) * | 2002-09-30 | 2006-11-21 | Tokyo Electron Limited | Method and apparatus for an improved deposition shield in a plasma processing system |
US7166166B2 (en) | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved baffle plate in a plasma processing system |
US7166200B2 (en) | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
US7147749B2 (en) * | 2002-09-30 | 2006-12-12 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system |
US7204912B2 (en) | 2002-09-30 | 2007-04-17 | Tokyo Electron Limited | Method and apparatus for an improved bellows shield in a plasma processing system |
US6837966B2 (en) | 2002-09-30 | 2005-01-04 | Tokyo Electron Limeted | Method and apparatus for an improved baffle plate in a plasma processing system |
US6798519B2 (en) | 2002-09-30 | 2004-09-28 | Tokyo Electron Limited | Method and apparatus for an improved optical window deposition shield in a plasma processing system |
JP3946130B2 (ja) * | 2002-11-20 | 2007-07-18 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US7964085B1 (en) | 2002-11-25 | 2011-06-21 | Applied Materials, Inc. | Electrochemical removal of tantalum-containing materials |
CN1249789C (zh) | 2002-11-28 | 2006-04-05 | 东京毅力科创株式会社 | 等离子体处理容器内部件 |
JP2004273472A (ja) * | 2003-01-14 | 2004-09-30 | Tadahiro Omi | プラズマ処理装置用の部材,処理装置用の部材,プラズマ処理装置,処理装置及びプラズマ処理方法 |
WO2004079778A2 (en) * | 2003-02-28 | 2004-09-16 | Tokyo Electron Limited | Apparatus for attachment of semiconductor hardware |
US7291566B2 (en) | 2003-03-31 | 2007-11-06 | Tokyo Electron Limited | Barrier layer for a processing element and a method of forming the same |
WO2004095530A2 (en) | 2003-03-31 | 2004-11-04 | Tokyo Electron Limited | Adjoining adjacent coatings on an element |
US20060105182A1 (en) * | 2004-11-16 | 2006-05-18 | Applied Materials, Inc. | Erosion resistant textured chamber surface |
US8372205B2 (en) * | 2003-05-09 | 2013-02-12 | Applied Materials, Inc. | Reducing electrostatic charge by roughening the susceptor |
US20040221959A1 (en) * | 2003-05-09 | 2004-11-11 | Applied Materials, Inc. | Anodized substrate support |
KR20050004995A (ko) * | 2003-07-01 | 2005-01-13 | 삼성전자주식회사 | 플라즈마를 이용하는 기판 가공 장치 |
US7910218B2 (en) * | 2003-10-22 | 2011-03-22 | Applied Materials, Inc. | Cleaning and refurbishing chamber components having metal coatings |
US7109114B2 (en) * | 2004-05-07 | 2006-09-19 | Applied Materials, Inc. | HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance |
US20050284573A1 (en) * | 2004-06-24 | 2005-12-29 | Egley Fred D | Bare aluminum baffles for resist stripping chambers |
DE102004035336A1 (de) * | 2004-07-21 | 2006-02-16 | Schott Ag | Reinraumfähige Beschichtungsanlage |
US7728823B2 (en) * | 2004-09-24 | 2010-06-01 | Apple Inc. | System and method for processing raw data of track pad device |
JP2006128370A (ja) * | 2004-10-28 | 2006-05-18 | Tokyo Electron Ltd | 成膜装置、成膜方法、プログラムおよび記録媒体 |
JP4666575B2 (ja) * | 2004-11-08 | 2011-04-06 | 東京エレクトロン株式会社 | セラミック溶射部材の製造方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材 |
US7579067B2 (en) | 2004-11-24 | 2009-08-25 | Applied Materials, Inc. | Process chamber component with layered coating and method |
US7552521B2 (en) | 2004-12-08 | 2009-06-30 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
US7601242B2 (en) | 2005-01-11 | 2009-10-13 | Tokyo Electron Limited | Plasma processing system and baffle assembly for use in plasma processing system |
US7732056B2 (en) * | 2005-01-18 | 2010-06-08 | Applied Materials, Inc. | Corrosion-resistant aluminum component having multi-layer coating |
US20060228889A1 (en) * | 2005-03-31 | 2006-10-12 | Edelberg Erik A | Methods of removing resist from substrates in resist stripping chambers |
US20060266288A1 (en) * | 2005-05-27 | 2006-11-30 | Applied Materials, Inc. | High plasma utilization for remote plasma clean |
US8617672B2 (en) * | 2005-07-13 | 2013-12-31 | Applied Materials, Inc. | Localized surface annealing of components for substrate processing chambers |
KR101021459B1 (ko) * | 2005-08-22 | 2011-03-15 | 도카로 가부시키가이샤 | 내손상성 등이 우수한 용사 피막 피복 부재 및 그 제조방법 |
JP4555864B2 (ja) * | 2005-08-22 | 2010-10-06 | トーカロ株式会社 | 熱放射特性等に優れる溶射皮膜被覆部材およびその製造方法 |
JP4571561B2 (ja) * | 2005-09-08 | 2010-10-27 | トーカロ株式会社 | 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法 |
US7762114B2 (en) | 2005-09-09 | 2010-07-27 | Applied Materials, Inc. | Flow-formed chamber component having a textured surface |
US8679252B2 (en) * | 2005-09-23 | 2014-03-25 | Lam Research Corporation | Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof |
US7517818B2 (en) * | 2005-10-31 | 2009-04-14 | Tokyo Electron Limited | Method for forming a nitrided germanium-containing layer using plasma processing |
US7517812B2 (en) * | 2005-10-31 | 2009-04-14 | Tokyo Electron Limited | Method and system for forming a nitrided germanium-containing layer using plasma processing |
JP2007146252A (ja) * | 2005-11-29 | 2007-06-14 | Tokyo Electron Ltd | 熱処理方法、熱処理装置及び記憶媒体 |
US7862683B2 (en) * | 2005-12-02 | 2011-01-04 | Tokyo Electron Limited | Chamber dry cleaning |
US7850864B2 (en) * | 2006-03-20 | 2010-12-14 | Tokyo Electron Limited | Plasma treating apparatus and plasma treating method |
JP4643478B2 (ja) * | 2006-03-20 | 2011-03-02 | トーカロ株式会社 | 半導体加工装置用セラミック被覆部材の製造方法 |
CN100459032C (zh) * | 2006-09-30 | 2009-02-04 | 中芯国际集成电路制造(上海)有限公司 | 减少反应室颗粒的工艺方法 |
US7981262B2 (en) | 2007-01-29 | 2011-07-19 | Applied Materials, Inc. | Process kit for substrate processing chamber |
US7959735B2 (en) * | 2007-02-08 | 2011-06-14 | Applied Materials, Inc. | Susceptor with insulative inserts |
US20080196661A1 (en) * | 2007-02-20 | 2008-08-21 | Brian West | Plasma sprayed deposition ring isolator |
US10242888B2 (en) | 2007-04-27 | 2019-03-26 | Applied Materials, Inc. | Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance |
US10622194B2 (en) | 2007-04-27 | 2020-04-14 | Applied Materials, Inc. | Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance |
WO2008143088A1 (ja) * | 2007-05-18 | 2008-11-27 | Ulvac, Inc. | プラズマ処理装置及び防着部材の製造方法 |
US7942969B2 (en) | 2007-05-30 | 2011-05-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
US8367227B2 (en) * | 2007-08-02 | 2013-02-05 | Applied Materials, Inc. | Plasma-resistant ceramics with controlled electrical resistivity |
JP5683063B2 (ja) * | 2007-09-05 | 2015-03-11 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 窒化アルミニウム又は酸化ベリリウムのセラミックカバーウェハ |
JP5347294B2 (ja) * | 2007-09-12 | 2013-11-20 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP5474291B2 (ja) | 2007-11-05 | 2014-04-16 | 株式会社アルバック | アッシング装置 |
US8129029B2 (en) | 2007-12-21 | 2012-03-06 | Applied Materials, Inc. | Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating |
JP4515507B2 (ja) * | 2008-01-31 | 2010-08-04 | 東京エレクトロン株式会社 | プラズマ処理システム |
US7987814B2 (en) * | 2008-04-07 | 2011-08-02 | Applied Materials, Inc. | Lower liner with integrated flow equalizer and improved conductance |
FR2930561B1 (fr) * | 2008-04-28 | 2011-01-14 | Altatech Semiconductor | Dispositif et procede de traitement chimique en phase vapeur. |
JP5323628B2 (ja) * | 2009-09-17 | 2013-10-23 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5623722B2 (ja) * | 2009-09-28 | 2014-11-12 | 東京エレクトロン株式会社 | プラズマエッチング装置用シリコン製部品の再生方法 |
JP5698950B2 (ja) | 2009-10-23 | 2015-04-08 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
TWI502617B (zh) * | 2010-07-21 | 2015-10-01 | 應用材料股份有限公司 | 用於調整電偏斜的方法、電漿處理裝置與襯管組件 |
JP5948040B2 (ja) | 2010-11-04 | 2016-07-06 | 株式会社半導体エネルギー研究所 | 結晶性半導体膜の作製方法及び半導体装置の作製方法 |
US8815635B2 (en) | 2010-11-05 | 2014-08-26 | Semiconductor Energy Laboratory Co., Ltd. | Manufacturing method of photoelectric conversion device |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
CN102161141B (zh) * | 2011-03-24 | 2014-01-29 | 亿光电子(中国)有限公司 | 一种焊线机 |
US10094024B2 (en) * | 2011-06-24 | 2018-10-09 | Tokyo Ohka Kogyo Co., Ltd. | Method of manufacturing multilayer body, method of processing substrate, and multilayer body |
US10224182B2 (en) | 2011-10-17 | 2019-03-05 | Novellus Systems, Inc. | Mechanical suppression of parasitic plasma in substrate processing chamber |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9449795B2 (en) * | 2013-02-28 | 2016-09-20 | Novellus Systems, Inc. | Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US20140315392A1 (en) * | 2013-04-22 | 2014-10-23 | Lam Research Corporation | Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof |
US20140356985A1 (en) | 2013-06-03 | 2014-12-04 | Lam Research Corporation | Temperature controlled substrate support assembly |
US9673092B2 (en) | 2014-03-06 | 2017-06-06 | Asm Ip Holding B.V. | Film forming apparatus, and method of manufacturing semiconductor device |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
TW201711077A (zh) * | 2015-09-04 | 2017-03-16 | 漢辰科技股份有限公司 | 電漿基礎處理系統及其運作方法 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10435789B2 (en) | 2016-12-06 | 2019-10-08 | Asm Ip Holding B.V. | Substrate treatment apparatus |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US11702748B2 (en) | 2017-03-03 | 2023-07-18 | Lam Research Corporation | Wafer level uniformity control in remote plasma film deposition |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) * | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10923327B2 (en) | 2018-08-01 | 2021-02-16 | Applied Materials, Inc. | Chamber liner |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
Family Cites Families (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FR2538987A1 (fr) * | 1983-01-05 | 1984-07-06 | Commissariat Energie Atomique | Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif |
US4563367A (en) * | 1984-05-29 | 1986-01-07 | Applied Materials, Inc. | Apparatus and method for high rate deposition and etching |
JP2550037B2 (ja) * | 1986-12-01 | 1996-10-30 | 株式会社日立製作所 | ドライエッチング方法 |
US4960488A (en) * | 1986-12-19 | 1990-10-02 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
JP2797307B2 (ja) * | 1988-03-11 | 1998-09-17 | 住友金属工業株式会社 | プラズマプロセス装置 |
JP2859632B2 (ja) * | 1988-04-14 | 1999-02-17 | キヤノン株式会社 | 成膜装置及び成膜方法 |
JPH02141578A (ja) * | 1988-11-24 | 1990-05-30 | Canon Inc | 堆積膜形成装置 |
US4875989A (en) * | 1988-12-05 | 1989-10-24 | Texas Instruments Incorporated | Wafer processing apparatus |
JPH0317284A (ja) * | 1989-06-14 | 1991-01-25 | Sony Corp | スパッタクリーニング装置 |
US5085727A (en) * | 1990-05-21 | 1992-02-04 | Applied Materials, Inc. | Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion |
US5069938A (en) * | 1990-06-07 | 1991-12-03 | Applied Materials, Inc. | Method of forming a corrosion-resistant protective coating on aluminum substrate |
US5074456A (en) * | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
JP2734212B2 (ja) * | 1991-02-08 | 1998-03-30 | 住友金属工業株式会社 | プラズマプロセス装置 |
US5137610A (en) * | 1991-04-15 | 1992-08-11 | Motorola, Inc. | Sputter chamber with extended protection plate and method of use |
-
1993
- 1993-01-28 US US08/010,975 patent/US5366585A/en not_active Expired - Lifetime
-
1994
- 1994-01-28 JP JP00869094A patent/JP3488734B2/ja not_active Expired - Lifetime
-
2002
- 2002-12-18 JP JP2002367135A patent/JP2003249455A/ja active Pending
-
2004
- 2004-10-06 JP JP2004294142A patent/JP2005191537A/ja active Pending
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2019505998A (ja) * | 2016-01-27 | 2019-02-28 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | スリットバルブゲート被覆及びスリットバルブゲートの洗浄方法 |
Also Published As
Publication number | Publication date |
---|---|
JPH06298596A (ja) | 1994-10-25 |
JP2003249455A (ja) | 2003-09-05 |
JP3488734B2 (ja) | 2004-01-19 |
US5366585A (en) | 1994-11-22 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US5366585A (en) | Method and apparatus for protection of conductive surfaces in a plasma processing reactor | |
US10002745B2 (en) | Plasma treatment process for in-situ chamber cleaning efficiency enhancement in plasma processing chamber | |
US5952060A (en) | Use of carbon-based films in extending the lifetime of substrate processing system components | |
US5811356A (en) | Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning | |
KR101107542B1 (ko) | 플라즈마 반응기용 용사 이트리아 함유 코팅 | |
JP3792267B2 (ja) | 誘導結合モードと静電結合モードとを併用する高密度プラズマcvdリアクタの操作方法 | |
JP5726928B2 (ja) | プラズマ処理システムにおける副生成物堆積減少方法並びに構造 | |
KR100727733B1 (ko) | 성막 장치 | |
KR20030066785A (ko) | 반도체 공정 설비의 내부식성 부품 및 그 제조방법 | |
KR20060092979A (ko) | 육불화황 원격 플라즈마 소스 세정 | |
US20070144557A1 (en) | Cleaning method of apparatus for depositing AI-containing metal film and AI-containing metal nitride film | |
US20060254613A1 (en) | Method and process for reactive gas cleaning of tool parts | |
US12076763B2 (en) | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor | |
US6863926B2 (en) | Corrosive-resistant coating over aluminum substrates for use in plasma deposition and etch environments | |
US20040127033A1 (en) | Plasma processing device and plasma processing method | |
JPH03120368A (ja) | 化学的蒸着装置の洗浄方法 | |
US20180347037A1 (en) | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor | |
US9812298B2 (en) | Cleaning device and cleaning process for a plasma reactor | |
KR101874681B1 (ko) | 플라즈마 처리 방법 및 플라즈마 처리 장치 | |
KR100639517B1 (ko) | 확산기를 구비한 cvd 장비 | |
CN111066121B (zh) | 使用反应性气体前驱物从处理腔室选择性原位清洁高介电常数膜 | |
TW202427675A (zh) | 用於處理腔室中的基板處理的經塗佈基板支撐組件 | |
WO2018226323A1 (en) | Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor | |
JPH05339735A (ja) | Ecrプラズマcvd法 | |
JP2005142596A (ja) | 半導体製造装置の洗浄方法、及び半導体装置の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20050913 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20051213 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20051216 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20060313 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20060425 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20060929 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20071003 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20071010 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071105 |