JP3488734B2 - プラズマ処理反応器内の導電性の面を保護するための方法及び装置 - Google Patents

プラズマ処理反応器内の導電性の面を保護するための方法及び装置

Info

Publication number
JP3488734B2
JP3488734B2 JP00869094A JP869094A JP3488734B2 JP 3488734 B2 JP3488734 B2 JP 3488734B2 JP 00869094 A JP00869094 A JP 00869094A JP 869094 A JP869094 A JP 869094A JP 3488734 B2 JP3488734 B2 JP 3488734B2
Authority
JP
Japan
Prior art keywords
plasma
barrier material
processing chamber
aluminum
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP00869094A
Other languages
English (en)
Other versions
JPH06298596A (ja
Inventor
ロバートソン ロバート
エス. ロウ カム
マックネイル ホワイト ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH06298596A publication Critical patent/JPH06298596A/ja
Application granted granted Critical
Publication of JP3488734B2 publication Critical patent/JP3488734B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/026Means for avoiding or neutralising unwanted electrical charges on tube components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0206Extinguishing, preventing or controlling unwanted discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/914Differential etching apparatus including particular materials of construction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】この発明は、ガスプラズマエッチ
ング手順を用いて清掃されうる材料を有するガスプラズ
マプロセスチャンバの、導電性の、典型的には金属の部
分を保護するための方法及び装置に適する。
【0002】
【従来の技術】半導体産業は、熱化学気相成長(CV
D)、プラズマ強化型(plasma-enhanced )CVD(P
ECVD)、プラズマ補助型(plasma-assisted )エッ
チング、及びスパッタリングによる蒸着微細構成変態
(deposition topography modification by sputterin
g)のような種々の異なるプロセスに使用しうる、高い
スループットの単一基板用(枚葉式)処理反応器に依存
している。人手を集中的に投入しなければならない人手
による清掃、及び反応器を清掃のために開けなければな
らないときに生じる生産性の低下を回避するためには、
プラズマエッチング技術を用いて人手によらずに清掃可
能な(self cleaning )処理反応器のようなものを持つ
のが好ましい。
【0003】減圧され制御されたガス状の環境を備えた
PECVD処理反応器チャンバは、一般にアルミニウム
から作られているが、石英のような特別な合金や材料を
ときに用いることもある。半導体産業でのアルミニウム
反応チャンバについての広い経験によって、反応器で生
産される製品へのアルミニウムの存在の影響がわかって
きた。従って、この産業におけるそのような仕事は、ア
ルミニウム反応チャンバの使用によって快適になってい
る。しかし、シャーマン(Sherman )への米国特許第4,
563,367 号に記載されているように、プラズマ補助型化
学気相成長プロセスにおいては、反応チャンバの内面に
堆積物が形成しやすく、アルミニウムの反応チャンバの
面は定期的に清掃されなければならない。
【0004】この定期的な清掃はチャンバを分解するこ
となく行うことができ、チャンバの部品を湿式の化学エ
ッチング液(wet chemical etchant)に浸すことによっ
て、またはチャンバ部品を機械的に清掃することによっ
て行うことができる。しかし、これらの方法には時間が
かかり、生産性を低下させる粒子や汚染物を生じさせ
る。これらの方法にとって代わるものは、人手に頼らず
に清掃可能な反応器システムであり、このシステムにお
いては反応器システムのプラズマ電極またはコイルが、
清掃をするエッチングプラズマを生成するために用いら
れている。典型的に、CF4 やO2 から生成されるエッ
チングプラズマは、反応チャンバを清掃するために用い
られる。しかし、プラズマ清掃を数回行った後に、反応
器システムの性能はしばしば半導体ウエハ上の蒸着が不
均一になるほど低下することが発見された。この性能低
下の原因を追及することによって、三フッ化アルミニウ
ム(Al F3 )が標準的なプラズマ自己清掃(self-cle
aning )の際に反応器システムの上部電極のむきだしの
(bare)アルミニウム上で結晶化していることが発見さ
れた。この原因に対する一つの理論は、堆積物が、プラ
ズマ自己清掃プロセスの間に電極間に付与される高周波
場内の活性フッ素種(species )によるイオン衝突の結
果によるものであるとする。フッ素が上部電極のむきだ
しのアルミニウムの自然酸化物の保護コーティングを破
壊し、プラズマ自己清掃サイクルの間にAl F3 の堆積
物が形成されることが、さらに理論付けられている。シ
ャーマンは、従来の半導体処理真空チャンバ内において
小型で閉じられたプラズマ発生チャンバの使用すること
は、その発生チャンバ内のある高い活性の種を制御し、
従来の半導体処理真空チャンバに損害を与えることな
く、高められた高周波電源(より高いプラズマエッチン
グ速度)の使用を可能にすると結論付けている。従っ
て、従来の処理真空チャンバの湿式の化学清掃(wet ch
emical cleaning )の周波数を高くすることなく、高い
半導体処理のエッチング速度が可能となる。小型の閉じ
られたプラズマ発生チャンバは、酸化アルミニウムのよ
うなセラミック材料から作られる。彼は、従来の処理真
空チャンバは金属壁からでもセラミック壁からでも作り
うると言っているが、どちらがいいかとは述べていな
い。CVD反応チャンバ壁への堆積物の形成を減少させ
る別の試みが、デービス(Devis )らへの米国特許第4,
875,989 号に記載されている。デービスらは、活性種の
流れを方向付けするための、ウエハ面に近接した円錐形
の隔壁の使用について記述している。この円錐形の隔壁
は、底面以外の全体が陽極酸化された(anodized)アル
ミニウムから作られている。
【0005】1990年10月2日のロー(Law )らへ
の米国特許第4,960,488 号は、高周波電極に部分的に隣
接して、またチャンバ及び排気システムを通して、反応
チャンバを清掃するプロセスについて記述している。好
ましくは、第1ステップが比較的高圧、狭い電極空間及
びフッ化炭素(fluorocarbon)ガスの化学的性質を用い
るものである2ステップの連続したエッチング行程(se
quence)が使用される。第2ステップは比較的低圧、大
きな電極空間及びフッ素ガスの化学的性質を用いる。典
型的にはC2 6 及びO2 のエッチガス混合物が第1の
清掃ステップに用いられ、NF3 が第2の清掃ステップ
のエッチガスとして用いられる。エッチ清掃の間にハロ
ゲン含有プラズマがアルミニウムの反応チャンバ壁に接
触するときに生じる汚染化合物の形成を防止するため、
及び必要なエッチング清掃量を減らすために、反応チャ
ンバは構成要素間に空間を有し、ウエハ以外のチャンバ
壁に活性のCVD種が堆積する機会が減少するように設
計される。それに加えて、プロセスチャンバ内の特定の
面は、チャンバ壁上のガス分解または凝結を減らすため
に温度が制御され、反応器は、ウエハ領域以外へのCV
D材料の堆積を防止するためのパージガス流れ(purgin
g gas flow)を有するように設計される。
【0006】フッ素含有ガスによる浸食からアルミニウ
ム反応チャンバを保護するためのさらなる試みとして、
ロリマー(Lorimer )らは、米国特許第5,069,938 号公
報に記載されているように、アルミニウム基板への腐食
に耐える保護コーティングの形成方法を開発した。保護
コーティングは、まずアルミニウム基板上に高純度酸化
アルミニウム層を形成し、さらに高温において酸化アル
ミニウム層を1またはそれ以上の高純度フッ素含有ガス
に接触させることにより形成される。酸化アルミニウム
層は、熱形成層であってもよいし、陽極酸化によって形
成された層であってもよく、少なくとも約0.1 マイクロ
メートルから約20マイクロメートルの厚さを有してい
る。好ましいフッ素含有ガスは、酸の蒸気を含んでい
る。例えば、フッ素含有ガスはHF、F2 、NF3 、C
4 、CHF3 及びC2 6 を含んでいる。完了したコ
ーティングのプロセス及び記述から証明されるように、
酸化アルミニウムを貫通した(おそらく下層のアルミニ
ウム面まで)フッ素含有ガスは、内部でフッ素含有化合
物を形成する。ロリマーらの保護コーティングは、処理
装置のチャンバ壁を化学気相成長及びエッチングプロセ
スにおいて使用される化学物質から保護することを企図
している。しかし、熱または陽極酸化による、アルミニ
ウム面上の20マイクロメートルまたはそれ未満の酸化
アルミニウムコーティングは、三フッ化アルミニウム
(Al F3 )、フッ化アンモニウム(NH4 F)、及び
酸化フッ化アンモニウム(Al Ox y )のようなフッ
素含有化合物がコーティングの外表面に徐々に形成され
ることを防止しないことを発明者は決定した。これらの
化合物は、最終的にコーティング面から剥がれ落ち、汚
染粒子源となる。
【0007】上記からわかるように、半導体産業は、ア
ルミニウムのプロセス反応チャンバ上の堆積物の形成を
防止する手段を有することが非常に好ましいことだと見
ている。この形成は、プロセス反応チャンバがプラズマ
操作領域を、活性CVD種がウエハ領域以外のチャンバ
壁に堆積する機会を減少させる、反応器の要素間の空間
を有するような反応器内で可能な最小空間に制限するよ
うに設計されているときにも防止されなければならな
い。
【0008】近年、液晶ディスプレイ、特にコンピュー
タディスプレイのスクリーン、直接見る方式か投影式の
テレビジョンスクリーン、並びにナビゲーション及び通
信装置のようなフラットパネルディスプレイへの関心が
高まってきた。このような液晶フラットパネルディスプ
レイは、半導体デバイスに一般に用いられている種類の
材料及び物理構造を利用している。液晶ディスプレイを
作るのに必要なプロセスの多くは、半導体デバイスを生
産するのに用いられるものと同じプロセスである。従っ
て、半導体処理装置は、現在、そのようなフラットパネ
ルディスプレイの生産に用いるべく改良されている。処
理ステップの多くに、プラズマ補助型(plasma-assiste
d )CVDやプラズマエッチングが用いられている。典
型的に、処理される基板は、高周波電力が上部電極に付
加される間、下部の接地されたプラテン(platen)電極
の上に配置される。反応ガスが上部電極領域に放たれ、
2つの電極の間にプラズマが形成される。矩形のフラッ
トパネルディスプレイ基板はシリコンウエハに比べて非
常に大きい(シリコンウエハが最大200mmの直径で
あるのに対し、フラットパネルは360×450mmま
である)ため、プロセス反応チャンバをプラズマ領域よ
りも非常に大きくすることは実際的ではない。反応チャ
ンバ壁をプラズマ領域に近づけることは、チャンバ壁へ
の堆積物の量を増加させ、プラテン電極とチャンバ壁と
の間のアークの可能性を増加させる。
【0009】
【発明が解決しようとする課題】サブミクロン単位で配
置された半導体デバイスを含むフラットパネルディスプ
レイは、汚染粒子に敏感であるため、反応チャンバ壁上
の堆積物の形成は重大な問題である。フラットパネルの
設計配置、すなわち横方向寸法は典型的に大きく、5か
ら20μmの範囲である。しかし、デバイス層、すなわ
ち縦方向寸法は薄く、0.03から0.3μmの範囲に
ある。このため、小さな粒子の存在は、ピクセル(pixe
l )またはピクセル列の不良をもたらす漏れ電流の原因
となりうる。また、ディスプレイが1,000 ×1,000 個の
ラインを有することを考慮すると、動的な半導体トラン
ジスタによって制御される百万ものピクセルがあること
になる。
【0010】さらに、液晶ディスプレイパネルの基板が
典型的にガラスすなわち絶縁材料であるため、プラズマ
はチャンバ壁に向かって伸びやすく、ガラス基板を支持
するプラテン電極(サセプタ)とプロセス反応チャンバ
のアルミニウム壁との間でアークが発生しやすい。
【0011】従って、半導体基板、特にフラットパネル
液晶ディスプレイの製造にあっては、以下の手段を開発
することが重要である。1)プラズマにさらされる境界
を制限することにより、反応チャンバ壁への堆積物の形
成を減少させること。2)反応器壁に汚染物が徐々に形
成される原因とならないような反応器壁の清掃。及び、
3)プラズマ発生電極から導電性の反応チャンバ面への
アークを防止すること。
【0012】
【課題を解決するための手段】この発明に従って、セラ
ミック障壁材料が、導電性の、典型的には金属のプロセ
ス反応チャンバ面を保護するために用いられる。この面
は、プラズマ処理の間に汚染される可能性がある。セラ
ミック障壁材料は良好な絶縁体であるものが選択され、
このためセラミック障壁材料の表面には電荷が溜まる。
この電荷形成は、典型的に例えば20ボルト未満である
プラズマ電位にほぼ等しい。この電化形成はプラズマが
セラミック障壁材料の面から反発するのに寄与し、これ
によりプラズマを制限し、反応チャンバ壁上に形成され
る堆積物を大きく減少させる。これに加えて、このよう
なセラミック障壁材料はフッ素含有エッチガスを用い
て、セラミック上に汚染物が形成されることなく清掃さ
れうることも発見されている。この汚染物は後に汚染粒
子源となりうる。
【0013】セラミック障壁材料は、プロセス反応チャ
ンバの内面全体をシールドする必要はないが、プラズマ
が発生する領域を取り囲む反応チャンバ面を保護しなけ
ればならない。これに加えて、セラミックライナーが、
エッチング清掃処理プラズマにさらされる可能性のある
反応チャンバ面を保護することが好ましい。
【0014】さらに、圧力を変化させるのに必要な時間
を最小にし、これにより生産性を最大にするためには、
プロセスチャンバは体積においてできるだけ小さくなけ
ればならないこともわかっている。それゆえ、チャンバ
壁は電極にできるだけ近くなければならない。チャンバ
はサセプタより少しだけ大きいだけであるから、セラミ
ック障壁材料は、サセプタとその近くの反応チャンバの
導電面との間のアーク(または激しい放電)を防止す
る。
【0015】セラミック障壁材料は、プロセス反応チャ
ンバの内面全体をシールドする必要はないが、プラズマ
が発生する領域を取り囲む反応チャンバ面を保護しなけ
ればならない。これに加えて、セラミックライナーが、
エッチング清掃処理プラズマにさらされる可能性のある
反応チャンバ面を保護することが好ましい。
【0016】セラミック障壁材料は、典型的に酸化アル
ミニウムを含んでいる。これが比較的安価で使用しやす
いからである。酸化アルミニウムは、”ガスを放出”す
るようなものでなく、プロセス操作の間に基板上にスパ
ッタリングされうる汚染物を含まないような、十分に純
度が高いものでなければならず、またそれは、特定のエ
ッチングプロセスにさらされたときに化学的に安定なも
のでなければならない。酸化アルミニウムまたは他の酸
化金属セラミックは、単結晶酸化物(single crystal o
xide)、多結晶酸化物(polycrystalline oxide )また
はアモルファス酸化物(amorphous oxide )でよい。炎
を吹き付けられた(flame sprayed )、またはプラズマ
を吹き付けられた(plasma sprayed)アルミニウム酸化
物もまた、セラミック障壁を形成するのに使用しうる。
【0017】セラミックライナーを形成するのに使用し
うる他の材料は、図示により、しかしこれには限定され
ないが、アルミニウム、マグネシウム及びタンタルの酸
化物及びフッ化物を含む。
【0018】セラミック障壁材料の厚さは、典型的に少
なくとも約0.005 インチ(130 マイクロメートル)であ
り、好ましくは約0.01インチ(250 マイクロメートル)
から約0.25インチ(6.4 ミリメータ)の範囲にある。
【0019】
【実施例】この発明に従って、CVD、PECVD及び
他のタイプの処理チャンバで使用される方法及び装置が
提供される。これらの処理チャンバは、 1) プラズマにさらされる領域を制限している。これ
により、処理チャンバ壁上の堆積物の形成が減少する。
【0020】2) 処理チャンバ壁に汚染物質(contam
inants)が徐々に形成されることがないような方法で、
反応器壁のエッチ清掃(etch cleaning )を可能にす
る。
【0021】3) CVD処理チャンバ内のプラズマ発
生電極から導電性の反応チャンバ面へのアークが生じる
のを防止する。
【0022】特に、この方法及び装置は、反応チャンバ
内において反応チャンバ壁への薄膜の蒸着を減少させつ
つ、プラズマ強化型化学気相成長処理(plasma-enhance
d chemical vapor deposition processes )の動作を可
能にする。さらに、反応チャンバは、ハロゲン含有(典
型的にはフッ素含有)ガス及びプラズマエッチング技術
を用いて、反応チャンバ壁上に薄膜の残渣(residue )
が形成されることなく、定期的に清掃されうる。
【0023】この発明に従って、プラズマ処理または清
掃動作の間、活性の核種(active species)に顕著にさ
らされるCVD及び/またはPECVD処理チャンバ壁
は、比較的厚い、電気絶縁性のセラミック障壁材料で裏
打ちされている。
【0024】CVD及びPECVD半導体処理反応器と
組み合わされた先行技術が、図3及び4に示されてい
る。処理反応器の垂直断面図が図3に示され、上面図が
図4に示されている。上面図はカバーが開かれた状態で
示されている。反応器システム10はハウジング1
2(”チャンバ”とも呼ばれる)を含み、ハウジング1
2は典型的にはアルミニウムから作られ、プラズマ処理
領域14を有する内側の真空チャンバ13を構成する。
【0025】反応器システム10は、ウエハを保持する
サセプタ(susceptor )16、ウエハ支持用指状部2
0、及び外部のロボットブレード24と協力するウエハ
移送システム18を含む。反応器システム10は、ガス
マニホールド(図示せず)をさらに含み、ガスマニホー
ルドは、ハウジングカバー80内に存在する分散システ
ム32からのプロセスガス及び浄化(purging )ガスを
供給する。高周波電源(RF power supply )及び整合ネ
ットワーク(matching network)28は、ランプ加熱シ
ステム30が石英窓(quartz window )70を通して放
射線を通過させてサセプタ16及びその上に置かれたウ
エハ15を加熱する間、導入ガスからプロセスガスプラ
ズマを創製し維持し、この結果、ウエハ15上にプラズ
マからの活性の核種(active species)が堆積される。
【0026】プラズマ処理領域14は、空間的に有意義
に限定される。好ましくはこれに加えて、サセプタ16
の底部を横切ってから上方に向かう浄化ガスの流れ10
3を提供するために、第2の浄化ガスマニホールド11
2がウエハ処理領域の下に配置されている。このような
浄化ガス流103は、分散システム32(開口部が形成
されたマニホールド前面板(face plate)92を含む)
からのガス流105と組み合って、プラズマからの堆積
物が一般に反応チャンバ13の内面、特にサセプタ16
の下の面への蓄積の防止に役立つ。
【0027】処理反応器のチャンバ壁上へのCVD蓄積
物の防止策が取られるにもかかわらず、このような堆積
の問題は除去できず、反応器の清掃が定期的に行われな
ければならない。プラズマ補助によるエッチング(plas
ma-assisted etching )は、これによれば粒子が反応器
を汚染するおそれが減少するため、好ましい清掃方法で
ある。反応チャンバ壁は典型的にはプラズマが含む塩素
ガスによって直接侵されるアルミニウムであるため、プ
ラズマ補助によるエッチングにはフッ素ガスプラズマが
通常使用される。しかし、前述のように、この結果とし
てアルミニウム壁上には三フッ化アルミニウム(alumin
um trifluoride)の堆積物が徐々に形成され、これがは
がれ落ちて処理反応チャンバ内に汚染粒子を提供するこ
とになる。
【0028】液晶のフラットパネルディスプレイの製造
に用いられる処理反応器は、上記の半導体処理反応器に
対して多くの共通点を有している。しかし、フラットパ
ネルディスプレイは一般に矩形であるため、カバーを開
いたときの反応器の上面図は図2に示すようになる。反
応器システム200を示す図1及び2のように、矩形の
フラットパネルディスプレイのガラス基板215は、矩
形のサセプタ216の高くされた段部の上に載ってい
る。ディスプレイパネル基板の移送システム218は、
通常は外部にあるロボットアーム224と協力して、ガ
ラス基板215を反応器200の内部真空チャンバ21
3内に移動させるとともに、この内部真空チャンバ21
3から移動させる。内部真空チャンバ213は、ヒンジ
によって取り付けられたふたを含む反応器ハウジング
(すなわちチャンバ)212によって取り囲まれてい
る。反応器システム200は、基板215の上の分散シ
ステム232からのプロセスガス及び浄化ガスを供給す
る、ふた内のガスマニホールドをさらに含む。数百個の
オリフィス293を有する矩形の前面板292は、プロ
セスガス及び浄化ガスを基板215に供給する。高周波
電源及び整合ネットワーク228は、前面板292から
発せられた導入ガスからプロセスガスプラズマを生成し
維持する。このプロセスガスプラズマは、主に、基板2
15上のプラズマ処理領域214内に包含される。しか
し、絶縁性のガラス基板215の反応器ハウジング21
2に対する大きさのために、この発明の助けもなく、プ
ラズマは反応器ハウジング212の方に移動する傾向が
ある。プラズマにはサセプタ216の下の空間に形成さ
れる傾向もあり、またアークはサセプタ216と反応器
ハウジング212の下部側壁との間で発生する傾向があ
る。最後に記載されたこれらの効果は、サセプタ216
がその滑動(sliding )シャフト230を通して反応器
ハウジング212に不完全に接地されているために起こ
る。
【0029】この発明の方法及び装置なしでは、プラズ
マ処理領域214内に形成されたプラズマはアルミニウ
ムの反応器ハウジング212に向かって移動して、この
処理/反応器チャンバの壁上の堆積物を残す。この壁
は、他の方法でフッ素ガスプラズマエッチングを用いて
定期的に清掃される必要がある。既に述べたように、こ
の結果として反応器チャンバ壁にフッ化アルミニウムや
他の化合物から成る薄膜の残渣が生じることになり、こ
れが形成された後にはがれ落ちて反応器チャンバ内の汚
染粒子となる。
【0030】当業者は、半導体処理反応器内の個々の要
素として何年もの間セラミック化合物を用いてきた。セ
ラミックは、典型的には電気絶縁性であってそれの結晶
化度が材料及びその処理によらずにアモルファス、ガラ
ス質(glassy)、微晶質(microcrystalline)、及び単
結晶質(singly crystalline)の間で変動する金属酸化
物である。発明者は、例えば、プラズマ補助によるCV
D反応器(plasma-assisted CVD reactor )の電極板か
ら活性の核種(active species)をそらせるためにアル
ミナのバッフル(buffle)を用いてきており、電極板上
の堆積物形成の防止を企図してきた。シャーマン(Sher
man )は、前述のように、アルミニウム酸化物の、小さ
な閉じたプラズマ発生チャンバを用いてきた。
【0031】陽極酸化されたアルミニウムが、プラズマ
発生器の電極領域内のバッフル及び構成要素として広く
用いられてきた。しかし、陽極酸化されたアルミニウム
表面は電気が漏りやすく、下層の導電性のアルミニウム
に電流が漏れ、それゆえ、プラズマは陽極酸化されたア
ルミニウム表面に向かって吸引される。従って、陽極酸
化されたアルミニウムはプラズマを制限するのに著しく
機能せず、プラズマ発生電極から陽極酸化されたアルミ
ニウム表面に向かうアークの減少のために十分には役立
たない。すべての公知技術の場合には、形成された堆積
物を反応器チャンバのアルミニウム壁から除去すること
がなお必要であり、このような除去によってアルミニウ
ムを含有する堆積物が形成され、この堆積物は最終的に
反応チャンバの粒子の源となる。
【0032】陽極酸化されたアルミニウムをここで適用
されるセラミックアルミナ(ceramic alumina )と区別
する特性は、バルク(bulk)または堆積されたアルミナ
が均質構造に近いのに比較して、陽極酸化されたアルミ
ニウムが2層構造を有していることである。陽極酸化さ
れたアルミニウムの上層は、多孔性(porous)であって
電気絶縁特性が悪い。この層は、陽極酸化処理による高
濃度の汚染物(H2 O、SO3 、Crまたは他の種類の
もの(species ))を有しており、純粋な物質に比べて
化学的に異なった反応を示す。チャネル(channels)が
上層を通って垂直に下層のアルミニウムの数百オングス
トロームの範囲まで伸びており、導電性のプラズマに対
する通路となるため、下層の200オングストローム程
度の部分のみがプラズマに対する電気的障壁として機能
する。下層は、良好な電気絶縁体であってもっと緻密で
純粋な酸化アルミニウムの障壁層である。典型的に陽極
酸化されたアルミニウムのトータルの厚さは、0.005 イ
ンチ(約130 マイクロメートル)未満に制限され、その
うちの数百オングストローム(1マイクロメートルの10
0 分の1の数倍)の部分のみが障壁層となる。
【0033】この発明のセラミック材料は、多孔性では
なく、均質な材料である。それは良好な電気絶縁体であ
り、比較的純粋な形態(約99%またはもっと良好)に
作りうるため、プラズマ環境下において低い化学反応性
を呈する。バルクで自立した(free standing )セラミ
ック材料は、典型的には少なくとも0.005 インチ(約13
0 マイクロメートル)の厚さを有しており、好ましくは
0.01インチ(約250 マイクロメートル)及び0.25インチ
(6350マイクロメートル)の間の厚さ範囲にある。
【0034】発明者は、酸化アルミニウムのようなセラ
ミックがフッ素含有ガスから形成されるエッチプラズマ
によって除去されるときには、三フッ化アルミニウムが
生じないことを発見した。従って、酸化アルミニウムの
反応器は、標準的なエッチプラズマ技術を用いて粒子が
形成されることなく清掃することができる。しかし、酸
化アルミニウムは反応器製造材料自体としては実際的で
はなく、発明者はこの材料を障壁材料、典型的には金属
の反応器壁を保護する裏打ち材として用いることを選択
した。発明者は、堆積用プラズマ(deposition plasma
)を制限し、フッ素含有プラズマエッチガスがセラミ
ック障壁を貫通して保護すべき下層のアルミニウム表面
に達するのを防ぐためには、酸化アルミニウムの厚さは
少なくとも0.005 インチ(=約130 マイクロメートル)
であることが好ましいことを発見した。従って、もっと
薄い厚さのコーティングでは、知られているプラズマエ
ッチング手法を用いてプラズマ処理チャンバを十分に清
掃することはできない。
【0035】図1の実施例に示すように、一組のセラミ
ックライナー220、221及び222がアルミニウム
ハウジング212に隣接して配置されている。これらの
ライナー220、221及び222は高純度(99%)
の固体のアルミナから機械加工され、それゆえDC、高
周波(RF)においても、またプラズマ存在下において
も高い絶縁性を有している。高純度の酸化アルミニウム
の重要性は、ロリマ(Lorimer )らによって検討されて
いる。それらは、自立体(free standing form)に機械
加工されるため、最小でも130 マイクロメートル(0.00
5 インチ)の厚さを有していなければならない。そのよ
うな適当なもの以上の厚さは、望ましい抵抗を提供す
る。同様の大きさで絶縁性のセラミック環状体223
が、前面板292の周囲に取り付けられている。そのよ
うな環状体223は、高周波電源228に電気的に接続
された前面板292を電気的に絶縁し支持するため、及
びその背後にあるテフロン絶縁体294を保護するため
に以前から用いられていた。しかし、それは、セラミッ
ク製のライナー220、221及び222と同じ利点の
多くを付加的に提供する。前面板292の底面は典型的
に陽極酸化されている。
【0036】絶縁性の上部セラミックライナー221及
び222並びにセラミック環状体223は、プラズマを
はね返し、こうして処理プラズマを基板215により近
くに隣接した処理領域に閉じ込め、これによりチャンバ
ハウジング212の壁上の堆積物を減少させ、また処理
効率を高める。下部セラミックライナー220は、部分
的に電気的に浮いているサセプタ216を、接地された
チャンバハウジング212から電気的に絶縁する。これ
によりサセプタ216の周囲とチャンバハウジング21
2との間のアークが防止され、サセプタ216の下方で
プラズマが形成されることも防止される。内部真空チャ
ンバ213の底部を別のアルミナライナーで付加的にカ
バーすることが好ましいのかもしれないが、これは、移
送システム218の大部分を形成するディスクがバルク
のセラミックであり、主としてアルミニウムから成るサ
セプタ216がその底部を別のセラミックディスクによ
って支持されているため、必要ではないであろう。
【0037】セラミックライナー220、221及び2
22並びにセラミック環状体223は、一般的なPEC
VD処理の間、チャンバハウジング212の表面上の堆
積物の形成を防止する。これらのセラミック部品220
〜222上、及び前面板292の陽極酸化された底面上
に形成される堆積物は、標準的なプラズマエッチング技
術を用いて、湿式化学クリーニング(wet chemical cle
aning )を必要とせずに除去されうる。湿式化学クリー
ニングは、粒子となって内部真空チャンバ213を汚染
する化合物を形成させる元となる。
【0038】自立する(free-standing )ライナーは上
記のようであるが、下層のアルミニウムを消費すること
なく堆積されるセラミック層は、陽極酸化されたアルミ
ニウム表面の短所を伴うことなくバルクのアルミナの利
点を提供するよう、十分な厚さと抵抗を有するように作
りうる。例えば、炎を吹きかけられた、またはプラズマ
を吹きかけられた酸化アルミニウムは、130 マイクロメ
ートルより薄いが、例えば1マイクロメートル程度であ
る陽極酸化されたアルミニウムに対する、数百オングス
トロームである効果的な障壁厚さよりも実質的に厚い、
良好な絶縁層を形成する。20マイクロメートルより厚
いことが好ましく、バルクのセラミックスに対応する厚
さであることはもっと好ましいであろう。
【0039】アルミナ(Al 2 3 )が、上記実施例で
用いた酸化アルミニウムの形態であるが、他の絶縁材料
も同様の効果を奏しうる。例えば、アルミニウム、マグ
ネシウムやタンタルの酸化物やフッ化物である。これら
の中には、結晶質または多結晶質の絶縁材料や、ガラス
質のセラミックスがある。これらの材料はすべて電気的
絶縁体であり、プラズマエッチング環境下において一般
に耐久力があり、プラズマ存在下において望ましくない
粒子を創製してはならない。あるいは、他の材料も使用
しうる。
【0040】この発明は部分的にはアルミニウムチャン
バにとって有益であるが、ステンレス鋼のような他のチ
ャンバ材料も使用しうる。
【0041】以上のように説明され図に示されたこの発
明の好ましい実施例は、請求の範囲によって示されるこ
の発明の範囲を制限することを意図するものではない。
なぜなら、当業者は、最小の実験によって、請求の範囲
に一致するように実施例の範囲を広げることができるか
らである。
【0042】
【発明の効果】以上のように、この発明によれば、プラ
ズマにさらされる領域を制限することにより、処理チャ
ンバ壁上の堆積物の形成を減少させることができる。ま
た、処理チャンバ壁に汚染物質が徐々に形成されること
がないような方法で、反応器壁のエッチ清掃を可能にす
ることができる。さらに、CVD処理チャンバ内のプラ
ズマ発生電極から導電性の反応チャンバ面へのアークが
生じるのを防止することができる。
【図面の簡単な説明】
【図1】図1は、CVD及びPECVD半導体処理反応
器に採用された、この発明の好ましい実施例を示してい
る。フラットパネル液晶ディスプレイを形成するために
使用されるような種類の、結合されたCVD/PECV
D反応器の垂直断面の簡略図を示している。
【図2】図2は、CVD及びPECVD半導体処理反応
器に採用された、この発明の好ましい実施例を示してい
る。結合されたCVD/PECVD反応器の上面図を示
している。反応器はカバーを開けた状態で示されてい
る。
【図3】図3は広く用いられている、CVD及びプラズ
マ強化型CVD(PECVD)の半導体処理反応器と結
合された先行技術を図示している。反応器の垂直断面を
部分的に概略的に示している。この断面図は、種々の反
応器要素の相対的な構成配置を明確にしている。この図
は、ロー(Law )らへの米国特許第4,960,488 号に記載
されたものであり、反応器のプラズマ処理領域とプラズ
マからの活性種によって汚染されうる反応チャンバ壁と
の間の構成関係のより深い理解を提供するために提示さ
れている。
【図4】図4は広く用いられている、CVD及びプラズ
マ強化型CVD(PECVD)の半導体処理反応器と結
合された先行技術を図示している。図3は同じ反応器
の、カバーが開かれた状態の上面図を示している。この
図は、ロー(Law )らへの米国特許第4,960,488 号に記
載されたものであり、反応器のプラズマ処理領域とプラ
ズマからの活性種によって汚染されうる反応チャンバ壁
との間の構成関係のより深い理解を提供するために提示
されている。
【符号の説明】
212…チャンバハウジング(金属壁)、220〜22
3…ライナー、障壁材料、215…基板、216…サセ
プタ(基板ホルダ)。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ロバート ロバートソン アメリカ合衆国, カリフォルニア州 94301, パロ アルト, ウエブスタ ー ストリート 916 (72)発明者 カム エス. ロウ アメリカ合衆国, カリフォルニア州 94587, ユニオン シティ, リビエ ラ ドライヴ 461 (72)発明者 ジョン マックネイル ホワイト アメリカ合衆国, カリフォルニア州 94541, ヘイワード, コロニー ヴ ュー プレイス 2811 (56)参考文献 特開 平4−367946(JP,A) 特開 平1−140821(JP,A) 特開 昭63−138737(JP,A) 特開 平1−231322(JP,A)

Claims (9)

    (57)【特許請求の範囲】
  1. 【請求項1】 プラズマ処理チャンバの導電性の部分を
    保護する方法であって、 200オングストロームよりも厚い有効プラズマ電気障
    壁厚さ(effective plasma electrical barrier thickn
    ess )を有する絶縁性の障壁材料を、前記障壁材料がな
    ければプラズマイオンにさらされるべき前記処理チャン
    バの導電性の部分の上に、提供するステップと、 処理される基板を含む前記処理チャンバの領域内で前記
    プラズマを発生させるステップとを有し、 前記提供するステップは、前記絶縁性の障壁材料を前記
    導電性の部分の上に、実質的にそれらの部分を消費する
    ことなく堆積させ、前記障壁材料は200オングストロ
    ームよりも厚い有効プラズマ電気障壁厚さ を有するプラ
    ズマ処理チャンバの導電性の部分を保護する方法。
  2. 【請求項2】 プラズマ処理チャンバの導電性の部分を
    保護する方法であって、 200オングストロームよりも厚い有効プラズマ電気障
    壁厚さ( effective plasma electrical barrier thickn
    ess )を有する絶縁性の障壁材料を、前記障壁材料がな
    ければプラズマイオンにさらされるべき前記処理チャン
    バの導電性の部分の上に、提供するステップと、 処理される基板を含む前記処理チャンバの領域内で前記
    プラズマを発生させるステップと、 前記絶縁性の障壁材料を清掃するために、前記基板が前
    記チャンバから取り出される間に、ハロゲン含有ガスプ
    ラズマを前記処理チャンバ内で発生させるステップとを
    有するプラズマ処理チャンバの導電性の部分を保護する
    方法。
  3. 【請求項3】 前記障壁材料は、自立している請求項2
    に記載の方法。
  4. 【請求項4】 前記障壁材料は、少なくとも127マイ
    クロメートルの厚さを有するセラミックを含む請求項1
    〜3のいずれか1項に記載の方法。
  5. 【請求項5】 前記障壁材料は、250マイクロメート
    ルから6,350マイクロメートルの範囲の厚さを有す
    るセラミックを含む請求項1〜4のいずれか1項に記載
    の方法。
  6. 【請求項6】 前記障壁材料は、アルミニウム、マグネ
    シウム及びタンタルの酸化物及びフッ化物から成るグル
    ープから選択される請求項1〜5のいずれか1項に記載
    の方法。
  7. 【請求項7】 前記障壁材料は、火炎溶射またはプラズ
    マ溶射技術を用いて堆積される請求項1〜6のいずれか
    1項に記載の方法。
  8. 【請求項8】 前記障壁材料は、ライナーの形態で存在
    する請求項2に記載の方法。
  9. 【請求項9】 前記ライナーは、自立している請求項8
    に記載の方法。
JP00869094A 1993-01-28 1994-01-28 プラズマ処理反応器内の導電性の面を保護するための方法及び装置 Expired - Lifetime JP3488734B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/010,975 US5366585A (en) 1993-01-28 1993-01-28 Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US08/010975 1993-01-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2002367135A Division JP2003249455A (ja) 1993-01-28 2002-12-18 プラズマ処理反応器内の導電性の面を保護するための方法及び装置

Publications (2)

Publication Number Publication Date
JPH06298596A JPH06298596A (ja) 1994-10-25
JP3488734B2 true JP3488734B2 (ja) 2004-01-19

Family

ID=21748316

Family Applications (3)

Application Number Title Priority Date Filing Date
JP00869094A Expired - Lifetime JP3488734B2 (ja) 1993-01-28 1994-01-28 プラズマ処理反応器内の導電性の面を保護するための方法及び装置
JP2002367135A Pending JP2003249455A (ja) 1993-01-28 2002-12-18 プラズマ処理反応器内の導電性の面を保護するための方法及び装置
JP2004294142A Pending JP2005191537A (ja) 1993-01-28 2004-10-06 プラズマ処理反応器内の導電性の面を保護するための方法及び装置

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2002367135A Pending JP2003249455A (ja) 1993-01-28 2002-12-18 プラズマ処理反応器内の導電性の面を保護するための方法及び装置
JP2004294142A Pending JP2005191537A (ja) 1993-01-28 2004-10-06 プラズマ処理反応器内の導電性の面を保護するための方法及び装置

Country Status (2)

Country Link
US (1) US5366585A (ja)
JP (3) JP3488734B2 (ja)

Families Citing this family (262)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6046425A (en) * 1991-05-31 2000-04-04 Hitachi, Ltd. Plasma processing apparatus having insulator disposed on inner surface of plasma generating chamber
US5891253A (en) * 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5522932A (en) * 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
JP3288490B2 (ja) * 1993-07-09 2002-06-04 富士通株式会社 半導体装置の製造方法及び半導体装置の製造装置
JP3172758B2 (ja) * 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
WO1995031822A1 (fr) * 1994-05-17 1995-11-23 Hitachi, Ltd. Dispositif et procede de traitement au plasma
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US6133557A (en) * 1995-01-31 2000-10-17 Kyocera Corporation Wafer holding member
JP3362552B2 (ja) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 成膜処理装置
US20050236109A1 (en) * 1995-03-16 2005-10-27 Toshio Masuda Plasma etching apparatus and plasma etching method
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6264812B1 (en) * 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US5968324A (en) * 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
JP4925681B2 (ja) * 1995-12-28 2012-05-09 京セラ株式会社 耐食性部材
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
US5810937A (en) * 1996-03-13 1998-09-22 Applied Materials, Inc. Using ceramic wafer to protect susceptor during cleaning of a processing chamber
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6368469B1 (en) * 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US6419986B1 (en) * 1997-01-10 2002-07-16 Chevron Phillips Chemical Company Ip Method for removing reactive metal from a reactor system
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
US5945354A (en) * 1997-02-03 1999-08-31 Motorola, Inc. Method for reducing particles deposited onto a semiconductor wafer during plasma processing
US5904800A (en) * 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
US6120608A (en) * 1997-03-12 2000-09-19 Applied Materials, Inc. Workpiece support platen for semiconductor process chamber
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6177023B1 (en) * 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6098568A (en) * 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US5976900A (en) * 1997-12-08 1999-11-02 Cypress Semiconductor Corp. Method of reducing impurity contamination in semiconductor process chambers
US6117244A (en) * 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JP2000012463A (ja) * 1998-06-17 2000-01-14 Mitsubishi Electric Corp 成膜装置
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6231725B1 (en) 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6159333A (en) * 1998-10-08 2000-12-12 Applied Materials, Inc. Substrate processing system configurable for deposition or cleaning
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
KR100831292B1 (ko) 1999-12-30 2008-05-22 엘지디스플레이 주식회사 드라이 에칭장치
US6391146B1 (en) 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6605195B2 (en) 2000-04-14 2003-08-12 Seagate Technology Llc Multi-layer deposition process using four ring sputter sources
US6863835B1 (en) 2000-04-25 2005-03-08 James D. Carducci Magnetic barrier for plasma in chamber exhaust
KR100638917B1 (ko) * 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
TW511158B (en) * 2000-08-11 2002-11-21 Alps Electric Co Ltd Plasma processing apparatus and system, performance validation system thereof
US6413321B1 (en) 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
CN101250680B (zh) * 2000-12-12 2013-06-26 东京毅力科创株式会社 等离子体处理容器内部件以及等离子体处理装置
WO2002048421A1 (fr) * 2000-12-12 2002-06-20 Tokyo Electron Limited Procede de regeneration de contenant pour le traitement de plasma, element a l'interieur de ce contenant, procede de preparation de l'element a l'interieur de ce contenant, et appareil de traitement de plasma
US6843258B2 (en) * 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
FR2818292B1 (fr) * 2000-12-19 2003-03-21 Joint Industrial Processors For Electronics Chambre de reaction metallique anti-corrosion de procede cvd ou rtp
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
KR100676979B1 (ko) * 2001-02-09 2007-02-01 동경 엘렉트론 주식회사 성막 장치
CN1494604A (zh) * 2001-02-26 2004-05-05 尤纳克西斯巴尔策斯公司 元器件制造方法和真空处理系统
US20020160620A1 (en) * 2001-02-26 2002-10-31 Rudolf Wagner Method for producing coated workpieces, uses and installation for the method
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6682627B2 (en) 2001-09-24 2004-01-27 Applied Materials, Inc. Process chamber having a corrosion-resistant wall and method
US20030084999A1 (en) * 2001-11-05 2003-05-08 Tokyo Electron Limited Apparatus and method for mitigating chamber resonances in plasma processing
DE10163171A1 (de) * 2001-12-21 2003-07-03 Solvay Fluor & Derivate Neue Verwendung für Legierungen
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
WO2003060185A1 (fr) * 2002-01-15 2003-07-24 Joint Industrial Processors For Electronics Chambre de reaction metallique anti-corrosion de procede cvd ou rtp
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
JP2003342739A (ja) * 2002-05-23 2003-12-03 Sony Corp プラズマ化学的気相成長装置
US6887521B2 (en) 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP3946130B2 (ja) * 2002-11-20 2007-07-18 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
JP2004273472A (ja) * 2003-01-14 2004-09-30 Tadahiro Omi プラズマ処理装置用の部材,処理装置用の部材,プラズマ処理装置,処理装置及びプラズマ処理方法
US20060254512A1 (en) * 2003-02-28 2006-11-16 Tokyo Electron Limited Apparatus for attachment of semiconductor hardware
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
JP4597972B2 (ja) 2003-03-31 2010-12-15 東京エレクトロン株式会社 処理部材上に隣接するコーティングを接合する方法。
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US8372205B2 (en) * 2003-05-09 2013-02-12 Applied Materials, Inc. Reducing electrostatic charge by roughening the susceptor
US20040221959A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Anodized substrate support
KR20050004995A (ko) * 2003-07-01 2005-01-13 삼성전자주식회사 플라즈마를 이용하는 기판 가공 장치
US7910218B2 (en) * 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
DE102004035336A1 (de) * 2004-07-21 2006-02-16 Schott Ag Reinraumfähige Beschichtungsanlage
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
JP2006128370A (ja) * 2004-10-28 2006-05-18 Tokyo Electron Ltd 成膜装置、成膜方法、プログラムおよび記録媒体
JP4666575B2 (ja) * 2004-11-08 2011-04-06 東京エレクトロン株式会社 セラミック溶射部材の製造方法、該方法を実行するためのプログラム、記憶媒体、及びセラミック溶射部材
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7732056B2 (en) * 2005-01-18 2010-06-08 Applied Materials, Inc. Corrosion-resistant aluminum component having multi-layer coating
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US8617672B2 (en) * 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
WO2007023971A1 (ja) * 2005-08-22 2007-03-01 Tocalo Co., Ltd. 熱放射特性等に優れる溶射皮膜被覆部材およびその製造方法
WO2007023976A1 (ja) * 2005-08-22 2007-03-01 Tocalo Co., Ltd. 耐損傷性等に優れる溶射皮膜被覆部材およびその製造方法
JP4571561B2 (ja) * 2005-09-08 2010-10-27 トーカロ株式会社 耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7517812B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method and system for forming a nitrided germanium-containing layer using plasma processing
US7517818B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method for forming a nitrided germanium-containing layer using plasma processing
JP2007146252A (ja) * 2005-11-29 2007-06-14 Tokyo Electron Ltd 熱処理方法、熱処理装置及び記憶媒体
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
US7850864B2 (en) * 2006-03-20 2010-12-14 Tokyo Electron Limited Plasma treating apparatus and plasma treating method
JP4643478B2 (ja) * 2006-03-20 2011-03-02 トーカロ株式会社 半導体加工装置用セラミック被覆部材の製造方法
CN100459032C (zh) * 2006-09-30 2009-02-04 中芯国际集成电路制造(上海)有限公司 减少反应室颗粒的工艺方法
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US7959735B2 (en) * 2007-02-08 2011-06-14 Applied Materials, Inc. Susceptor with insulative inserts
US20080196661A1 (en) * 2007-02-20 2008-08-21 Brian West Plasma sprayed deposition ring isolator
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
CN101652840B (zh) * 2007-05-18 2013-07-03 株式会社爱发科 等离子体处理装置及防附着部件的制造方法
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
JP5683063B2 (ja) * 2007-09-05 2015-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 窒化アルミニウム又は酸化ベリリウムのセラミックカバーウェハ
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5474291B2 (ja) 2007-11-05 2014-04-16 株式会社アルバック アッシング装置
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
JP4515507B2 (ja) * 2008-01-31 2010-08-04 東京エレクトロン株式会社 プラズマ処理システム
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
JP5323628B2 (ja) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 プラズマ処理装置
JP5623722B2 (ja) * 2009-09-28 2014-11-12 東京エレクトロン株式会社 プラズマエッチング装置用シリコン製部品の再生方法
JP5698950B2 (ja) 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
TWI502617B (zh) * 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
US8895116B2 (en) 2010-11-04 2014-11-25 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device
US8815635B2 (en) 2010-11-05 2014-08-26 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of photoelectric conversion device
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN102161141B (zh) * 2011-03-24 2014-01-29 亿光电子(中国)有限公司 一种焊线机
JP5661928B2 (ja) * 2011-06-24 2015-01-28 東京応化工業株式会社 積層体の製造方法、基板の処理方法および積層体
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9673092B2 (en) 2014-03-06 2017-06-06 Asm Ip Holding B.V. Film forming apparatus, and method of manufacturing semiconductor device
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TW201711077A (zh) * 2015-09-04 2017-03-16 漢辰科技股份有限公司 電漿基礎處理系統及其運作方法
US20170213705A1 (en) * 2016-01-27 2017-07-27 Applied Materials, Inc. Slit valve gate coating and methods for cleaning slit valve gates
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10435789B2 (en) 2016-12-06 2019-10-08 Asm Ip Holding B.V. Substrate treatment apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11702748B2 (en) 2017-03-03 2023-07-18 Lam Research Corporation Wafer level uniformity control in remote plasma film deposition
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10923327B2 (en) 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
JP2550037B2 (ja) * 1986-12-01 1996-10-30 株式会社日立製作所 ドライエッチング方法
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JP2797307B2 (ja) * 1988-03-11 1998-09-17 住友金属工業株式会社 プラズマプロセス装置
JP2859632B2 (ja) * 1988-04-14 1999-02-17 キヤノン株式会社 成膜装置及び成膜方法
JPH02141578A (ja) * 1988-11-24 1990-05-30 Canon Inc 堆積膜形成装置
US4875989A (en) * 1988-12-05 1989-10-24 Texas Instruments Incorporated Wafer processing apparatus
JPH0317284A (ja) * 1989-06-14 1991-01-25 Sony Corp スパッタクリーニング装置
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5069938A (en) * 1990-06-07 1991-12-03 Applied Materials, Inc. Method of forming a corrosion-resistant protective coating on aluminum substrate
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JP2734212B2 (ja) * 1991-02-08 1998-03-30 住友金属工業株式会社 プラズマプロセス装置
US5137610A (en) * 1991-04-15 1992-08-11 Motorola, Inc. Sputter chamber with extended protection plate and method of use

Also Published As

Publication number Publication date
JP2003249455A (ja) 2003-09-05
US5366585A (en) 1994-11-22
JP2005191537A (ja) 2005-07-14
JPH06298596A (ja) 1994-10-25

Similar Documents

Publication Publication Date Title
JP3488734B2 (ja) プラズマ処理反応器内の導電性の面を保護するための方法及び装置
US5952060A (en) Use of carbon-based films in extending the lifetime of substrate processing system components
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
US5494713A (en) Method for treating surface of aluminum material and plasma treating apparatus
US5811356A (en) Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
KR101107542B1 (ko) 플라즈마 반응기용 용사 이트리아 함유 코팅
EP0780490B1 (en) Apparatus for reducing residues in semiconductor processing chambers
JP2737720B2 (ja) 薄膜形成方法及び装置
US8394231B2 (en) Plasma process device and plasma process method
US20060090700A1 (en) Gas-introducing system and plasma CVD apparatus
KR100727733B1 (ko) 성막 장치
KR20030066785A (ko) 반도체 공정 설비의 내부식성 부품 및 그 제조방법
JPH04229619A (ja) 化学的腐食から保護する作用をなす導電性コーティングをチャンバの内側金属面上に有するプラズマエッチング装置と、それを形成する方法
US20070144557A1 (en) Cleaning method of apparatus for depositing AI-containing metal film and AI-containing metal nitride film
US4526644A (en) Treatment device utilizing plasma
US6863926B2 (en) Corrosive-resistant coating over aluminum substrates for use in plasma deposition and etch environments
KR100381205B1 (ko) 플라즈마 화학증기증착 장치 및 플라즈마 화학증기증착막형성방법
JPH05502336A (ja) 導電性ダイヤモンド被覆表面を有するプラズマ反応チャンバ
KR100639517B1 (ko) 확산기를 구비한 cvd 장비
US6014397A (en) Laser chamber incorporating ceramic insulators coated with dielectric material
JP2002064067A (ja) 化学気相成長を向上させるよう調整されたチャンバ
WO2018226323A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
JPH11111699A (ja) ガスクリーニング装置およびガスクリーニング方法
JPH05339735A (ja) Ecrプラズマcvd法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 19990706

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081031

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081031

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091031

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101031

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101031

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111031

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111031

Year of fee payment: 8

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111031

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121031

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121031

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131031

Year of fee payment: 10

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term