WO2002068710A1 - Verfahren zur herstellung von teilen und vakuumbehandlungssystem - Google Patents

Verfahren zur herstellung von teilen und vakuumbehandlungssystem Download PDF

Info

Publication number
WO2002068710A1
WO2002068710A1 PCT/CH2002/000090 CH0200090W WO02068710A1 WO 2002068710 A1 WO2002068710 A1 WO 2002068710A1 CH 0200090 W CH0200090 W CH 0200090W WO 02068710 A1 WO02068710 A1 WO 02068710A1
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
vacuum
assisted
chamber
gas
Prior art date
Application number
PCT/CH2002/000090
Other languages
English (en)
French (fr)
Inventor
Rudolf Wagner
Siegfried Wiltsche
Jürgen RAMM
Original Assignee
Unaxis Balzers Aktiengesellschaft
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unaxis Balzers Aktiengesellschaft filed Critical Unaxis Balzers Aktiengesellschaft
Priority to JP2002568803A priority Critical patent/JP2004519108A/ja
Priority to KR10-2003-7011145A priority patent/KR20030090650A/ko
Priority to EP02710739A priority patent/EP1366208A1/de
Publication of WO2002068710A1 publication Critical patent/WO2002068710A1/de

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Definitions

  • the present invention relates to a method for producing parts as electronic, optoelectronic, optical or micromechanical components or as intermediates for this by using at least one plasma-assisted treatment step in which reactive gas or gas mixture let into a process space by means of a low-energy plasma discharge with ion energy E. the surface of the part of
  • the invention further relates to a method for producing a virtual substrate or a component thereof, preferably based on silicon germanium, comprising at least one cleaning step, according to the preamble of claim 28.
  • the invention further relates to a method for producing a virtual substrate or a component thereof, preferably based on silicon germanium, comprising at least one cleaning step, according to the preamble of claim 28.
  • the invention further relates
  • Vacuum treatment systems according to the preambles of claims 29 and 30, respectively.
  • the present invention relates to the production of parts to which the same requirements have to be placed as for the coating of parts with an epitaxial layer.
  • Both methods and systems of the type mentioned at the outset are known from WO98 / 58099 (enclosed) by the same applicant.
  • the plasma generated by the low-energy plasma discharge essentially consists of electrons, single and multiply charged ions and neutral particles (atoms, dissociated molecules) as well as excited but non-ionized
  • the above-mentioned energy range of the simply charged ions limits the energy range of the neutral particles present in the plasma as well as excited neutral particles. The reason is that the neutral particles receive their essential energy contribution from collisions with the ions.
  • a plasma generation arrangement for generating a plasma in the chamber and a gas inlet arrangement in the chamber connected to a gas tank arrangement with at least one reactive gas.
  • the plasma generation arrangement is specific as
  • a cathode chamber communicates with the process space via an orifice.
  • a hot cathode is mounted in the cathode chamber and an anode arrangement in the process room.
  • the workpiece carrier oriented spatially downwards, is arranged in an electrically insulated manner.
  • the present invention is based on methods and a system of this type, on the other hand, among other things, the method described in WO98 / 58099 is also to be implemented according to the present application, if - as will be explained below - immediately fulfills additional criteria according to the task of present invention. It is namely the object of the present invention to provide a method or a system of the type mentioned above, the suitability for industrial use of which is significantly increased in terms of economic criteria, in particular extended service lives and high throughputs.
  • this object is achieved in that the process atmosphere is separated from the inner wall of a vacuum recipient lying in the vicinity during the plasma-assisted treatment step.
  • the basic knowledge is that a functional separation of structures that ensure the required vacuum pressure conditions in relation to ambient pressure, on the one hand, and structures that are directly exposed to the treatment process, on the other hand, solves the above-mentioned task.
  • the inner surface of the vacuum chamber lies directly against the process atmosphere.
  • the plasma-assisted treatment step especially the coating of a workpiece or part with the low-energy plasma discharge, the wall of the vacuum chamber and thus the inner surface heat up. Due to various effects, such as absorption behavior of the inner surface during previous process exposures, if used in industrial production, this leads to an intolerable contamination of the treatment step process atmosphere or to the formation of intolerable residual gas partial pressures.
  • residual gas in a process atmosphere to be those gas components which do not originate from the plasma discharge working gas, such as argon, nor from the reactive gas or reactive gas mixture let in, nor from their gaseous reaction products.
  • the procedure according to the invention now makes it possible to minimize the process influence by the vacuum recipient wall.
  • the method according to the invention is used in a much preferred manner (a) for coating the part or (b) changing the material composition of the part to a predetermined penetration depth, or (c) for etching the surface of the part , as used in particular for its structure etching.
  • compliance with process conditions, as are necessary for the growth of epitaxial layers, is essential in the context of the production processes sought according to the invention.
  • a cleaning step according to claim 3 is proposed as a plasma-assisted treatment step carried out according to the invention, or in addition to a plasma-assisted treatment step according to the invention, a cleaning step according to claim 4.
  • a virtual substrate is produced in accordance with the wording of claim 5.
  • a virtual substrate is a semiconductor wafer that, unlike a wafer made of single-crystal semiconductor material, has a special layer structure, but is also functionally used as a starting material for semiconductor components.
  • a buffer layer is applied thereon, which preferably consists of continuously changing portions of semiconductor "A” and another semiconductor “B", normally a high one Proportion "A” and a little “B” to a high proportion "B” and a little “A”. This is referred to as a "graded buffer layer”.
  • the structure of this buffer layer is full of defects.
  • a cover layer is grown on the buffer layer with a composition which essentially corresponds to that of the uppermost buffer layer zone. The purpose is to achieve a defect-free, dislocation-free mixed crystal layer.
  • wear layer material can in turn be a mixture of two semiconductors, but also a layer of pure semiconductor, for example “B”.
  • This layer is usually so thin that no dislocations occur, but the stress in this layer is retained (band gap engineering).
  • the growth of this wear layer can be combined with the structure of the virtual substrate, but prefabricated virtual substrates can also be provided with the wear layer again in accordance with the invention and according to the wording of claim 5, the base or the substrate mentioned is first subjected to plasma-assisted cleaning "In contrast to previous processes, in which, in the course of the production of virtual substrates, wet cleaning was used. Then the hetero-epitaxial buffer layer is deposited and, if necessary, the mentioned cover layer. If necessary, the useful layer to be used is then also deposited according to the invention, or r after the buffer layer has been deposited, merging into the cover layer, the virtual substrate actually completed is made available for a later use layer deposit.
  • Cleaning step may be necessary, e.g. B. a cleaning of contamination materials or gases released during the etching.
  • reactive gases hydrogen, hydrogen
  • Treatment steps in the process space under consideration, carries out a further plasma-assisted treatment step, namely a process space cleaning step, without a part being introduced into the process space or a dummy dummy being used.
  • Process room cleaning step is preferably carried out in at least two sub-steps: first etching, then cleaning of etching residues, the latter preferably in a plasma containing hydrogen, noble gas or a mixture thereof.
  • a process space under consideration is therefore cleaned with plasma support after having passed through a predetermined number of treatment steps.
  • parts are either in accordance with (a) or (b) or (c) processed or cleaned, whether according to the wording of claim 3, or if necessary according to claim 4.
  • it can also be the case where in a single process space under consideration, in a programmed sequence, sequentially, coated, etched or one
  • the process atmosphere separation from the vacuum recipient wall provided according to the invention makes it possible to separate the process space or the part of a plasma chemical
  • both a coating, a change in the material composition of the part, structural etching on the part or its cleaning can be carried out while avoiding wet chemical cleaning steps, and that between such treatment steps, a self-cleaning of the process space can be carried out only by Changing process parameters, especially the reactive gases let in.
  • the same method can also be used for cleaning the parts in the course of their manufacture according to the invention, in that the separation of the process atmosphere and vacuum recipient is changed or omitted.
  • the part is preferably subjected to locally separated at least two of the plasma-assisted treatment steps mentioned and the transport between them is carried out in a vacuum.
  • this is preferably carried out in a linear movement from treatment step to treatment step, in the sense of a linear system or along a circular path, in the sense of a circular system, known under the term "cluster system".
  • treatment stations are grouped around a circular transport , programmed, if necessary freely programmable, operated by circular transport with parts or workpieces.
  • the required inert, preferably dielectric surface can be provided in such a way that a structure which forms an inert, preferably dielectric surface is applied directly to the inner surface of the vacuum recipient, be it in the sense of a
  • the inert surface is spaced at least along predominant surface sections from the inner wall of the vacuum recipient with a space.
  • the process space and the space mentioned can be the same or different be pumped. Among other things, this may make it possible, if appropriate, to create an atmosphere in the intermediate space which gives the desired thermal conduction relationships between the vacuum recipient wall and the surface mentioned. If a gas with a high heat conduction capacity, such as helium, is admitted into this space and / or at least temporarily a higher pressure is realized in this space than in the process space, the heat conduction in this space is increased compared to that in the process space, which can make it possible Keep surface at desired temperatures. It should be remembered that the heat conduction decreases with the pressure below a certain vacuum pressure and of course depends on the heat capacity of the gas contained.
  • a gas with a high heat conduction capacity such as helium
  • Preferred materials for the surface in new condition are specified in claim 12. It should be emphasized that if we speak of the surface from inert, preferably from dielectric material, this initially only addresses the surface material of the surface facing the process space.
  • This surface is preferably formed by that of a partition. In this case, this can be coated, for example, it can be of metallic construction facing the vacuum recipient, with the process space or the process atmosphere facing the inert surface. In this sense, the surface can be formed by a layer structure, which also enables the use of diamond-like materials or diamond. It is known that in the case of plasma chemical processes, the coating rate generally increases with increasing temperature (and the applied plasma intensity).
  • a feed opening for the part is provided in the surface mentioned and the feed opening for the treatment of the part with the part and / or with a carrier for the Part is closed, at least to the extent that load carriers are prevented from escaping from the process space.
  • the low-energy plasma discharge is used according to claim 14 with an electron source with electron energy ⁇ 100 eV, preferably ⁇ 50 eV, particularly preferably realized with a DC discharge, preferably, according to claim 15, by means of a thermionic cathode, preferably one directly heated.
  • the treated surface of the part is furthermore preferably directly, directly exposed to the plasma.
  • At least two spatially offset anodes are further preferably provided in the process space for the plasma discharge. They can preferably be heated separately.
  • the plasma density distribution in the process space can be dynamically and / or statically adjusted or controlled by controlling the electrical potentials applied to it and / or its temperature.
  • a static setting to mean a setting that is set and left at least during one treatment step.
  • dynamic setting we mean that during the treatment step at least one of the parameters mentioned changes in time, be it in the sense of a wobble, oscillating periodically or aperiodically according to predetermined curve shapes, or in the form of any linear or non-linear ramp function during the treatment step.
  • it becomes possible during the a treatment step in the process space to take into account changing conditions and compensate for this or to achieve a desired change in the plasma density over time on the partial surface.
  • a magnetic field is preferably generated in the process space, which, in the same sense as the anode potential and / or anode temperature parameters mentioned above, stationary or dynamic, adjusts or controls the plasma density distribution on the partial surface.
  • Plasma density distribution along the part surface can be changed, particularly preferably as if the part periodically moves in a stationary distributed plasma. ⁇
  • Changing the plasma density distribution along the surface of the stationary part has the same effect as if the part were moved in an oscillating or rotating manner, but particularly advantageous in terms of vacuum technology without moving parts.
  • the reactive gas is distributed into the process atmosphere, preferably with an inflow direction essentially parallel to the partial surface and, more preferably, with injection points equidistant from the partial surface, optimal exposure of the surface of the part to the plasma-activated reactive gas achieved and optimal use of fresh reactive gas, in the sense of an inverse efficiency, namely the quotient of fresh reactive gas admitted per unit of time to fresh reactive gas pumped out per unit of time.
  • the partial pressure of residual gases is kept at a maximum of 10 ⁇ 8 mbar, preferably at a maximum of 10 ⁇ 9 mbar, according to claim 19.
  • the treatment step in the method according to the invention is the deposition of a homo- or hetero-epitaxial layer.
  • a homo- or hetero-epitaxial layer is further preferred, according to claim 21, deposited as a silicon germanium layer.
  • a substantially disk-shaped part is produced as part.
  • the part subjected to the treatment is a silicon wafer or a wafer made of one
  • Compound semiconductors preferably made of gallium arsenide, indium phosphide, silicon carbide or glass.
  • Claim 24 specifies layer materials preferably deposited on the production method according to the invention.
  • virtual substrates of the type mentioned above which preferably contain silicon germanium, are produced.
  • parts in particular the above-mentioned essentially flat or disc-shaped parts, are produced with diameters of at least 150 mm, preferably at least 200 mm, preferably even at least 300 mm.
  • wet-chemical cleaning processes are usually used today, be it to clean the surface of a finished virtual substrate for further processing steps or be it the surface of an already epitaxially coated substrate for the Preparation to clean a virtual substrate, be it to make the pad suitable for epitaxial
  • a method for producing a virtual substrate or a component based on a virtual substrate, preferably based on silicon germanium which comprises at least one cleaning step, which is plasma-assisted and in which the workpiece is in one Process space exposed reactive gas or gas mixture is exposed. This is activated by means of a low-energy plasma discharge with ion energy on the surface of the part of at most 15 eV.
  • Claims 29 and 30 specify a vacuum treatment system according to the invention which is particularly suitable for carrying out the method according to one of the aforementioned aspects:
  • the inner surface of the process chamber is, when new, preferably made of a material inert to the plasma-activated reactive gas or gas mixture realized dielectric material, according to the wording of claim 30, the process chamber comprising the process chamber is offset from the vacuum chamber wall inwards, that is realized at a distance.
  • Preferred embodiments of the Vacuum treatment systems according to the invention are subsequently specified in claims 42 to 60.
  • FIG. 1 schematically, a first embodiment variant of a process module according to the invention for carrying out the methods according to the invention
  • FIG. 2 in a representation analogous to that of FIG. 1, a preferred embodiment variant of the process module according to FIG. 1 for carrying out the method according to the invention;
  • FIGS. 1 and 2 shows, in a representation analogous to FIGS. 1 and 2, another type of process module according to the invention for carrying out methods according to the invention, namely cleaning according to the invention;
  • Fig. 4 in a representation analogous to Figs. 1 to 3, a modification of the process module shown in Fig. 3 for carrying out the method according to the invention, namely cleaning according to the invention;
  • FIG. 5 simplified, a preferred embodiment of a process module according to the invention according to FIG. 2, convertible into a process module according to FIG. 3 or 4 for carrying out the methods according to the invention;
  • FIG. 6 with reference to an aperture axis A of the process module according to FIG. 5, the local and temporal modulation caused by the control Axis A of parallel magnetic field components over a plane E, perpendicular to the aperture axis A;
  • Fig. 7 shows schematically the continuous coating of a
  • FIGS. 1 to 5 shows the combination of process modules according to FIGS. 1 to 5 in an inline continuous system
  • a chamber wall 1 of a vacuum recipient 3 encloses a process space PR, in which a plasma is generated.
  • a process space PR in which a plasma is generated.
  • PR is a process space
  • Substrate carrier 5 is provided, and a supply line 7 communicates on the one hand with the process space PR, on the other hand with a reactive gas tank arrangement 9.
  • the process space PR is brought up to the pressure required to carry out the manufacturing method according to the invention via a pump connection 11, as shown schematically with the vacuum pump 13 pumped from a maximum of 10 "8 mbar, preferably from a maximum of 10 " 9 mbar.
  • the structure of the recipient complies with UHV conditions (e.g. metallic sealed vacuum boiler, bakeable).
  • the predominant surface area of the surface of the chamber wall 1 facing the process space PR which usually consists of stainless steel or inox, is made of a material that is inert to the plasma-activated reactive gas in tank 9.
  • the chamber wall 1 is coated on the inside with the inert material mentioned, or on the inside of the chamber wall 1 wall parts are mounted at least with inner surfaces made of the mentioned inert material.
  • This coating or these inert material surfaces are denoted by 15 in FIG. 1.
  • a dielectric preferably at least one of the materials listed in the following group G, is preferably used as the material of the surface 15 facing the process space PR:
  • FIG. 2 shows, in a representation analogous to that of FIG. 1, also schematically, a preferred embodiment of the type I process module according to the invention according to FIG. 1. The same reference numerals are used for the parts already described in FIG. 1.
  • the process space PR is delimited by a process space wall 14 spaced along predominantly sections of the chamber wall 1, further preferably made of stainless steel or inox.
  • At least its surface 15a facing the process space PR is made of the material which is inert to the plasma-activated reactive gas in the tank arrangement 9, preferably of dielectric material, furthermore particularly preferably of at least one of the materials of group G mentioned.
  • the wall 14 which actually forms a process space jacket within the vacuum chamber with wall 1 can consist of the material forming the surface 15a, or the inert material forming the surface 15a is built up on a load-bearing wall (not shown) facing the wall 1, such as for example piled up, the latter then, because it is not exposed to the process space PR, for example made of stainless steel or inox.
  • the process space PR is pumped to the residual gas partial pressure explained in connection with FIG. 1 by the pump connection 11 or the pump 13, while, for example and as shown in FIG. 2, the intermediate space ZW between the vacuum chamber wall 1 and the casing 14 via a separate pump connection 11a or is pumped out by another vacuum pump.
  • FIG. 3 a process module of type II e is shown in an illustration analogous to FIGS. 1 and 2, which differs from the one shown in FIG. 2 only in that the surface 15b enclosing the process space PR is related to the process 2 does not suffice for the process module according to FIG. 2, and in which the wall 14a, for example like the wall 1, is made of stainless steel or inox or another metal.
  • the wall 14a for example like the wall 1 is made of stainless steel or inox or another metal.
  • the process module type II e according to FIG. 3 can be easily converted into a process module type I can be converted according to FIG. 2 and vice versa.
  • the process module structures according to FIGS. 1 to 3 are in accordance with the invention.
  • FIGS. 1 to 3 Another representation analogous to FIGS. 1 to 3, is another, not according to the invention.
  • Process module type II ne shown.
  • the process roughness in type II ne is limited by the process chamber wall 1 with a surface which consists, for example, of stainless steel or inox.
  • this process module which is not in accordance with the invention in its structure, is used in accordance with the invention, ie, it is used to carry out a method in accordance with the invention or such a module is used in the context of a method in accordance with the invention,
  • type I, type II e and type II ne modules can be converted into one another by correspondingly removing or inserting the corresponding process space jacket 14, 15b.
  • FIG. 5 shows a preferred embodiment of the type I process module according to FIG. 2. It should be pointed out here that all the measures starting from the module according to FIG. 2, which are additionally or specifically preferred in the module according to FIG. 5, can be used individually or in any partial combinations on the basic module according to FIG. 2.
  • the process module type I shown in FIG. 5 in a preferred embodiment can, as will be shown, be easily converted into a type II e module or into a type II ne module.
  • the recipient wall 101 of the process module according to FIG. 5, preferably made of stainless steel or inox, carries an electron source 105 centrally, preferably on its upper end plate 103, for co-generating the plasma discharge in the process space PR.
  • an electron source such as electron source 105 is preferably used, which emits electrons with an electron energy of at most 100 eV, preferably at most 50 eV.
  • the plasma discharge is implemented as a DC discharge. 5 is preferably designed with a thermionic cathode, preferably a directly heated thermionic cathode 107, installed in a cathode chamber 109 with a cathode chamber wall electrically insulated from the recipient wall 101, 103.
  • the cathode chamber communicates with the process space PR via an orifice 111.
  • the working gas such as argon, is preferably (not shown) admitted into the cathode chamber 109, inter alia in order to protect the thermionic cathode 107 against influences of the reactive gas in the process space PR and to enable a higher electron emission.
  • the process space sheathing 113 is analogous 2, preferably mounted interchangeably.
  • the process space PR within the casing 113 and the intermediate space ZW are pumped here via the same pump connection 115, with different pump cross sections possibly leading from this connection 115 to the intermediate space ZW on the one hand and to the process space PR on the other.
  • An anode arrangement acts within the process space PR. As shown in FIG. 5, this is preferably formed by two or more anodes 117a and 117b arranged concentrically to the aperture axis A. They can (independently of one another) each be carried out independently of one another to ground potential or to electrical anode potentials, which can further preferably be set independently of one another.
  • the metallic recipient wall 101, 103 is further preferably connected to the reference potential, preferably the mass potential.
  • the anodes 117a, 117b which are offset along the aperture axis A, can be operated electrically in addition to one another, and preferably (not shown) can also be heated or cooled independently of one another. This is realized by having these anodes
  • Temperature control medium lines are guided and / or heating coils are installed.
  • the dashed-dotted line in FIG. 5 shows the plasma jet PL generated by the preferably used plasma generation arrangement, with the purely heuristic entered plasma density distribution at V, coaxial to the aperture axis A.
  • the plasma density distribution can V can be set specifically.
  • a wafer holder 119 is mounted in the process space PR or - as will be explained later - can be introduced into the process space PR in a controlled manner.
  • the substrate holder 119 defining a support surface 119a for the preferred treatment of disk-shaped workpieces 120, with this support surface 119a parallel to the aperture axis A, in this respect at an oblique angle or in this respect - according to FIG. 5 - vertically but eccentrically, the wafer holder becomes 119 with its support surface 119a, preferably arranged concentrically to the axis A of the diaphragm 111.
  • the wafer holder 119 By means of an external drive 121, the wafer holder 119, as shown by the double arrow F, can be returned to or from the receiving opening 123 defined by the process space casing 113. If the wafer holder 119 is completely raised against the process space PR by means of the drive 121, its edge portion 125 closes the clear opening 123 of the process casing 113 at least in such a way that load carriers are prevented from exiting the process space PR.
  • a preferably disk-shaped workpiece or part to be treated, as mentioned, is placed on stationary holding supports 126 by a slit valve 129, while the wafer or workpiece holder 119 is lowered. Then the wafer holder 119 is lifted up, reaching under it
  • Carrier surface 119a the workpiece or wafer 120, lifts it from the stationary supports 126 and moves it up into the process space PR, thereby, when the processing position is reached, closing the process space to the extent mentioned with its edge surface 125.
  • the supports 126 are mounted on a workpiece tempering device 127, which is acted upon by tempering medium inlets and outlets 128.
  • the introduced substrate 120 is heated via the plate 128a. 5 is the dashed line
  • Wafer holder 119 shown in its processing position.
  • the recipient wall 101 and its end plates 103 and 131 are tempered, preferably cooled.
  • the wall 101 forming the casing is designed as a double wall with a tempering medium system installed in between. Temperature control medium line systems are also installed in the end plates 103 and 131.
  • Helmholtz coils 133 and distributed deflection coils 135 are mounted outside the vacuum recipient. Using the Helmholtz coils 133, a magnetic field pattern essentially parallel to the axis A and in this respect symmetrical is generated in the process space PR. This can be shifted with the aid of the deflection coils 135 in planes perpendicular to the axis A as shown schematically in FIG. 6. This “shift” in the magnetic field strength distribution H erford results in a “shift” in the plasma density distribution V on a substrate applied to the substrate carrier 119. This makes a relative movement between the plasma density distribution V and the one to be treated
  • the workpiece surface on the substrate carrier 119 is reached as if the substrate were shifted with respect to the plasma with a temporally constant plasma density distribution.
  • This field distribution control has the same effect on the substrate as if it had this effect on the plasma would be moved mechanically, but without mechanical substrate movement.
  • Reactive gas is admitted into the process space PR via a reactive gas inlet 137.
  • the reactive gas inlet is preferably arranged coaxially to axis A in the immediate area of the substrate 120 or substrate carrier 119 lying in the processing position, with inlet openings essentially parallel to the substrate surface to be treated.
  • the vacuum recipient 101, 103 which is preferably constructed from stainless steel, is intensively cooled. It meets UHV requirements. The intensive cooling prevents the steel from heating up during the process and the associated release of carbon-containing gases from the steel.
  • the inert material preferably a dielectric and, as mentioned, preferably selected from material group G, is stable at the high process temperatures and does not enter into gaseous compounds with the reactive gases used, such as, in particular, hydrogen, silane, german, diborane, chlorine, NF 3 , HCl, SiH 3 CH 3 , GeH 3 CH 3 , N 2 , C1F 3 , PH 3 , AsH 4 .
  • the reactive gases used such as, in particular, hydrogen, silane, german, diborane, chlorine, NF 3 , HCl, SiH 3 CH 3 , GeH 3 CH 3 , N 2 , C1F 3 , PH 3 , AsH 4 .
  • An interference coating of the inner surface of the process space casing 113 is only critical from the aspect of particle formation. A thin interfering coating can even be preferred to one more To ensure better purity of the process, which is then almost exclusively surrounded by process-inherent material.
  • the vacuum chamber wall usually made of stainless steel, is not coated because it is protected from the reactive gases and the plasma by the process space sheath 113, because the intensive cooling, as shown in FIG Separation from the gas phase is also greatly reduced there. What applies to the inner surface of the process space casing 113 also applies to the surfaces of the substrate holder 119 exposed to the process.
  • the process space sheathing 113 is preferably formed in several parts (not shown), so that it can be removed or replaced without dismantling the anode arrangement 117a, 117b.
  • a preferred embodiment of the process module type II ne is realized by removing the process space sheathing 113 shown in FIG. 5, or a process module of the type II e according to FIG. third
  • This process module meets the quality requirements that are required when coating parts with an epitaxial layer.
  • Plasma-assisted reactive coatings are carried out, or plasma-assisted reactive etching, or plasma-assisted reactive change processes of the material composition on the workpiece up to predetermined penetration depths, or the surfaces of the workpieces or parts of a plasma-assisted reactive cleaning, in particular in combination with the aforementioned method steps according to the invention Hydrogen plasma.
  • These process module types I are subjected to self-cleaning after having passed through a predetermined number of the treatment steps mentioned, or if necessary, without a workpiece part being inserted therein or a dummy substrate being used.
  • This self-cleaning preferably comprises, on the one hand, a plasma-assisted reactive etching step and, on the other hand, a subsequent plasma-assisted reactive cleaning step of etching residues, preferably carried out in a hydrogen plasma.
  • the Type II process modules are used to deeply clean workpieces, as is necessary, for example, if they are supplied from the ambient atmosphere to the treatment steps mentioned above that meet the epitaxial quality requirements.
  • these process module types II in combination with the aforementioned high-quality treatment processes, with the low-energy plasma mentioned, become reactive, preferably only through plasma-assisted reactive etching, then through Plasma-assisted reactive cleaning, preferably in hydrogen plasma, cleans the parts.
  • a process module 140 of type I or type II is shown schematically in FIG. 7.
  • the process module 140 is supplied with parts 142 to be treated sequentially, or the treated parts are led away from the module.
  • Treatment steps from a non-hatched self-cleaning step of the module 140 which is fed in continuous operation.
  • Fig. 8 it is shown schematically, within a vacuum atmosphere of a plant 144, e.g. an inline
  • Process modules in analogy to the considerations in FIG. 7, undergo self-cleaning after a given number of processing cycles.
  • a preferred process of this type is the production of virtual substrates.
  • the substrate suitable for subsequent hetero-epitaxial layer growth, is plasma-assisted, using a halogen as the reactive gas, preferably hydrogen.
  • the hetero-epitaxial layer is then grown in the one or more subsequent process modules type I, in such a way that the lattice constant is changed and a surface structure that is as defect-free as possible is achieved by successively graded incorporation of a further material.
  • the semiconductor layer to be used is grown, if necessary, mechanically clamped to adjust the
  • Band gap and setting of the desired semiconductor properties, such as charge carrier mobility may follow, until they are discharged from the system 144 of the finished virtual substrate.
  • additional layers can also be incorporated in the production of the virtual substrate or cleaning steps can be provided between the coating steps, then preferably as “gentle cleaning steps” in a process module of type I.
  • FIG. 8 shows an “inline” system, albeit schematically, in which the workpiece transport from one module to the other takes place essentially linearly in vacuum.
  • 9 schematically shows, in supervision, the preferred arrangement of a plurality of Type I and Type II process modules as respective clusters for a cluster system.
  • This comprises a circular vacuum transport chamber 150, which serves the process modules essentially radially.
  • Unprocessed substrates are removed from a lock chamber 152 and stored substrates are deposited therein, where the latter cool down, for example.
  • the substrates are taken from or supplied to the input and output lock chamber 152 provided, for example, with a red upper unit 154 lying in a normal atmosphere, from storage magazines 156 for untreated substrates or in storage magazines 158 for treated, finished substrates.
  • the system is controlled by a program control with regard to its time sequences, for example a freely programmable one.
  • the process modules described which can all be converted into one another, can treat substrates with a diameter of at least 150 mm, preferably of at least 200 mm, preferably even of at least 300 mm.
  • substrates with a diameter of at least 150 mm, preferably of at least 200 mm, preferably even of at least 300 mm.
  • the present invention relates to a method for producing coated workpieces according to the preamble of claim 1, uses thereof according to claims 28 to 35, a system for carrying out said method according to the preamble of claim 36 and uses thereof according to claims 51 to 54 ,
  • the present invention is based on the problems that arise in the production of thin layers using CVD and PECVD processes.
  • the findings made according to the invention can be transferred in particular to the production of semiconductor layers, such as in the manufacture of solar cells or modulation-doped FET or heterobipolar transistors.
  • Thin semiconductor films are either in single crystal form, i.e. epitaxially, deposited on a likewise single-crystalline substrate, such as a silicon substrate, or in polycrystalline or amorphous form on polycrystalline or amorphous substrates, e.g. on glass.
  • a likewise single-crystalline substrate such as a silicon substrate
  • polycrystalline or amorphous form on polycrystalline or amorphous substrates e.g. on glass.
  • the invention is described below primarily with reference to the production of silicon- and / or germanium-coated substrates, it can, as mentioned, also be used for the production of other workpieces coated with other materials.
  • the CVD experience is a collective term for a large number of thermal deposition methods, which either differ in the structure of the assigned equipment or in the mode of operation.
  • a CVD process can be carried out at normal atmospheric pressure, or at much lower pressures down to the area of
  • the reactive gases used are silicon-containing gases, for example chlorosilanes, SiCl 4 , Si 3 HCl and SiH 2 Cl 2, and silanes, for example SiH 4 or Si2H 6 .
  • the standard CVD processes are characterized by the high deposition temperatures in the range of 1000 ° C and more, as well as pressures of typically 20 mbar to 1000 mbar, ie up to normal atmospheric pressure.
  • coating rates of several ⁇ m per minute can be achieved, corresponding to several 100 A / sec, which in turn is referred to (1).
  • LPCVD Low pressure chemical vapor deposition
  • LPVPE Low Pressure Chemical Vapor Deposition
  • An epitaxial layer is formed at 650 ° C.
  • GA F 2.5 • 10 "4 , corresponding to 0.25 0/00.
  • UHV-CVD ultra-high vacuum chemical vapor deposition
  • working pressures in the range of 10 ⁇ 4 to 10 ⁇ 2 mbar, typically in the range of 10 ⁇ 3 mbar, for which reference is made to (4) and (5), (7). It allows very low workpiece temperatures, although the growth or Coating rates are extremely small, for example approx. 3 ⁇ / min for pure silicon at 550 ° C according to (5).
  • plasma sources which are based on the principle of electron cyclotron resonance, is intended to prevent the incidence of high-energy ions on the substrate.
  • Such sources generally work in the pressure range from 10 “3 to 10 " 4 mbar, but this leads to longer free path lengths than in the case of capacitively coupled high-frequency RF plasmas. This in turn can lead to undesired ion bombardment of the substrate and thus to the generation of defects, as can be seen from (10).
  • the energy of the ions hitting the substrate can be limited by an external control of the substrate potential, which largely prevents ion damage.
  • the growth rates for pure silicon are usually only a few 10 ⁇ / min, at low deposition temperatures ⁇ 600 ° C.
  • Layers that are deposited with a quality that is also suitable for depositing epitaxial layers can, at deposition temperatures ⁇ 600 ° C, to date:
  • PECVD processes the plasma of which is generated by DC discharges, could be used for the production of layers with epitaxial quality - i.e.
  • low error density - not used for the construction of epitaxial or for the construction of amorphous or polycrystalline layers, at least not with a growth rate GR, reliability and effectiveness or efficiency that can be ensured for industrial production.
  • the object of the present invention is to provide a method which can be used in industrial production and which allows epitaxial-quality layers to be grown at significantly higher growth rates than previously known.
  • Embodiments of the method are specified in claims 2 to 27, preferred embodiments of the system in claims 37 to 50.
  • the method according to the invention is particularly suitable for the production of semiconductor-coated substrates with an epitaxial, amorphous or polycrystalline layer, in particular Si , Ge or Si / Ge alloy layers as well as Ga or Ga compound layers.
  • doped semiconductor layers can also be deposited.
  • the CVD process in particular the UHV-CVD process, leads to excellent layer qualities, even at substrate temperatures below 500 ° C. They therefore lend themselves to producing epitaxial layers, where extremely high demands are placed on the layer quality.
  • the growth rate for Si for example, is extremely low in these processes, as mentioned in the order of 3 ⁇ / min at 550 ° C.
  • ECRCVD Microwave plasma-assisted processes, ECRCVD, have the advantage that the decomposition of the reactive molecules can take place without high thermal energy. Ion bombardment of the substrate leads to increased hydrogen desorption. Both effects could lead to a significant increase in the growth rate. At low temperatures, however, unacceptably high defect densities are observed, induced by ion (Appendix "A" PCT / CH98 / 00221)
  • Controlling the substrate bias voltage increases the layer quality, but does not change the comparatively low rates.
  • Si growth rate GR 2 x 10 ⁇ 3 nm / min
  • a gas flow F of 100 sccm SiCl 2 H 2 corresponds to 4.4 x 10 19 molecules / sec.
  • the growth rate GR of 2 x 10 "3 nm / min corresponds to a growth rate of 2 x 10 ⁇ 4 silicon monolayers per second on a 5" wafer, corresponding to an area A 5 of 123 cm 2 . This results in a stored quantity of per second on the total area
  • GA 1.7 x 10 13 silicon atoms / sec.
  • the gas utilization factor GA F is obtained by relating the silicon quantity deposited per second and the reactive gas quantity admitted per second
  • a cathode chamber with a hot cathode communicates with a vacuum recipient via an orifice.
  • An anode is provided opposite the screen.
  • An inlet arrangement for a reactive gas is provided parallel to the discharge axis formed between the diaphragm and the anode.
  • the workpieces are arranged opposite this arrangement with respect to the discharge axis. With respect to anode potential, discharge voltages U A ⁇ below 150 V are applied, and the discharge is operated with a current I AK of at least 30 A.
  • the workpieces are brought to negative potentials between 48 and 610 V for the coating.
  • the present invention is based on the knowledge that workpiece coatings can be carried out with a layer quality, which quality meets the requirements to be imposed on epitaxial layers by using a non-microwave plasma PECVD method for this purpose, contrary to the expectations previously held - ie a PECVD process with DC discharge - and in particular a PECVD process, as is known in principle from DE-OS 36 14 348. As will be shown, it is possible in epitaxial quality
  • GR F of at least 7.5 ⁇ / (sccm.min), or even 40 ⁇ / (sccm.min), preferably even 75 ⁇ / (sccm.min), and further
  • the plasma discharge leads to low-energy ions, likewise to low-energy electrons, but that the charge carrier density, in particular the electron density, at the discharge used is very large.
  • FIG. 1 shows schematically a first preferred embodiment of a system according to the invention for carrying out the methods according to the invention
  • FIG. 2 schematically, a second preferred embodiment of a system according to FIG. 1 with several operating variants
  • Fig. 5 in function of the reactive gas flow, the growth rate at different plasma densities in the range
  • Fig. 6 as a function of the germanium concentration on the deposited layer, the growth rate and
  • Fig. 7 In the growth rate / gas utilization ratio field, the results according to the prior art and according to the invention.
  • a system according to, for example, DE-OS 36 14 384 can be used for carrying out the method according to the invention, provided that it is operated in such a way that the conditions according to the invention are observed.
  • a preferred first installation for carrying out the method according to the invention has a vacuum recipient 1, to which a cathode chamber 5 is flanged via a diaphragm 3.
  • the cathode chamber 5 can be placed on the electrical potential of the recipient 1, or the cathode chamber 5 can be isolated with respect to the recipient 1 and placed on a potential different therefrom (not shown).
  • a hot cathode 7, a filament, is provided in the cathode chamber 5, preferably directly heated by means of a heating current generator 9.
  • an isolated mounted workpiece carrier 13 is provided.
  • a workpiece heater 17 can be provided in the area of the workpiece carrier 13.
  • the recipient 1 is evacuated with a vacuum pump 27, preferably a turbo vacuum pump, preferably a turbomolecular pump.
  • Sensors, such as a plasma monitor etc., can be provided on a connection 31 for observation and possibly control purposes.
  • a gas nozzle ring 23 Concentric to the axis A of the discharge with the discharge current I a ⁇ , a gas nozzle ring 23 is provided as a reactive gas nozzle arrangement, connected to a gas tank arrangement 25 for reactive gas, which, with controllable flow F (sccm), is let into the recipient.
  • a magnetic field B is generated essentially concentrically to the axis A in the recipient, in particular also effectively in the area of the diaphragm 3.
  • the field can preferably be shifted from the concentricity.
  • the system in its embodiment according to FIG. 1 is operated as follows:
  • the recipient wall corresponding to FIG. 1 is used as the anode of the discharge and is connected to a reference potential, as shown, preferably to ground. Accordingly, the cathode 7 is set to (negative) potential by means of a preferably adjustable DC generator 11.
  • the discharge voltage U AK lies across the generator 11, the discharge current I AK flows between the cathode 7 and the recipient 1.
  • the workpiece carrier 13 is placed on the voltage U ⁇ by means of a DC bias generator 15.
  • FIG. 2 shows a further preferred system according to the invention for carrying out the method according to the invention.
  • the same reference numerals as in Fig. 1 are used for the same parts.
  • the system according to FIG. 2 differs as follows from that shown and described in FIG. 1:
  • An annular auxiliary anode 19 is provided, which is arranged concentrically with the discharge axis A.
  • the recipient wall of the recipient 1, as already in FIG. 1, is placed at a reference potential, preferably ground potential, or, via an impedance element 14, preferably a resistance element, at a potential, preferably the reference potential. tied up or operated floating.
  • the auxiliary anode 19 is either set to the potential of the recipient or is applied to voltage by means of a preferably adjustable DC generator 21.
  • the auxiliary anode is operated by means of the DC generator 21, the discharge voltage U AK appears as shown in dashed lines between cathode 7 and. Auxiliary anode 19. This is also the case when the recipient wall 1 is operated floating.
  • the vacuum pump 27 of the ⁇ is half, as mentioned, is preferably formed as a turbo-vacuum pump, in particular a turbomolecular pump.
  • Partial pressures between 10 ⁇ 4 mbar and 25 '10 "3 mbar are advised, in particular for gases containing silicon and / or germanium.
  • a hydrogen partial pressure in the order of 10 -4 to 10 ⁇ 2 mbar, preferably of about 10 ⁇ 3 mbar.
  • Argon largely dependent on the recipient and cathode chamber volume, for setting the required partial pressure P A or P ⁇ .
  • Reactive gas flow 1 to 100 sccm, especially for gases containing silicon and / or germanium:
  • the discharge voltage is set as follows:
  • this voltage is chosen below the discharge sputtering threshold. In all cases it is set as follows:
  • This is measured in advance by means of a probe at the location where the surface to be coated will be positioned. It is adjusted based on the probe surface to at least 0.05 A / cm 2 , preferably to at least 0.1 A / cm 2 up to a maximum discharge current / substrate area.
  • One or more probes are positioned at the location of the surface to be coated again and are connected to variable positive voltage with regard to ground or anode potential. This is increased until the measured current no longer increases. The measured current value results in relation to the b -Z
  • Probe area the total current density. This is now set to the required value by setting the discharge.
  • the setting of the current density values mentioned is easily possible with the preferably set discharge currents I AK between 5 and 400 A, and preferably between 20 and 100 A.
  • the high flow of low-energy ions and electrons that occur on the workpiece is a characteristic feature of the method according to the invention, which is therefore abbreviated as LE-PECVD for "Low Energy Plasma Enhanced CVD”.
  • Silicon and / or germanium layers can be doped during the coating by adding a doping gas with an element from group III or V of the periodic system, such as with phosphine, diborane, arsine etc. to form n- or p-type layers.
  • a doping gas with an element from group III or V of the periodic system, such as with phosphine, diborane, arsine etc. to form n- or p-type layers.
  • p / n semiconductor junctions can be produced in situ, e.g. particularly economical for solar cell production.
  • gallium layers or gallium compound layers are deposited, these can be doped with an element from groups II or III or IV or VI of the periodic table by using a doping gas, e.g. with Mg or Si.
  • the low-voltage discharge can be compressed and / or deflected from the workpiece carrier 13.
  • the plasma density on the workpiece carrier can thus be increased (rate) and / or varied over a wide range (adjustment of the distribution) or wobbled or deflected in a controlled manner.
  • the workpieces or substrates can be heated up to approximately 800 ° C. regardless of the amount of ions and / or electrons generated.
  • the magnetic arrangement 29 generates the field B by means of permanent and / or electromagnets, preferably with a flux density of a few 10 to a few 100 gauss in the discharge space. 3
  • the highest possible plasma density on the workpiece should be aimed for.
  • the plasma density is given by the current density on the workpiece surface. As indicated above, it is measured and set using probes in one calibration operation.
  • FIGS. 1 and 2 are probably preferred embodiments today, whereby the method according to the invention can also be implemented in systems which are shown, for example, in DE-OS 36 14 384, if they are equipped and managed accordingly. To this day, the potential-controlled operation of the workpiece appears to be essential.
  • the plant was operated as follows:
  • Plasma-induced workpiece temperatures of only a few 100 ° C, e.g. of approx. 150 ° C.
  • thermally critical substrates e.g. organic substrates.
  • the temperature selection is extremely flexible, depending on the layer material and substrate material.
  • Substrate temperature 550 ° C (heated with heating)
  • tu srate GR depends very little on the workpiece or substrate temperature ⁇ 3 .
  • the large scatter of the measured values stems from the fact that operating parameters had to be set by hand in the test plant before each separation.
  • the discharge current I AK was now varied by setting the discharge voltage U AK and possibly varying the cathode heating current. All other parameters were kept constant again. Even if the discharge current I AK does not correspond directly to the charge carrier density or plasma density on the surface to be coated, the plasma density, in accordance with the current density at the workpiece surface to be coated, is essentially proportional to the discharge current, given otherwise the parameters are left constant. Therefore, the result shown in FIG. 4 definitely shows the proportionality and the proportionality factor between the growth rate GR and the plasma density. This proportionality is likely to continue as long as the gas utilization does not exceed approx. 60% and saturation effects occur. As mentioned, in addition to, for example, adjusting the discharge current, the plasma density can also be influenced by focusing or defocusing the low-voltage discharge or by deflecting it. Here, too, the relatively large scatter can be explained by the procedure for setting the discharge conditions.
  • FIG. 5 is extremely revealing. This is the result of tests in which, with otherwise constant parameters, the reactive gas flow F was varied, starting from the operating point 10 sccm.
  • the straight line (a) was obtained in relation to the axis A of FIG. 1 due to the magnetic field setting being slightly offset in the low-voltage discharge, which led to a reduction in the plasma density on the substrate or a lower rate, with a discharge current I AK of 20 A.
  • a high-quality epitaxial coating was achieved on the substrate, but an amorphous coating was obtained when an amorphous substrate was inserted, while the operating point parameters were still retained.
  • the measurement point is also entered at P2 if, instead of a pure Si layer, an SiGe epitaxial layer containing 4% Ge is deposited.
  • FIG. 6 where the growth rate GR is given as a function of the Ge content in% at the specified operating points. It can be seen from this that the growth rate does not essentially change in a very large range of the Ge to Si ratio.
  • the procedure according to the invention was primarily hardened on the basis of attempts to deposit Si, Ge or Si / Ge alloy layers or Ga and Ga connecting layers, all doped and undoped.
  • Manufacturing be it epitaxial layers or other layers of the highest quality.
  • a process for the production of coated workpieces with a quality sufficient for epitaxy characterized in that the workpiece is coated by means of PECVD using a DC discharge. 2. The method according to claim 1, characterized in that the coating with a growth rate
  • GR is> 300 ⁇ / min, preferably
  • GA F is > 5%.
  • adjustable voltage operates with respect to the discharge cathode, which is preferably not greater than the discharge voltage.
  • Compound layer preferably doped with at least one element from groups II, III, IV or VI of the periodic table, e.g. with Mg or Si.
  • the workpiece holder can be placed on the adjustable voltage or floating with respect to the anode, the recipient housing is at anode potential and the cathode with respect to anode potential to cathodic potential, preferably between 10 and 80 V, particularly preferably between 20 and 35 V, can be laid, the workpiece holder preferably being adjustable by at most ⁇ 25 V with respect to the anode potential.
  • anode arrangement for the discharge comprises the vacuum recipient wall or the anode arrangement is mounted insulated in the recipient.
  • an auxiliary anode is provided, preferably in the form of a ring anode which is arranged concentrically to the axis of the diaphragm and which can or can be placed on the same or different potential with respect to the recipient wall.
  • a magnet arrangement for generating a magnetic field in the recipient which is coaxial or offset in relation to the aperture axis, the magnet arrangement comprising permanent magnets and / or at least one coil arrangement.
  • the hot cathode delivers an electron current of 5 to 400 A, preferably between 20 and 100 A.
  • System according to one of claims 36 to 49 characterized in that the recipient is connected to a gas tank arrangement which contains a gas containing Si and / or Ge or a gas containing Ga, preferably additionally with H 2 .
  • Method for operating a PECVD system according to one of claims 36 to 50, in such a way that whether a polycrystalline, amorphous or epitaxial layer is formed is specified by specifying the workpiece surface properties, such as the crystal structure.

Abstract

Bei der Herstellung beschichteter Teile, bei deren Beschichtung die gleichen Anforderungen zu stellen sind wie bei einer Beschichtung mit einer epitaktischen Schicht wird Reaktivgas in einen Prozessraum eingelassen (PR) und mittels einer niederenergetischen Plasmaentladung das Reaktivgas aktiviert. Um die Industrietauglichkeit eines solchen Verfahrens zu erhöhen, wird dabei der Prozessraum (PR) von der Innenwandung des an der Umgebung liegenden Rezipienten (1) getrennt (14).

Description

Verfahren zur Herstellung von Teilen und Va uumbehandlungssystem
Es liegt dieser Beschreibung die WO98/58099 als eine Verfahrensbeschreibung bei .
Die vorliegende Erfindung betrifft ein Verfahren zur •Herstellung von Teilen als elektronische, optoelektronische, optische oder mikromechanische Bauelemente oder als Zwischenprodukte hierfür durch den Einsatz mindestens eines plasmaunterstützten Behandlungsschrittes, bei dem in einen Prozessraum eingelassenes Reaktivgas oder -gasgemisch mittels einer niederenergetischen Plasmaentladung mit Ionenenergie E an der Oberfläche des Teiles von
0 eV < E < 15 eV
aktiviert wird, gemäss dem Oberbegriff von Anspruch 1.
Weiter betrifft die Erfindung ein Verfahren zur Herstellung eines virtuellen Substrates oder eines Bauteiles daraus, vorzugsweise auf Silizium-Germanium-Basis, mindestens einen Reinigungsschritt umfassend, nach dem Oberbegriff von Anspruch 28. Die Erfindung betrifft weiter
Vakuumbehandlungssysteme nach den Oberbegriffen von Anspruch 29 bzw. 30.
Grundsätzlich bezieht sich die vorliegende Erfindung auf die Herstellung von Teilen, an welche die gleichen Forderungen zu stellen sind, wie bei der Beschichtung von Teilen mit einer epitaktischen Schicht. Aus der WO98/58099 (beiliegend) derselben Anmelderin sind sowohl Verfahren wie auch Systeme der eingangs genannten Art bekannt. Dabei ist dort, als plasmaunterstützter Behandlungsschritt, bei dem in einen Prozessraum eingelassenes Reaktivgas oder -gasgemisch mittels einer niederenergetischen Plasmaentladung mit Ionen der Ionenenergie E an der Oberfläche des Teiles von
0 eV < E < 15 eV
aktiviert wird, ausführlich und ausschliesslich die Beschichtung eines Werkstückes mit für Epitaxie genügender Qualität beschrieben und beansprucht. Das durch die niederenergetische Plasmaentladung erzeugte Plasma setzt sich im wesentlichen aus Elektronen, ein- und mehrfach geladenen Ionen und Neutralteilchen (Atomen, dissoziierten Molekülen) sowie angeregten, aber nichtionisierten
Neutralteilchen zusammen. Charakterisierend für das hierin beschriebene Plasma ist der Energiebereich
0 eV < E < 15 eV
der einfach ionisierten Ionen. 15 eV stellt die sogenannte Sputterschwelle dar, ab der bei Einwirken der Ionen auf das Substrat dort Schäden auftreten können. Elektronen tragen selbst bis 100 eV im wesentlichen nur zur Aufheizung des Substrats bei. Es ist weiter bekannt, dass insbesondere bei der - wie noch zu erläutern sein wird - vorliegend besonders bevorzugten DC-Niederspannungs-
Plasmaerzeugungsanordnung der oben erwähnte Energiebereich der einfach geladenen Ionen gleichzeitig den Energiebereich der im Plasma vorhandenen Neutralteilchen sowie angeregten Neutralteilchen nach oben begrenzt. Grund dafür ist, dass die Neutralteilchen ihren wesentlichen Energiebeitrag durch Stösse mit den Ionen erhalten.
Ebenfalls in der WO98/58099 ist für die erwähnte Beschichtung, ein Vakuumbehandlungssystem ausführlich erläutert mit einer Vakuumkammer, darin einem
Werkstückträger, einer Plasmaerzeugungsanordnung zur Erzeugung eines Plasmas in der Kammer sowie einer mit einer Gastankanordnung mit mindestens einem Reaktivgas verbundenen Gaseinlassanordnung in der Kammer. Die Plasmaerzeugungsanordnung ist spezifisch als
Niederspannungs-Plasmaerzeugungsanordnung beschrieben: Eine Kathodenkammer kommuniziert über eine Blende mit dem Prozessraum. In der Kathodenkammer ist eine Heisskathode montiert, im Prozessraum eine Anodenanordnung. Der Werkstückträger, räumlich nach unten orientiert, ist elektrisch isoliert angeordnet.
Das Prinzip dieser Niederspannungs-Plas aerzeugungsanord- nung ist gegenüber ebenfalls vorbekannten anderen Plasmaerzeugungsverfahren (z. B. Mikrowellenplasma) für die hierin beschriebenen Verfahren weitaus bevorzugt, weil sie die oben aufgeführte Energiecharakteristik in bevorzugter Weise zu erfüllen in der Lage ist.
Einerseits geht somit die vorliegende Erfindung von Verfahren und einem System dieser Art aus, anderseits soll u.a. das in der WO98/58099 beschriebene Verfahren auch gemäss vorliegender Anmeldung realisiert werden, wenn gleich - wie noch zu erläutern sein wird - zusätzliche Kriterien erfüllend, gemäss Aufgabe der vorliegenden Erfindung. Es ist nämlich Aufgabe der vorliegenden Erfindung, ein Verfahren bzw. ein System obgenannter Art zu schaffen, dessen Industrietauglichkeit wesentlich erhöht ist im Sinne wirtschaftlicher Kriterien, insbesondere verlängerter Standzeiten und hoher Durchsätze.
Während der geforderten hohen Standzeiten muss somit die für die Verfahren obgenannter Art einzuhaltende hohe Systemreinheit gewährleistet sein. Weiter soll eine optimale Integrierbarkeit der Verfahrensschritte einerseits, des Systems anderseits in den automatisierten Fertigungsablauf erreicht werden.
Diese Aufgabe wird am Verfahren eingangs genannter Art dadurch gelöst, dass die Prozessatmosphäre während dem plasmaunterstützten Behandlungsschritt von der Innenwandung eines an Umgebung liegenden Vakuumrezipienten getrennt wird. Die' grundsätzliche Erkenntnis ist dabei, dass eine funktionale Trennung von Strukturen, welche gegenüber ümgebungsdruck die erforderlichen vakuumtechnischen Druckverhältnisse sicherstellen einerseits, und von Strukturen, die dem Behandlungsprozess direkt ausgesetzt sind anderseits, die obgenannte Aufgabe löst.
Gemäss der WO98/58099 liegt die Innenfläche der Vakuumkammer, üblicherweise aus rostfreiem Stahl bzw. Inox gefertigt, unmittelbar an der Prozessatmosphäre an. Während des plasmaunterstützten Behandlungsschrittes, dort speziell der Beschichtung eines Werkstückes bzw. Teiles mit der niederenergetischen Plasmaentladung, heizt sich die Vakuumkammerwand und damit die Innenfläche auf. Aufgrund verschiedener Effekte, wie z.B. dem Absorptionsverhalten der Innenfläche während vorangegangenen Prozess- Expositionen, führt dies, sofern in der industriellen Fertigung eingesetzt, zu einer nicht tolerablen Kontamination der Behandlungsschritt-Prozessatmosphäre bzw. zur Bildung von nicht tolerablen Restgas-Partialdrucken . Wir verstehen dabei unter Restgas in einer Prozessatmosphäre diejenigen Gasanteile, die weder vom Plasmaentladungs-Arbeitsgas, wie beispielsweise Argon, noch vom eingelassenen Reaktivgas bzw. Reaktivgasgemisch noch von deren gasförmigen Reaktionsprodukten herrühren. Durch das erfindungsgemässe Vorgehen wird es nun möglich, die Prozessbeeinflussung durch die Vakuumrezipientenwand zu minimalisieren.
Das erfindungsgemässe Verfahren wird nach dem Wortlaut von Anspruch 2 in weitaus bevorzugter Art und Weise (a) für das Beschichten des Teiles oder (b) das Verändern der Materialzusammensetzung des Teiles bis zu einer vorgegebenen Eindringtiefe, oder (c) zum Ätzen der Oberfläche des Teiles, wie insbesondere zu dessen Strukturätzen, eingesetzt. In allen erwähnten Fällen ist die Einhaltung von Prozessbedingungen, wie sie für das Aufwachsen epitaktischer Schichten notwendig sind, im Rahmen der erfindungsgemäss angestrebten Herstellungsprozesse, unabdingbar. Dabei ist durch das erfindungsgemässe Verändern der Materialzusammensetzung gemäss (b) die Materialimplantation angesprochen in ein vorgegebenes Zielmaterial.
Im weiteren wird als erfindungsgemäss durchgeführter, plasmaunterstützter Behandlungsschritt ein Reinigungsschritt gemäss Anspruch 3 vorgeschlagen oder, zusätzlich zu einem erfindungsgemässen, plasmaunterstützten Behandlungsschritt, ein Reinigungsschritt nach Anspruch 4.
In einer bevorzugten Ausführung des erfindungsgemässen Verfahrens wird gemäss Wortlaut von Anspruch 5 ein virtuelles Substrat hergestellt. Als virtuelles Substrat bezeichnet man einen Halbleiter-Wafer, der, anders als ein Wafer aus durchgängig einkristallinem Halbleitermaterial, einen besonderen Schichtaufbau aufweist, aber funktionell ebenfalls als Ausgangsmaterial für Halbleiter-Bauelemente eingesetzt wird.
Ein Halbleitermaterial „A", beispielsweise einkristallines Silizium in Form eines Wafers, dient als Ausgangssubstrat. Darauf wird eine Pufferschicht aufgebracht, die aus bevorzugt kontinuierlich sich ändernden Anteilen von Halbleiter „A" und einem weiteren Halbleiter „B" besteht, wobei normalerweise von einem hohen Anteil „A" und wenig „B" hin zu hohem Anteil „B" und wenig ,,A" vorgegangen wird. Dies wird als „gradierte Pufferschicht" bezeichnet. Die Struktur dieser Pufferschicht ist voller Defekte. Auf der Pufferschicht wird eine Deckschicht aufgewachsen mit einer Zusammensetzung, die im wesentlichen derjenigen der obersten Pufferschichtzone entspricht. Zweck ist, eine defektarme, versetzungsfreie Mischkristallschicht zu erzielen. Diese drei Bestandteile Basis bzw. Substrat, Puffer- und Deckschicht bilden das virtuelle Substrat. Wie dem Fachmann geläufig, ist auch das Aufbringen weiterer Zwischenschichten möglich. Auf dem virtuellen Substrat wird die eigentliche Nutzschicht appliziert mit der Zusammensetzung, die für die zu erzielenden Eigenschaften des Halbleitermaterials erforderlich ist. Als Nutzschichtmaterial kann wiederum eine Mischung zweier Halbleiter eingesetzt werden, aber auch eine Schicht aus reinem Halbleiter, beispielsweise „B". Diese Schicht ist in der Regel so dünn, dass keine Versetzungen daran auftreten, sondern der Stress in dieser Schicht erhalten bleibt (band gap engineering) . Das Aufwachsen dieser Nutzschicht kann mit dem Aufbau des virtuellen Substrates kombiniert werden, es können aber auch vorgefertigte virtuelle Substrate nachmals mit der Nutzschicht versehen werden. Erfindungsgemäss und gemäss Wortlaut von Anspruch 5 wird die Basis bzw. das genannte Substrat erst einer plasmaunterstützten Reinigung unterworfen, im Unterschied zu bisherigen Verfahren, bei welchen, im Rahmen der Fertigung virtueller Substrate, Nassreinigungen eingesetzt wurden. Danach wird die hetero-epitaktische Pufferschicht abgelegt sowie, falls erforderlich, die erwähnte Deckschicht. Gegebenenfalls wird dann erfindungsgemäss auch die zu nutzende Nutzschicht abgelegt, oder nach Ablegen der Pufferschicht, übergehend in die Deckschicht, das eigentlich fertig gestellte virtuelle Substrat für ein später zu erfolgendes Nutzschicht-Ablegen bereitgestellt.
Es sei bereits hier darauf hingewiesen, dass im Rahmen bekannter Fertigungsverfahren für virtuelle Substrate (darunter MBE - olecular beam epitaxy, UHVCVD - ultra high vacuum CVD, ALD - atomic layer deposition u. a.) der Ersatz der dabei eingesetzten nasschemischen Reinigungsschritte durch einen plasmaunterstützten Reinigungsschritt in niederenergetischem Plasma für sich auch als erfinderisch erachtet wird und ganz wesentliche fertigungstechnische Vorteile erbringt. Es sei diesbezüglich auf das Fertigungsverfahren nach Anspruch 28 hingewiesen.
Es ist generell, im Zuge der angesprochenen industriellen Herstellung vielfach notwendig, die nachmals durch die erwähnten plasmaunterstützten Behandlungsschritte (a) , (b) , (c) zu behandelnden Teile erst einer Reinigung zu unterziehen, beispielsweise von Umgebungsatmosphäre- bedingten Oberflächen-Kontaminationen.
Weiterhin kann nach jedem der erwähnten Plasmabehandlungsschritte (a) , (b) , (c) ein
Reinigungsschritt notwendig sein, z. B. ein Reinigen von beim Ätzen freigesetzten Kontaminations-Materialien bzw. - Gasen.
In einer Ausführungsform des Reinigungsverfahrens können dabei Reaktivgase (Wasserstoff, Wasserstoff-
Edelgasgemische) zur Anwendung gelangen, die die für die Umkapselung der Prozessatmosphäre eingesetzten Materialien beeinträchtigen können.
Deshalb wird auch gemäss Anspruch 4 vorgeschlagen, für solche Reinigungsschritte entweder eine relativ kostengünstige metallische Umkapselung der Prozessatmosphäre vorzusehen, oder die
Reinigungsprozessatmosphäre direkt durch die Innenwand des an Umgebung liegenden Vakuumrezipienten zu begrenzen.
Für die oben erwähnten Behandlungsschritte (a) , (b) , (c) der Teile werden nämlich, wie nachmals noch ausgeführt, nicht metallische Begrenzungen der Prozessatmosphäre weitaus bevorzugt, d.h. Materialien, die gegen die eingesetzten plasmaaktivierten Reaktivgase inert sind. Im weiteren muss aber auch bei diesem Reinigungsschritt sichergestellt werden, dass die gereinigten Oberflächen des Teiles der nachmaligen Behandlung gleichermassen unbeeinträchtigt zugänglich sind, wie wenn diese Behandlung das Ablegen epitaktischer Schichten wäre. Deshalb wird auch beim plasmachemischen Reinigungsschritt des Teiles das oben erwähnte niederenergetische Plasma mit der spezifizierten Ionenenergie an der Oberfläche des Teiles eingesetzt.
Im weiteren wird gemäss Wortlaut von Anspruch 6 vorgeschlagen, dass man im betrachteten Prozessraum hintereinander, d.h. in serieller zeitlicher Abfolge, anfallende Teile mindestens einem der erwähnten plasmaunterstützten Behandlungsschritte unterzieht und nach Durchführung einer vorgegebenen Anzahl solcher
Behandlungsschritte, im erwähnten betrachteten Prozessraum, einen weiteren plasmaunterstützten Behandlungsschritt vornimmt, nämlich einen Prozessraum-Reinigungsschritt, ohne dass ein Teil in den Prozessraum eingeführt wäre oder eine Substratattrappe („Dummy") Verwendung findet. Dieser
Prozessraumreinigungsschritt wird bevorzugt in mindestens zwei Teilschritten vollzogen: Erst Ätzen, dann Reinigen von Ätz-Resten, letzteres bevorzugt in einem Plasma, enthaltend Wasserstoff, Edelgas oder eine Mischung daraus.
Im Lichte der erfindungsgemäss gestellten Aufgabe, insbesondere mit Blick auf die Realisation langer Standzeiten, wird mithin ein betrachteter Prozessraum, nach Durchlaufen einer vorgegebenen Anzahl Behandlungsschritte, plasmaunterstützt gereinigt. Normalerweise werden dabei in einem Prozessraum Teile entweder gemäss (a) oder (b) oder (c) bearbeitet oder gereinigt, sei dies nach Wortlaut von Anspruch 3, oder sei dies gegebenenfalls gemäss Anspruch 4. Es kann aber durchaus auch der Fall vorliegen, wo in einem einzigen betrachteten Prozessraum, in programmierter Abfolge sequentiell, beschichtet, geätzt oder eine
Veränderung der Materialzusammensetzung oder, dann gemäss Anspruch 3, eine Reinigung des Teiles vorgenommen wird.
Die erfindungsgemäss vorgesehene Prozessatmosphären- Trennung von der Vakuumrezipientenwand ermöglicht es, den Prozessraum oder auch das Teil einer plasmachemischen
Reinigung zu unterziehen, unter Einsatz von Reaktivgasen, denen die Vakuumkammerwand nicht ausgesetzt werden darf. Die Tatsache, dass ein betrachteter Prozessraum nach einer vorgegebenen oder vorgebbaren Anzahl Behandlungsschritte von Teilen der plasmaunterstützten Selbstreinigung unterzogen werden kann und danach unmittelbar wieder für die Behandlung von Teilen zur Verfügung steht, ergibt eine drastische Erhöhung der Standzeit für den Durchlaufbetrieb. Dies z.B. verglichen mit dem Fall, dass der Prozessraum gemäss der WO98/58099 zu reinigen ist.
Die bisherigen Ausführungen zusammenfassend, ergibt sich mithin, dass mit dem erfindungsgemässen
Herstellungsprozess, im Sinne für Epitaxie zu stellender Qualitätsanforderungen, sowohl eine Beschichtung, eine Änderung der Materialzusammensetzung des Teiles, Strukturätzen am Teil oder dessen Reinigung unter Vermeidung nasschemischer Reinigungsschritte vorgenommen werden kann, und dass zwischen solchen Behandlungsschritten eine Selbstreinigung des Prozessraumes vorgenommen werden kann, lediglich durch Ändern von Prozessparametern, insbesondere der eingelassenen Reaktivgase. Dasselbe Verfahren kann auch zur Reinigung der Teile im Zuge ihrer erfindungsgemässen Herstellung vorgenommen werden, indem die Abtrennung von Prozessatmosphäre und Vakuumrezipient geändert wird bzw. weggelassen wird.
Dem Wortlaut von Anspruch 7 folgend, wird bevorzugt das Teil örtlich getrennt mindestens zwei der erwähnten plasmaunterstützen Behandlungsschritte unterzogen und der Transport dazwischen in Vakuum vorgenommen. Dem Wortlaut von Anspruch 8 folgend, erfolgt dies bevorzugt in einer linearen Bewegung von Behandlungsschritt zu Behandlungsschritt, im Sinne einer Linearanlage oder entlang einer Kreisbahn, im Sinne einer Zirkularanlage, bekannt unter dem Ausdruck „Cluster-Anlage" . Dort werden um einen Zirkulartransport gruppierte Behandlungsstationen, programmiert, ggf. frei programmierbar, durch den Zirkular- Transport mit Teilen bzw. Werkstücken bedient.
In einer weitaus bevorzugten Ausführungsform - Anspruch 9 - des erfindungsgemässen Verfahrens wird die Abtrennung zwischen Prozessatmosphäre und Vakuumrezipientenwand-
Oberfläche durch Begrenzung des Prozessraumes mittels einer im Neuzustand chemisch gegen das plasmaaktivierte Reaktivgas oder -Gasgemisch inerten Oberfläche vorgenommen, vorzugsweise mittels einer dielektrischen oder graphitischen Oberfläche.
Während des Betriebes, also insbesondere Beschichten (a) , Verändern der Materialzύsammensetzung (b) oder Ätzen (c) , insbesondere Strukturätzen oder auch Reinigen, wird auf diese Oberfläche jedenfalls Material abgesetzt. Dieses Material ist aber nicht oder nur tolerabel prozesskontaminierend. Gerade dann, wenn im selben betrachteten Prozessraum gleiche Behandlungsschritte an in Serie anfallenden Teilen durchgeführt werden, ist es gar erwünscht, die im Neuzustand wie erwähnt inerte, vorzugsweise dielektrische oder graphitischen Trennoberfläche mit den erwähnten Reaktionsprodukt- Materialien zu beschichten, allerdings nur so weit, als die resultierende Beschichtung gesichert an der erwähnten Oberfläche auch haftet.
Das Vorsehen der geforderten inerten, vorzugsweise dielektrischen Oberfläche kann so erfolgen, dass unmittelbar auf der Innenfläche des Vakuumrezipienten eine eine inerte, vorzugsweise dielektrische Oberfläche bildende Struktur angebracht wird, sei dies im Sinne einer
Beschichtung mit derartigem Material oder durch Montage selbsttragender Wandungspartien mit einer solchen innengekehrten Oberfläche direkt auf die Vakuumrezipienten- Innenwand.
In einer weitaus bevorzugten Ausführungsform wird aber die inerte Oberfläche, gemäss Anspruch 10, mindestens entlang überwiegender Flächenabschnitte von der Innenwandung des Vakuumrezipienten mit einem Zwischenraum beabstandet. Dieses Vorgehen hat unter dem Aspekt der Auswechselbarkeit einer Trennwandstruktur, auch im Sinne der Service- Freundlichkeit, und unter dem Aspekt gezielter Vorgabe der Oberflächentemperaturen wesentliche Vorteile.
Gemäss Wortlaut von Anspruch 11 können der Prozessraum und der erwähnte Zwischenraum gleich oder unterschiedlich gepumpt werden. Unter anderem hiermit kann es gegebenenfalls möglich sein, im Zwischenraum eine Atmosphäre zu realisieren, die erwünschte Wärmeleitungsverhältnisse zwischen Vakuumrezipientwandung und der erwähnten Oberfläche ergibt. Wird dabei in diesem Zwischenraum ein Gas mit hoher Wärmeleitungskapazität, wie beispielsweise Helium, eingelassen und/oder mindestens zeitweise in diesem Zwischenraum ein höherer Druck als im Prozessraum realisiert, so wird die Wärmeleitung in diesem Zwischenraum gegenüber derjenigen im Prozessraum erhöht, was ermöglichen kann, die Oberfläche auf erwünschten Temperaturen zu halten. Es sei daran erinnert, dass die Wärmeleitung unterhalb eines bestimmten Vakuumdruckes mit dem Druck abnimmt und selbstverständlich von der Wärmekapazität des betroffenen enthaltenen Gases abhängt.
Bevorzugte Materialien für die Oberfläche im Neuzustand sind in Anspruch 12 spezifiziert. Dabei sei betont, dass, wenn wir von der Oberfläche aus inertem, dabei bevorzugt aus dielektrischem Material sprechen, dies vorerst ausschliesslich das Oberflächenmaterial der dem Prozessraum zugewandten Oberfläche anspricht. Bevorzugt wird dabei diese Oberfläche durch diejenige einer Trennwand gebildet. Diese kann dabei beschichtet sein, so z.B. gegen den Vakuumrezipienten hingewandt metallisch ausgebildet sein, mit dem Prozessraum bzw. der Prozessatmosphäre zugewandter inerter Oberfläche. In diesem Sinne kann also gemäss Wortlaut von Anspruch 12 die Oberfläche durch eine Schichtstruktur gebildet sein, was auch den Einsatz diamantähnlicher Materialien oder von Diamant ermöglicht. Es ist bekannt, dass bei plasmachemischen Verfahren grundsätzlich die Beschichtungsrate mit zunehmender Temperatur (und der beaufschlagten Plasmaintensität) zunimmt. Wie oben erwähnt wurde, kann es höchst erwünscht sein, die dem Prozessraum zugewandte Oberfläche mit dem jeweiligen Prozess entsprechenden Reaktionsprodukten des plasmaaktivierten Reaktivgases zu belegen. Dabei muss aber der Vermeidung jeglichen Abblätterns einer solchen Belegung hohe Aufmerksamkeit geschenkt werden. Diese Erkenntnisse können dahingehend umgesetzt werden, dass durch Steuerung der Temperatur der erwähnten Oberfläche, während der Realisation des plasmaunterstützten Behandlungsschrittes eine Belegungsrate der erwähnten Oberfläche minimalisiert wird. Damit ist z.B. die Möglichkeit gegeben, diese Störbeschichtungsrate wesentlich kleiner zu wählen als die Wirkungsrate am Teil und somit den Prozessraum erst nach einer relativ grossen Anzahl erfolgter Behandlungen von Teilen der Selbstreinigung zu unterziehen. Dort wird die erwähnte Belegung entfernt, bevor ihre Dicke z.B. bezüglich Abblätterns einen kritischen Wert erreicht.
Als Wirkungsrate am Teil verstehen wir dabei, je nach Behandlung, Beschichtungsrate, Eindringrate, Ätzrate, Reinigungsrate .
In Sinne der erfindungsgemäss zu lösenden Aufgabe ist es auch, dem Automationsgrad des Verfahrens bzw. Systems hohe Beachtung zu schenken. Mit Blick darauf wird nach dem Wortlaut von Anspruch 13 vorgeschlagen, dass in der erwähnten Oberfläche eine Zuführöffnung für das Teil vorgesehen wird und die Zuführöffnung für die Behandlung des Teiles mit dem Teil und/oder mit einem Träger für das Teil verschlossen wird, mindestens in dem Ausmass, als dass Austreten von Ladungsträgern aus dem Prozessraum gehindert werden.
In einer weiteren bevorzugten Ausführungsform wird die niederenergetische Plasmaentladung gemäss Anspruch 14 mit einer Elektronenquelle mit Elektronenenergie < 100 eV, bevorzugt < 50 eV eingesetzt, insbesondere bevorzugt mit einer DC-Entladung realisiert, dabei bevorzugterweise, gemäss Anspruch 15 mittels einer thermionischen Kathode, vorzugsweise einer direkt beheizten. Weitaus bevorzugt ist weiter die behandelte Oberfläche des Teiles direkt, unmittelbar dem Plasma ausgesetzt.
Gemäss Anspruch 16 werden weiter bevorzugt im Prozessraum, für die Plasmaentladung, mindestens zwei örtlich versetzte Anoden vorgesehen. Sie sind bevorzugt je getrennt heizbar. Durch Steuerung der daran angelegten elektrischen Potentiale und/oder ihrer Temperatur kann die Plasmadichteverteilung im Prozessraum dynamisch und/oder statisch eingestellt bzw. gesteuert werden. Wir verstehen unter einer statischen Einstellung eine Einstellung, die eingestellt und mindestens während einem Behandlungsschritt stationär belassen wird. Unter einer dynamischen Einstellung verstehen wir, dass während des Behandlungsschrittes mindestens einer der erwähnten Parameter in der Zeit verändert wird, sei dies im Sinne eines Wobbeins, periodisch, oder aperiodisch entsprechend vorgegebenen Kurvenformen oszillierend, oder in Form einer beliebigen linearen oder nicht-linearen Rampenfunktion während des Behandlungsschrittes. Insbesondere bei letzterwähntem Vorgehen wird es möglich, den sich während eines Behandlungsschrittes im Prozessraum ändernden Verhältnissen Rechnung zu tragen und diese kompensierend aufzufangen oder auch eine erwünschte zeitliche Änderung der Plasmadichte an der Teiloberfläche zu erzielen.
Im weiteren wird, nach dem Wortlaut von Anspruch 17 bevorzugterweise, im Prozessraum ein Magnetfeld erzeugt, welches, im gleichen Sinne wie die eben erwähnten Parameter Anodenpotential und/oder Anodentemperatur stationär oder dynamisch, die Plasmadichteverteilung an der Teiloberfläche einstellt oder steuert. Durch gesteuerte, zeitliche Veränderung des Magnetfeldes kann die
Plasmadichteverteilung entlang der Teiloberfläche geändert werden, insbesondere bevorzugt so, wie wenn sich das Teil periodisch in einem stationär verteilten Plasma bewegt. ■ Durch solches Wobbein des Magnetfeldes und oszillierendes
Verändern der Plasmadichteverteilung entlang der Oberfläche des stationär gehaltenen Teils wird derselbe Effekt erzielt, wie wenn das Teil oszillierend oder rotierend bewegt würde, jedoch insbesondere vakuumtechnisch vorteilhaft ohne bewegte Teile.
Dadurch, dass - gemäss Anspruch 18 - das Reaktivgas verteilt in die Prozessatmosphäre eingelassen wird, dabei vorzugsweise mit einer Einströmungsrichtung im wesentlichen parallel zur Teiloberfläche und, weiter bevorzugt, mit von der Teiloberfläche äquidistanten Eindüsungsstellen, wird eine optimale Exposition der Oberfläche des Teiles dem plasmaaktivierten Reaktivgas erreicht und eine optimale Ausnützung eingelassenen frischen Reaktivgases, im Sinne eines inversen Wirkungsgrades, nämlich des Quotienten von pro Zeiteinheit eingelassenen frischen Reaktivgases zu pro Zeiteinheit abgepumptem, weiterhin frischem Reaktivgas.
Zum Erreichen von Wirkungen durch die erwähnten Behandlungsschritte, insbesondere gemäss (a) , (b) , (c) oder dem Reinigen des Teiles gemäss Anspruch 3, mit einer
Qualität, wie sie für das Ablegen epitaktischer Schichten erforderlich ist, wird der Partialdruck von Restgasen, wie oben definiert, auf höchstens 10~8 mbar, vorzugsweise auf höchstens 10~9 mbar gehalten, gemäss Anspruch 19.
Der erwähnte, mindestens eine plasmaunterstützte
Behandlungsschritt am erfindungsgemässen Verfahren ist in einer bevorzugten ersten Ausführungsform das Ablegen einer homo- oder hetero-epitaktischen Schicht. Eine solche Schicht wird weiter bevorzugt, nach Anspruch 21, als Silizium-Germanium-Schicht abgelegt.
Im weiteren wird, nach Anspruch 22, als Teil, ein im wesentlichen scheibenförmiges Teil hergestellt.
Gemäss Wortlaut von Anspruch 23 ist in einer weiteren bevorzugten Ausführungsform das der Behandlung unterworfene Teil ein Silizium-Wafer oder ein Wafer aus einem
Verbindunghalbleiter, vorzugweise aus Galliumarsenid, Indiumphosphid, Siliziumkarbid oder aus Glas. Anspruch 24 spezifiziert am erfindungsgemässen Herstellungsverfahren bevorzugt abgelegte Schichtmaterialien.
In einer äusserst wesentlichen Ausführungsform des erfindungsgemässen Herstellungsverfahrens gemäss Anspruch 25 werden virtuelle Substrate oben erwähnter Art, die bevorzugterweise Silizium-Germanium enthalten, hergestellt. In einer weiteren bevorzugten Ausführungsform des erfindungsgemässen Herstellungsverfahrens, gemäss Anspruch 26, werden Teile, dabei insbesondere die erwähnten im wesentlichen flächigen bzw. scheibenförmigen Teile, mit Durchmessern von mindestens 150 mm, bevorzugterweise von mindestens 200 mm, vorzugsweise gar von mindestens 300 mm hergestellt.
In einer weiteren bevorzugten Ausführungsform des erfindungsgemässen Herstellungsverfahrens, gemäss Anspruch 27, wird das Beschichten von Teilen mit einer
Beschichtungsrate von mindestens 60 nm/Min. realisiert.
Im Zusammenhang mit virtuellen Substraten, dabei insbesondere auf Silizium-Germanium-Basis werden heute üblicherweise nasschemische Reinigungsverfahren eingesetzt, sei dies, um die Oberfläche eines fertiggestellten virtuellen Substrates für weiteren Bearbeitungsschritte zu reinigen, sei dies, um die Oberfläche eines bereits epitaktisch beschichteten Substrates für die Weiterbereitung eines virtuellen Substrates zu reinigen, sei dies, um die Unterlage, geeignet für epitaktisches
Wachstum vor Aufwachsen der Pufferschicht, zu reinigen. Im Rahmen der vorliegenden Erfindung wurde nun erkannt, dass durch Einsatz des erwähnten niederenergetischen Plasmas für einen plasmaunterstützten Reinigungsschritt, die Reinigung so realisiert wird, dass die nachfolgende Realisation der Fertigung virtueller Substrate oder der Fertigung von Bauteilen, ausgehend von virtuellen Substraten, problemlos möglich ist. Einerseits ergibt sich dadurch, d.h. die Umgehung von nasschemischen Reinigungsverfahren durch Einsatz eines plasmaunterstützten Reinigungsverfahrens, grundsätzlich ein eminenter Vorteil, und zudem ermöglicht diese Erkenntnis die Integration einer solchen plasmaunterstützten Reinigung in das Fertigungsverfahren virtueller Substrate oder darauf basierter Bauteile. Damit wird nach dem Wortlaut von Anspruch 28 ein Verfahren zur Herstellung eines virtuellen Substrates oder eines Bauteils auf Basis eines virtuellen Substrates, vorzugsweise auf Silizium-Germanium-Basis, vorgeschlagen, welches mindestens einen Reinigungsschritt umfasst, der plasmaunterstützt ist und bei dem das Werkstück in einen Prozessraum eingelassenem Reaktivgas oder -gasgemisch ausgesetzt wird. Dieses wird mittels einer niederenergetischen Plasmaentladung mit Ionenenergie an der Oberfläche des Teiles von höchsten 15 eV aktiviert.
Den überraschenden Erfolg, den die Erfinder mit diesem Trockenreinigungsverfahren im Zusammenhang mit den hochdiffizilen Oberflächen erzielten, wird dem Einsatz des niederenergetischen Plasmas, wie definiert, zugeschrieben.
In den Ansprüchen 29 und 30 wird ein erfindungsgemässes Vakuumbehandlungssystem spezifiziert, das sich insbesondere eignet, das Verfahren nach einem der vorerwähnten Aspekte durchzuführen: Nach Anspruch 29 ist die Prozesskammer- Innenwandoberfläche im Neuzustand aus einem gegen das plasmaaktivierte Reaktivgas oder -Gasgemisch inerten Material, vorzugsweise aus dielektrischen Material realisiert, nach dem Wortlaut von Anspruch 30 wird die den Prozessraum umfassende Prozesskammer von der Vakuumkammerwandung nach innen abgesetzt, d.h. beabstandet realisiert. Bevorzugte Ausführungsformen des erfindungsgemässen Vakuumbehandlungssystems sind anschliessend in den Ansprüchen 42 bis 60 spezifiziert.
Die Erfindung wird nun anhand von Figuren erläutert. Es zeigen:
Fig. 1 schematisch, eine erste Ausführungsvariante eines erfindungsgemässen Prozessmoduls zur Durchführung der erfindungsgemässen Verfahren;
Fig. 2 in Darstellung analog zu derjenigen von Fig. 1, eine bevorzugte Äusführungsvariante des Prozessmoduls gemäss Fig. 1 zur Durchführung der erfindungsgemässen Verfahren;
Fig. 3 in einer Darstellung analog zu den Fig. 1 bzw. 2, ein weiterer erfindungsge ässer Prozessmodultyp zur Durchführung erfindungsgemässer Verfahren, nämlich erfindungsgemässer Reinigung;
Fig. 4 in Darstellung analog zu den Fig. 1 bis 3, eine Abwandlung des in Fig. 3 dargestellten Prozessmoduls zur Durchführung erfindungsgemässer Verfahren, nämlich erfindungsge ässer Reinigung;
Fig. 5 vereinfacht, eine bevorzugte Ausführungsform eines erfindungsgemässen Prozessmoduls gemäss Fig. 2, wandelbar in ein Prozessmodul gemäss Fig. 3 oder 4 zur Durchführung der erfindungsgemässen Verfahren;
Fig. 6 mit Bezug auf eine Blendenachse A des Prozessmoduls gemäss Fig. 5, die durch Steuerung bewirkte örtliche und zeitliche Modulation zur Achse A paralleler Magnetfeldkomponenten über einer Ebene E, senkrecht zur Blendenachse A;
Fig. 7 schematisch die Durchlaufbeschichtung eines
Prozessmoduls gemäss einer der Fig. 1 bis 5 mit Werkstücken und, über der Zeitachse, dessen
Selbstreinigung nach einer vorgegebenen Anzahl durchgeführter Behandlungsschritte oder nach Bedarf;
Fig. 8 die Kombination von Prozessmodulen nach den Fig. 1 bis 5 in einer Inline-Durchlaufanläge, und
Fig. 9 in Aufsicht und vereinfacht, die Kombination von Prozessmodulen gemäss den Fig. 1 bis 5 zu einer Zirkulär- bzw. Cluster-Anlage, insbesondere für die erfindungsgemässe Herstellung virtueller Substrate bzw. von Bauteilen auf Basis virtueller
Substrate.
In Fig. 1 ist schematisch ein erfindungsgemässes Prozessmodul Typ I dargestellt. Eine Kammerwand 1 eines Vakuumrezipienten 3 umschliesst einen Prozessraum PR, worin ein Plasma erzeugt wird. Im Prozessraum PR ist ein
Substratträger 5 vorgesehen, und es kommuniziert eine Zuführleitung 7 einerseits mit dem Prozessraum PR, anderseits mit einer Reaktivgas-Tankanordnung 9. Der Prozessraum PR wird über einen Pumpanschluss 11, wie schematisch mit der Vakuumpumpe 13 dargestellt, auf den zur Durchführung des erfindungsgemässen Herstellungsverfahrens geforderten Druck von höchsten 10"8 mbar, bevorzugterweise von höchstens 10"9 mbar, abgepumpt. Der Aufbau des Rezipienten genügt UHV-Bedingungen (z. B. metallisch gedichteter Vakuumkessel, ausheizbar) . Der weitaus überwiegende Oberflächenbereich der dem Prozessraum PR zugewandten Oberfläche der Kammerwand 1, welche üblicherweise aus rostfreiem Stahl bzw. Inox besteht, ist aus einem gegenüber dem plasmaaktivierten Reaktivgas in Tank 9 inerten Material gefertigt. Gemäss der in Fig. 1 dargestellten Ausführungsform des Typ I-Prozessmoduls, ist hierzu die Kammerwand 1 mit dem erwähnten inerten Material innen beschichtet, oder es sind an der Kammerwand 1 innen Wandungspartien mindestens mit Innen-Oberflächen aus dem erwähnten inerten Material montiert. Diese Beschichtung bzw. diese Inertmaterial-Oberflächen sind in Fig. 1 mit 15 bezeichnet. Nach Abpumpen des Prozessraumes PR auf den erwähnten geforderten Restgas-Partialdruck wird unter Einlass eines Arbeitsgases, wie beispielsweise von Argon, im Prozessraum PR das erfindungsgemäss geforderte niederenergetische Plasma erzeugt, welches im Bereich des Substratträgers 5 bzw. eines darauf abgelegten Teiles in Ionenenergien E von
0 eV < E < 15 eV
resultiert. Bevorzugterweise wird als Material der dem Prozessraum PR zugewandten Oberfläche 15 ein dielektrisches, dabei bevorzugterweise mindestens eines der in nachfolgender Gruppe G aufgeführten Materialien eingesetzt:
Quarz, Graphit, Siliziumkarbid, Siliziumnitrid, Aluminiumoxid, Titanoxid, Tantaloxid, Nioboxid, Zirkonoxid, diamantähnlicher Kohlenstoff oder Diamant, letztere Oberflächenmaterialen als Schichtmaterialien eingesetzt. In Fig. 2 ist in einer Darstellung analog zu derjenigen von Fig. 1, weiterhin schematisch, eine bevorzugte Ausführungsform des erfindungsgemässen Typ I-Prozessmoduls gemäss Fig. 1 dargestellt. Es sind darin für die bereits in Fig. 1 beschriebenen Teile dieselben Bezugszeichen verwendet. Im Unterschied zur Ausführungsform gemäss Fig. 1 ist bei der Ausführungsform gemäss Fig. 2 der Prozessraum PR durch eine entlang überwiegender Abschnitte der Kammerwand 1, weiterhin bevorzugt aus rostfreiem Stahl bzw. Inox, beabstandete Prozessraumwandung 14 begrenzt.
Mindestens ihre dem Prozessraum PR zugewandte Oberfläche 15a ist aus dem gegenüber plasmaaktivierten Reaktivgas in der Tankanordnung 9 inerten Material, vorzugsweise aus dielektrischem Material, dabei weiterhin insbesondere bevorzugt aus mindestens einem der erwähnten Materialien der Gruppe G.
Die eigentlich eine Prozessraumummantelung innerhalb der Vakuumkammer mit Wand 1 bildende Wandung 14 kann dabei aus dem die Oberfläche 15a bildenden Material bestehen, oder es ist das die Oberfläche 15a bildende inerte Material auf einer tragenden, der Wandung 1 zugewandten Wandung (nicht dargestellt) aufgebaut, wie beispielsweise aufgeschichtet, welch letztere dann, weil dem Prozessraum PR nicht ausgesetzt, beispielsweise aus rostfreiem Stahl bzw. Inox aufgebaut sein kann. Durch den Pumpanschluss 11 bzw. die Pumpe 13 wird der Prozessraum PR auf den im Zusammenhang mit Fig. 1 erläuterten Restgaspartialdruck abgepumpt, während beispielsweise und wie in Fig. 2 gezeigt der Zwischenraum ZW zwischen Vakuumkammerwandung 1 und Ummantelung 14 über einen separaten Pumpanschluss 11a durch dieselbe oder durch eine andere Vakuumpumpe abgepumpt wird. Der Fachmann erkennt ohne weiteres, dass auch beim Einsatz derselben Pumpe 13 zum Abpumpen beider Räume, nämlich des Prozessraumes PR und des Zwischenraumes ZW, entsprechende steuerbare Drosselorgane in den zugeordneten Pumpstutzen 11 bzw. 11a eingebaut werden. Bezüglich des niederenergetischen Plasmas, welches zur Durchführung des erfindungsgemässen Verfahrens am Modul gemäss Fig. 2 eingesetzt wird, gelten die bereits im Zusammenhang mit dem in Fig. 1 erläuterten Modul gemachten Voraussetzungen. Die bei der Ausführungsform gemäss Fig. 2 vorgesehene, durch die Wandung 14 gebildete Prozessraum-Ummantelung ist bevorzugerweise im Rezipienten 3a auswechselbar gestaltet.
In Fig. 3 ist in Darstellung analog zu den Fig. 1 und 2 ein Prozessmodul des Typs IIe dargestellt, welches sich, verglichen mit dem in Fig. 2 dargestellten, lediglich dadurch unterscheidet, dass die den Prozessraum PR umschliessende Oberfläche 15b den im Zusammenhang mit dem Prozessmodul gemäss Fig. 2 erläuterten Inertheits- Anforderungen nicht genügt, und bei welchem die Wandung 14a, beispielsweise wie die Wandung 1, aus rostfreiem Stahl bzw. Inox oder einem anderen Metall gefertigt ist. Bezüglich eingestellter Restgas-Partialdrücke, Ionenenergien im Substratträgerbereich gelten die bereits zu den Fig. 1 und 2 gemachten Ausführungen, ebenfalls ist die üblicherweise metallische Wandung 14a auswechselbar, so dass der Prozessmodul-Typ IIe gemäss Fig. 3 ohne weiteres in einen Prozessmodul-Typ I gemäss Fig. 2 und umgekehrt gewandelt werden kann. Unabhängig von den daran vollzogenen Prozessen sind die Prozessmodulstrukturen gemäss den Fig. 1 bis 3 erfindungsgemäss .
In Fig. 4 ist, weiterhin in Darstellung analog zu den Fig. 1 bis 3, ein weiterer, nicht erfindungsgemässer
Prozessmodul-Typ IIne dargestellt. Im Unterschied zu den anhand von den Fig. 1 bis 3 erläuterten Prozessmodulen ist beim Typ IIne der Prozessrau durch die Prozesskammerwandung 1 begrenzt mit einer Oberfläche, die beispielsweise aus rostfreiem Stahl bzw. Inox besteht. Wird dieses in seiner Struktur nicht erfindungsgemässe Pro∑essmodul jedoch erfindungsgemäss eingesetzt, d.h. mit ihm ein erfindungsgemässes Verfahren durchgeführt oder ein solches Modul im Rahmen eines erfindungsgemässen Verfahrens eingesetzt, so gelten bezüglich erstelltem
Restgaspartialdruck und Plasma die bereits für die Module Typ I und Typ IIe erläuterten Angaben.
Es ist ohne weiteres ersichtlich, dass die Module Typ I, Typ IIe und Typ IIne durch entsprechendes Entfernen bzw. Einsetzen der entsprechenden Prozessraumummantelung 14, 15b ineinander gewandelt werden können.
In Fig. 5 ist eine bevorzugte Realisationsform des Typ I- Prozessmoduls gemäss Fig. 2 dargestellt. Dabei ist darauf hinzuweisen, dass alle vom Modul gemäss Fig. 2 ausgehenden, beim Modul gemäss Fig. 5 zusätzlich oder spezifisch bevorzugt eingesetzten Massnahmen am prinzipiellen Modul gemäss Fig. 2 einzeln oder in beliebigen Teilkombinationen eingesetzt werden können. Das in Fig. 5 dargestellte Prozessmodul Typ I in bevorzugter Ausführungsform kann, wie sich zeigen wird, ohne weiteres in ein Typ IIe-Modul oder in Typ IIne-Modul gewandelt werden. Die Rezipientenwand 101 des Prozessmoduls gemäss Fig. 5, vorzugsweise aus rostfreiem Stahl bzw. Inox gefertigt, trägt zentral, bevorzugterweise an ihrer oberen Stirnplatte 103, eine Elektronenquelle 105 zur Miterzeugung der Plasmaentladung im Prozessraum PR. Obwohl im Rahmen der erfindungsgemäss prinzipiell geforderten Ionenenergien im Substratträgerbereich auch andere Plasmen, wie beispielsweise Mikrowellenplasmen, eingesetzt werden können, wird bevorzugterweise eine Elektronenquelle wie die Elektronenquelle 105 eingesetzt, welche Elektronen mit einer Elektronenenergie von höchstens 100 eV, vorzugsweise von höchstens 50 eV, abgibt. In bevorzugter Ausführungsform wird dabei die Plasmaentladung als DC-Entladung realisiert. Die Elektronenquelle 105 gemäss Fig. 5 ist bevorzugt ausgebildet mit einer thermionischen Kathode, vorzugsweise einer direkt beheizten thermionischen Kathode 107, eingebaut in eine Kathodenkammer 109 mit elektrisch von der Rezipientenwand 101, 103 isolierter Kathodenkammerwand. Die Kathodenkammer kommuniziert über eine Blende 111 mit dem Prozessraum PR. Das Arbeitsgas, wie beispielsweise Argon, wird bevorzugterweise (nicht dargestellt) in die Kathodenkammer 109 eingelassen, u.a. um die thermionische Kathode 107 vor Einflüssen des Reaktivgases im Prozessraum PR zu schützen und eine höhere Elektronenemission zu ermöglichen.
Von der Rezipientenwand 103, 101 beabstandet und mit ihr den Zwischenraum ZW aufspannend, ist, den Prozessraum PR umschliessend, die Prozessraum-Ummantelung 113, in Analogie zu Fig. 2, vorzugsweise auswechselbar montiert. Der Prozessraum PR innerhalb der Ummantelung 113 sowie der Zwischenraum ZW werden hier über denselben Pumpanschluss 115 gepumpt, wobei gegebenenfalls unterschiedliche Pumpquerschnitte von diesem Anschluss 115 einerseits zum Zwischenraum ZW, anderseits zum Prozessraum PR führen.
Innerhalb des Prozessraumes PR wirkt eine Anodenanordnung. Diese ist, wie in Fig. 5 dargestellt, bevorzugterweise durch zwei oder mehr konzentrisch zur Blendenachse A angeordnete Anoden 117a bzw. 117b gebildet. Sie sind (nicht dargestellt) je unabhängig voneinander auf Massenpotential oder auf elektrische Anodenpotentiale führbar, die weiter bevorzugt unabhängig voneinander eingestellt werden können. Weiter bevorzugt ist die metallische Rezipientenwand 101, 103 auf Bezugspotential, vorzugsweise Massenpotential, gelegt. Die entlang der Blendenachse A versetzten Anoden 117a, 117b sind nebst unabhängig voneinander elektrisch betreibbar, bevorzugterweise (nicht dargestellt) auch unabhängig voneinander beheizbar bzw. kühlbar. Dies wird dadurch realisiert, dass in diesen Anoden
Temperiermediumsleitungen geführt sind und/oder Heizwendeln eingebaut sind.
Strichpunktiert ist in Fig. 5 der durch die bevorzugt eingesetzte Plasmaerzeugungsanordnung erzeugte Plasmastrahl PL dargestellt, mit bei V rein heuristisch eingetragener Plasmadichteverteilung, koaxial zur Blendenachse A. Durch entsprechende Beaufschlagung der Anoden 117a und 117b mit anodischen Potentialen bzw. gesteuerter Temperierung dieser Anoden kann die Plasmadichteverteilung V gezielt eingestellt werden. Im Prozessraum PR ist ein Waferhalter 119 montiert bzw. ist - wie noch zu erläutern sein wird - in den Prozessraum PR gesteuert einführbar. Obwohl es durchaus möglich ist, den Substrathalter 119, für die bevorzugte Behandlung scheibenförmiger Werkstücke 120 eine Trägerfläche 119a definierend, mit dieser Trägerfläche 119a parallel zur Blendenachse A, diesbezüglich schiefwinklig oder diesbezüglich - gemäss Fig. 5 - senkrecht, aber exzentrisch vorzusehen, wird der Waferhalter 119 mit seiner Trägerfläche 119a weitaus bevorzugt zur Achse A der Blende 111 konzentrisch angeordnet. Mittels eines externen Antriebes 121 ist der Waferhalter 119, wie mit dem Doppelpfeil F dargestellt, gegen die durch die Prozessraumummantelung 113 definierte Aufnahmeöffnung 123 hin bzw. von ihr rückholbar. Ist der Waferhalter 119 mittels des Antriebes 121 vollständig gegen den Prozessraum PR hochgefahren, so verschliesst seine Randpartie 125 die lichte Öffnung 123 der Prozessummantelung 113 mindestens so, dass Ladungsträger gehindert werden, aus dem Prozessraum PR auszutreten.
Ein wie erwähnt bevorzugt scheibenförmiges Werkstück bzw. zu behandelndes Teil wird durch ein Schlitzventil 129 auf stationäre Aufnahmestützen 126 abgelegt, während der Wafer bzw. Werkstückhalter 119 abgesenkt ist. Danach wird der Waferhalter 119 angehoben, untergreift mit seiner
Trägerfläche 119a das Werkstück bzw. den Wafer 120, hebt ihn von den stationären Stützen 126 ab und bewegt ihn hoch in den Prozessraum PR, dabei, bei Erreichen der Bearbeitungsposition, mit seiner Randfläche 125 den Prozessraum im erwähnten Ausmasse verschliessend. Die Stützen 126 sind an einer Werkstücktemperiereinrichtung 127 montiert, welche über Temperiermedium-Zu- und Ableitungen 128 Temperiermedium-beaufschlagt ist. Üblicherweise wird das eingeführte Substrat 120 über die Platte 128a beheizt. Gestrichelt ist in Fig. 5 der
Waferhalter 119 in seiner Bearbeitungsposition dargestellt.
Die Rezipientenwandung 101 und deren stirnseitige Abschlussplatten 103 bzw. 131 sind temperiert, vorzugsweise gekühlt. Hierzu ist die die Ummantelung bildende Wandung 101 als Doppelwandung ausgebildet, mit dazwischen eingebautem Temperiermediumsystem. Ebenso sind in den Stirnplatten 103 bzw. 131 Temperiermedium-Leitungssysteme eingebaut .
Ausserhalb des Vakuumrezipienten sind Helmholtz-Spulen 133 sowie verteilte Umlenkspulen 135 montiert. Mittels der Helmholtz-Spulen 133 ein im wesentlichen zur Achse A paralleles und diesbezüglich symmetrisches Magnetfeldmuster im Prozessraum PR erzeugt. Dieses kann mit Hilfe der Umlenkspulen 135 in Ebenen senkrecht zur Achse A wie in Fig. 6 schematisch dargestellt verschoben werden. Durch diese „Verschiebung" der Magnetfeldstärke-Verteilung HÄ ergibt sich eine „Verschiebung" der Plasmadichteverteilung V an einem auf dem Substratträger 119 aufgebrachten Substrat. Damit wird eine Relativbewegung zwischen Plasmadichteverteilung V und zu behandelnder
Werkstückoberfläche auf dem Substratträger 119 erreicht, wie wenn das Substrat bezüglich des Plasmas mit zeitlich konstanter Plasmadichteverteilung verschoben würde. Durch diese Feldverteilungs-Steuerung ergibt sich am Substrat der gleiche Effekt, wie wenn dieses bezüglich des Plasmas mechanisch bewegt würde, aber ohne mechanische Substratbewegung .
Reaktivgas wird über einen Reaktivgaseinlass 137 in den Prozessraum PR eingelassen. Wie dargestellt, ist der Reaktivgaseinlass vorzugsweise koaxial zu Achse A in unmittelbarem Bereich des in Bearbeitungsposition liegenden Substrates 120 bzw. Substratträgers 119 angeordnet, mit Einlassöffnungen im wesentlichen parallel zu der zu behandelnden Substratfläche.
Wie erwähnt wurde, ist der bevorzugt aus rostfreiem Stahl aufgebaute Vakuumrezipient 101, 103 intensiv gekühlt. Er genügt UHV-Bedingungen. Dabei verhindert die intensive Kühlung das Aufheizen des Stahls während des Prozesses und die damit verbundene Freisetzung von kohlenstoffhaltigen Gasen aus dem Stahl.
Bezüglich des Materials der Prozessraum-Ummantelung 113, insbesondere deren dem Prozess ausgesetzten Oberfläche, gilt das bereits anhand von Fig. 1 Erläuterte: Das inerte Material, bevorzugterweise ein dielektrisches und wie erwähnt bevorzugt aus der Materialgruppe G gewählt, ist bei den hohen Prozesstemperaturen stabil und geht mit den verwendeten Reaktivgasen, wie insbesondere Wasserstoff, Silan, German, Diboran, Chlor, NF3, HCl, SiH3CH3, GeH3CH3, N2, C1F3, PH3, AsH4, keine gasförmigen Verbindungen ein. Damit wird erreicht, dass es zu keinen Kontaminationen des Teils 120 kommt. Eine Störbeschichtung der inneren Oberfläche der Prozessraum-Ummantelung 113 ist nur unter dem Aspekt der Partikelbildung kritisch. Eine dünne Störbeschichtung kann sogar bevorzugt werden, um eine noch bessere Reinheit des Prozesses zu gewährleisten, der dann praktisch ausschliesslich durch Prozess-inhärentes Material umgeben ist.
Bei den Typ I Prozessmodulen wird die Vakuumkammerwand, üblicherweise aus rostfreiem Stahl, nicht beschichtet, weil sie durch die Prozessraum-Ummantelung 113 vor den reaktiven Gasen und dem Plasma geschützt ist, weiter, weil die, wie in Fig. 5 dargestellt, intensive Kühlung eine Abscheidung aus der Gasphase dort zusätzlich stark reduziert. Was bezüglich der inneren Oberfläche der Prozessraum- Ummantelung 113 gilt, gilt auch für die dem Prozess ausgesetzten Oberflächen des Substrathalters 119.
Die Prozessraum-Ummantelung 113 wird bevorzugterweise mehrteilig (nicht dargestellt) ausgebildet, so dass sie ohne Demontage der Anodenanordnung 117a, 117b entfernt bzw. ausgewechselt werden kann. Durch Entfernen der in Fig. 5 dargestellten Prozessraum-Ummantelung 113 wird eine bevorzugte Ausführungsform des Prozessmodul-Typs IIne realisiert, bzw. durch Ersatz der Prozessraum-Umantelung 113 durch eine ebenso geformte Ummantelung aus einem Metall ein Prozessmodul des Typs IIe gemäss Fig. 3.
Im folgenden sind die jeweils mit den anhand der Fig. 1 bis 5 vorgestellten Prozessmodulen durchgeführten Verfahren zusammengestellt .
Typ I
Mit diesem Prozessmodul werden unter Einhalt von Qualitätsanforderungen, wie sie bei der Beschichtung von Teilen mit einer epitaktischen Schicht erfordert sind, plasmaunterstützt reaktive Beschichtungen vorgenommen, oder plasmaunterstütztes reaktives Ätzen, oder plasmaunterstützte reaktive Veränderungsprozesse der Materialzusammensetzung am Werkstück bis hin zu vorgegebenen Eindringtiefen, oder es werden, insbesondere kombiniert mit vorerwähnten, erfindungsgemässen Verfahrensschritten, die Oberflächen der Werkstücke bzw. Teile einer plasmaunterstützten reaktiven Reinigung, insbesondere in Wasserstoffplasma, unterzogen. Diese Prozessmodultypen I werden nach Durchlaufen einer vorgegebenen Anzahl der erwähnten Behandlungsschritte, oder bei Bedarf, einer Selbstreinigung unterzogen, ohne dass ein Werkstückteil darin eingeführt wäre bzw. eine Substratattrappe verwendet wird. Diese Selbstreinigung umfasst bevorzugterweise einerseits einen plasmaunterstützten reaktiven Ätzschritt, anderseits einen anschliessenden plasmaunterstützen reaktiven Reinigungsschritt von Ätzrückständen, bevorzugt in einem Wasserstoffplasma durchgeführt.
Typ II
Die Typ II Prozessmodule werden eingesetzt, um Werkstücke tiefergreifend zu reinigen, wie dies beispielsweise notwendig ist, wenn sie aus Umgebungsatmosphäre den oben erwähnten, epitaktischen Qualitätsanforderungen genügenden Behandlungsschritten zugeführt werden. Auch in diesen Prozessmodultypen II werden in Kombination mit den vorerwähnten qualitativ höchsten Anforderungen genügenden Behandlungsprozessen, mit dem erwähnten niederenergetischen Plasma, reaktiv, vorzugsweise erst durch plasmaunterstütztes reaktives Ätzen, dann durch plasmaunterstütztes reaktives Reinigen, vorzugsweise in Wasserstoffplasma, die Teile gereinigt.
Als bevorzugte Beschichtungsverfahren, nämlich für das Ablegen hetero- oder homo-epitaktischer Schichten mit den Modulen des Typs I, wird vollumfänglich auf das Vorgehen gemäss der bereits eingangs erwähnten WO98/58099 verwiesen.
In Fig. 7 ist, schematisch, ein Prozessmodul 140 des Typs I oder des Typs II dargestellt. Im Durchlaufbetrieb werden dem Prozessmodul 140 sequentiell zu behandelnde Teile 142 zugeführt bzw. behandelte vom Modul weggeführt. Auf der in Fig. 7 dargestellten Zeitachse t sind, rein beispielsweise, schraffiert erfindungsgemässe Beschichtungs- und/oder Ätz- und/oder Materialveränderungs- und/oder Reinigungsschritte an den Teilen 142 dargestellt, jeweils gefolgt, bei Bedarf oder nach vorgegebener Anzahl derartiger
Behandlungsschritte, von einem nicht schraffierten Selbstreinigungsschritt des im Durchlaufbetrieb beschickten Moduls 140.
In Fig. 8 ist schematisch dargestellt, innerhalb von Vakuumatmosphäre einer Anlage 144, z.B. einer Inline-
Anlage, wie beispielsweise Werkstücke erst in einem
Prozessmodul Typ II einer Eingangsreinigung, danach in
Prozessmodulen I Beschichtungs-, Ätz-,
Materialveränderungs- und ggf. auch Reinigungsschritten unterworfen werden. Auch hier werden die vorgesehenen
Prozessmodule in Analogie zu den Betrachtungen in Fig. 7 nach einer jeweilig gegebenen Anzahl Verarbeitungszyklen einer Selbstreinigung unterzogen. Ein bevorzugter derartiger Prozess ist, wie bereits eingangs erwähnt wurde, die Herstellung virtueller Substrate. Demnach wird im Prozessmodul Typ II die Unterlage, geeignet für anschliessendes hetero- epitaktisches Schichtwachstum, plasmaunterstützt reaktiv gereinigt, unter Einsatz eines Halogens als Reaktivgas, bevorzugterweise von Wasserstoff. Danach wird im einen oder den mehreren nachfolgenden Prozessmodulen Typ I die hetero- epitaktische Schicht aufgewachsen, derart, dass die Gitterkonstante verändert wird und durch sukzessiven gradierten Einbau eines weiteres Materials eine möglichst defektfreie Oberflächenstruktur erzielt wird. Danach - wiederum in einem weiteren Modul des Typs I - wird ggf. das Aufwachsen der zu nutzenden Halbleiterschicht realisiert, vorgebbar mechanisch verspannt zur Einstellung des
Bandabstandes und Einstellung der gewünschten Halbleiter- Eigenschaften, wie beispielsweise der Ladungsträger- Mobilität. Es folgen ggf. weitere erfindungsgemässe Behandlungsschritte, bis zum Ausschleusen aus der Anlage 144 des fertiggestellten virtuellen Substrates.
Wie dem Fachmann durchaus geläufig, können auch bei der Fertigung des virtuellen Substrates weitere Schichten eingebaut werden bzw. zwischen den Beschichtungsschritten Reinigungsschritte vorgesehen werden, dann bevorzugt als „sanfte Reinigungsschritte" in einem Prozessmodul des Typs I.
In Fig. 8 ist - wenn auch schematisch - eine „Inline"- Anlage dargestellt, bei welcher der Werkstücktransport von einem Modul zum andern, in Vakuum, im wesentlichen linear erfolgt. In Fig. 9 ist schematisch, in Aufsicht, die bevorzugte Anordnung mehrerer Typ I und Typ II Prozessmodule als jeweilige Cluster zu einer Cluster-Anlage dargestellt. Diese umfasst eine Zirkular-Vakuumtransportkammer 150, welche im wesentlichen radial die Prozessmodule bedient. Unbearbeitete Substrate werden einer Schleusenkammer 152 entnommen und darin behandelte Substrate abgelegt, wo letztere beispielsweise auskühlen. Von der beispielsweise vorgesehenen einen Ein- und Ausgabe-Schleusenkammer 152 werden die Substrate mit einer an Normalatmosphäre liegenden Rotobereinheit 154 entnommen bzw. ihr zugeführt, ab Speichermagazinen 156 für unbehandelte bzw. in Speichermagazine 158 für behandelte, fertiggestellte Substrate. Die Anlage wird durch eine Programmsteuerung betreffs ihrer zeitlichen Abläufe gesteuert, beispielsweise einer frei programmierbaren.
Die beschriebenen Prozessmodule, die alle ineinander gewandelt werden können, können Substrate mit Durchmesser von mindestens 150 mm, vorzugsweise von mindestens 200 mm, vorzugsweise gar von mindestens 300 mm behandeln. Bei der Epitaxie-Beschichtung mit dem in der erwähnten WO98/58099 beschriebenen Verfahren, die bezüglich der
Verfahrensoffenbarung der vorliegenden Anmeldung als Anhang A beigefügt ist, werden Beschichtungsraten an den erwähnten Substraten von mindestens 60 nm/min. erreicht. - 3 b -
Verfahren zur Herstellung beschichteter Werkstücke, Verwendungen des Verfahrens und Anlage hierfür
(Anhang "A" Anfang der Beschreibung PCT/CH98/00221)
Die vorliegende Erfindung betrifft ein Verfahren zur Herstel- lung beschichteter Werkstücke nach dem Oberbegriff von Anspruch 1, Verwendungen hiervon nach den Ansprüchen 28 bis 35, eine Anlage zur Ausführung des genannten Verfahrens nach dem Oberbegriff von Anspruch 36 und Verwendungen hiervon nach den Ansprüchen 51 bis 54.
Dabei geht die vorliegende Erfindung von den Problemen aus, die sich bei der Herstellung dünner Schichten mit CVD- und PECVD- Verfahren ergeben. Die dabei erfindungsgemäss gemachten Erkenntnisse lassen sich insbesondere auf die Herstellung von Halbleiterschichten, wie bei der Solarzellen-Herstellung oder modulationsdotierte Fet oder heterobipolare Transistoren, übertragen.
Dünne Halbleiterfilme werden entweder in einkristalliner Form, d.h. epitaktisch, auf ein ebenfalls einkristallines Substrat, wie ein Siliziumsubstrat abgeschieden, oder aber in polykri- stalliner Form oder amorpher Form auf polykristalline oder amorphe Substrate, z.B. auf Glas. Obwohl im folgenden die Erfindung vor allem mit Bezug auf die Herstellung Silizium- und/oder Germanium-beschichteter Substrate beschrieben wird, kann sie, wie erwähnt, auch für die Herstellung anderer und mit anderen Materialien beschichteter Werkstücke eingesetzt werden.
Bekannte Verfahren zur Abscheidung epitaktischer Halbleiterfilme sind:
- Molekularstrahl-Epitaxie, MBE (Molecular Bea Epitaxy)
- Thermo-Chemische Gasphasenabscheidung, CVD (Chemical Vapour Deposition) (Anhang "A" PCT/CH98/00221)
- Remote-Plasmaunterstützte CVD-Verfahren mit DC- oder Hf- Entladung, RPECVD (Remote-Plasma-Enhanced CVD)
- Mikrowellen-Plasmaunterstützte chemische Gasphasenabscheidung und ECRCVD (Electron-Cyclotron-Resonance-Plasma-Assisted CVD)
Beim CVD-erfahren handelt es sich um einen Sammelbegriff einer grossen Anzahl von thermischen Abscheidungsmethoden, die sich entweder durch den Aufbau der zugeordneten Apparaturen unterscheiden, oder durch deren Betriebsart. So kann z.B. ein CVD- Verfahren bei Normal-Atmosphärendruck durchgeführt werden, oder aber bei viel kleineren Drücken bis hinunter ins Gebiet des
Ultra-Hochvakuums. Es kann hierzu auf (1) verwiesen werden, sowie auf (2) .
In der kommerziellen Produktion von epitaktischen Si-Schichten ist ausschliesslich CVD gebräuchlich. Die verwendeten Reaktiv- gase sind dabei siliziumhaltige Gase, z.B. Chlorsilane, SiCl4, Si3HCl und SiH2Cl2 sowie Silane, z.B. SiH4 oder Si2H6. Charakteristisch für die Standard-CVD-Verfahren sind die hohen Abschei- de-Temperaturen in der Grössenordnung von 1000 °C und mehr, sowie Drücke von typischerweise 20 mbar bis 1000 mbar, d.h. bis Normal-Atmosphärendruck.
Je nach Prozessbedingungen können damit Beschichtungsraten von mehreren μm pro Minute erzielt werden, entsprechend mehreren 100 A/sec, wozu wiederum auf (1) verwiesen sei.
Niederdruck-chemische Gasphasenabscheidung (LPCVD, Low Pressure Chemical Vapour Deposition, gleichbedeutend mit LPVPE, Low-
Pressure Vapour Phase Epitaxy) findet dagegen bei Drücken unter 1 mbar statt und erlaubt tiefere Prozesstemperaturen bis auf typischerweise 700°C. Diesbezüglich sei nebst auf (1) auch auf (3) und (6) verwiesen. (Anhang "A" PCT/CH98/00221)
Bezüglich LPCVD und unter Verweis auf (6) wird bei einer Abscheidetemperatur von 650°C eine Wachstumsrate (growth rate) von
GR = 50 Ä/min
angegeben. Dies bei einem Reaktivgasfluss für Silan von
F = 14 scc .
Daraus ergibt sich eine für die Gasausbeutung relevante Kennzahl, nämlich die Wachstumsrate pro Reaktivgasfluss-Einheit, GRF zu
GRF = 3,6 Ä/(sccm min)
Auf 5"-Wafern, entsprechend einer Fläche
A5 = 123 cm2,
umgerechnet von der aktuellen Fläche A2 für 2"-Wafer ergibt sich eine Abscheidemenge (growth amount) GA zu
GA = 5,2 1016 Si-Ato e/sec.
Wiederum bezogen auf eine Reaktivgasfluss-Einheit ergibt sich die Kennzahl "Abscheidemenge pro Reaktivgasfluss-Einheit", im weiteren "Gasausnützungszahl" genannt, GAF zu
GAF = 8,4 10"3,
entsprechend 8,4 0/00.
Bei 650°C entsteht eine epitaktische Schicht.
Wird die Abscheidetemperatur auf 600 °C reduziert, so entsteht eine polykristalline Schicht. Dies mit:
GR = 3 Ä/min
F = 28 sccm Silan (Anhang "A" PCT/CH98/00221)
GRF = 0,11 Ä/(sccm/min)
GA = 3,1 1015 Si-Atome/sec auf A5
GAF = 2,5 10"4, entsprechend 0,25 0/00.
Grundsätzlich sind folgende Kriterien für ein defektfreies epi- taktisches Schichtwachstum erforderlich:
- Bei Transmissionselektronen-Mikroskopie an Querschnittspräparaten wird der Nachweis der Epitaxie durch Elektronendiffraktion und Hochauflösung erstellt.
- In dabei typischerweise durchstrahlbaren Bereich von 10 - 15 μm längs der Grenzfläche zum Substrat, dürfen keine Defekte sichtbar sein. Typische Vergrösserungen bei der Defektanalyse sind HO 1 000 bis 220O00.
Eine weitere Entwicklung ist die Ultrahochvakuum-chemische Gasphasenabscheidung (UHV-CVD) mit Arbeitsdrücken im Bereich von 10~4 bis 10~2 mbar, typischerweise im Bereich von 10~3 mbar, wozu verwiesen sei auf (4) sowie auf (5), (7). Sie lässt sehr niedrige Werkstücktemperaturen zu, wobei allerdings die Wachstumsbzw. Beschichtungsraten extrem klein sind, so z.B. ca. 3 Ä/min für reines Silizium bei 550°C gemäss (5).
Der Grund für die kleinen Wachtumsraten liegt darin, dass die Absorptions- und Zerfallsrate der reaktiven Moleküle, so z.B. von SiH4, mit zunehmender Wasserstoffbelegung der Werkstück- Oberfläche abnimmt. Das Schichtwachstum wird also durch die De- sorptionrate von H2 limitiert, die aber exponentiell mit der Temperatur zunimmt. Hierzu sei auf (8) verwiesen. Wegen der kleineren Bindungsenergie der Ge-H-Bindung im Vergleich zur Si- H-Bindung ist die Wasserstoffdesorption von einer Si-Ge- Legierungsoberfläche grösser, so dass bei gleicher Substrattemperatur eine höhere Wachstumsrate als bei reinem Si resultiert, (Anhang "A" PCT/CH98/00221)
z.B. bei einem Gehalt von 10% Ge um einen Faktor 25 bei 550 °C (5) .
Eine weitere Möglichkeit, bei niedrigen Substrattemperaturen hohe Abscheideraten mit Epitaxie-Qualität zu erzielen, besteht darin, (9), die reaktiven Gase mit Hilfe eines μ-Wellen-Plasmas zu zersetzen (ECRCVD) .
Durch den Einsatz von Plasmaquellen, die auf dem Prinzip der Elektronen-Zyklotron-Resonanz beruhen, soll der Einfall hochenergetischer Ionen auf das Substrat vermieden werden.
Solche Quellen arbeiten in der Regel im Druckbereich von 10"3 bis 10"4 mbar, was aber zu grösseren freien Weglängen führt, als im Fall von kapazitiv eingekoppelten Hochfrequenz-Hf- Plasmen. Dies kann wiederum zu unerwünschtem Ionenbeschuss des Substrates führen und damit zur Erzeugung von Defekten, wie sich aus (10) ergibt. Die Energie der auf das Substrat auftreffenden Ionen kann aber durch eine externe Kontrolle des Substratpotentials begrenzt werden, wodurch sich Ionenschäden weitgehend vermeiden lassen. Auch mit ECRCVD-Methode betragen die Wachstumsraten für reines Silizium in der Regel nur einige 10 Ä/min, bei tiefen Abscheidetemperaturen < 600 °C.
Zusammengefasst ergibt sich folgendes:
Schichten, die mit einer Qualität abgelegt werden, die sich auch für das Ablegen von epitaktischen Schichten eignet, können, bei Abscheidetemperaturen < 600 °C, bis heute:
• durch UHV-CVD mit Wachstumsraten GR von ca. 3 Ä/min oder
• durch ECRCVD mit einer um ca . 1 Grössenordnung (30 Ä/min) höheren Wachstumsrate GR
abgelegt werden. (Anhang "A" PCT/CH98/00221)
PECVD-Verfahren, deren Plasma durch DC-Entladungen erzeugt werden, konnten für die Fertigung von Schichten mit Epitaxie- Qualität - d.h. entsprechend geringer Fehlerdichte (siehe oben) - weder für den Aufbau von epitaktischen noch für den Aufbau amorpher oder polykristalliner Schichten eingesetzt werden, mindestens nicht mit einer für industrielle Fertigung sicherzustellenden Wachstumsrate GR, Zuverlässigkeit und Effektivität bzw. Wirkungsgrad.
Über die Verwendung von kapazitiv eingekoppelten Hochfrequenz- feldern zur Erzeugung von Hf-Plasmen für PECVD-Verfahren wurde anderseits schon sehr früh berichtet, wozu verwiesen sei auf (11) . Die Schwierigkeit bei diesem Vorgehen liegt darin, dass in solchen Hf-Plasmen nicht nur die reaktiven Gase zersetzt werden. Gleichzeitig ist die Substratoberfläche einem intensi- ven Beschuss hochenergetischer Ionen ausgesetzt, wie dies spezifisch auch bei reaktivem Zerstäuben oder Hochfrequenzätzen ausgenützt wird. Dies begünstigt einerseits die Wasserstoff- Desorption, führt aber gleichzeitig zu Defekten in den wachsenden Schichten. Eine davon abgewandelte Methode, die RPCVD, Re- mote Plasma Chemical Vapour Deposition, berücksichtigt dies dadurch, dass die zu beschichtenden Substrate nicht direkt dem Hf-Plasma ausgesetzt werden, was zu besseren Resultaten führt (12) . Allerdings sind die erzielten Wachstumsraten gering, nämlich meist Bruchteile von nm pro Minute bis höchstens einige nm pro Minute gemäss (13) .
Aufgabe der vorliegenden Erfindung ist es, ein in der industriellen Fertigung einsetzbares Verfahren anzugeben, das erlaubt, Schichten mit Epitaxie-Qualität aufzuwachsen mit wesentlich höheren Wachstumsraten, als bis anhin bekannt.
Dies wird durch Verfahren eingangs genannter Art erreicht, welche sich nach dem Wortlaut des kennzeichnenden Teils von Anspruch 1 auszeichnen, bzw. durch eine Anlage, die sich nach dem kennzeichnenden Teil des Anspruches 36 auszeichnet. Bevorzugte (Anhang "A" PCT/CH98/00221)
Ausführungsformen der Verfahrens sind in den Ansprüchen 2 bis 27 spezifiziert, bevorzugte Ausführungsformen der Anlage in den Ansprüchen 37 bis 50. Das erfindungsgemässe Verfahren eignet sich insbesondere für die Herstellung von Halbleiter- beschichteten Substraten mit epitaktischer, amorpher oder polykristalliner Schicht, dabei insbesondere von Si-, Ge- oder Si/Ge-Legierungs-Schichten sowie von Ga- oder Ga-Verbindungs- Schichten.
Dabei können insbesondere auch dotierte Halbleiterschichten ab- gelegt werden. Silizium und/oder Germanium enthaltende Schichten, dotiert vorzugsweise mit mindestens einem Element aus den Gruppen III oder V des Periodensystems bzw. Gallium enthaltende Schichten mit mindestens einem Element der Gruppen II, III, IV oder VI des Periodensystems, z.B. mit Mg oder Si.
Aus den eingangs abgehandelten Beschichtungstechniken zur Erzeugung epitaktischer Schichten kann zusammenfassend folgendes ausgeführt werden:
- Die CVD-Verfahren, insbesondere die UHV-CVD-Verfahren führen zu ausgezeichneten Schichtqualitäten, selbst bei Substrattem- peraturen unterhalb von 500 °C. Sie bieten sich deshalb an, auch epitaktische Schichten herzustellen, wo an die Schichtqualität extrem hohe Anforderungen gestellt werden. Die Wachstumsrate beispielsweise für Si ist aber bei diesen Verfahren extrem tief, wie erwähnt in der Grössenordnung von 3 Ä/min bei 550°C.
- Mikrowellen-Plasma-unterstützte Verfahren, ECRCVD, haben den Vorteil, dass die Zersetzung der reaktiven Moleküle ohne hohe thermische Energie stattfinden kann. Der Ionenbeschuss des Substrates führt zu erhöhter Wasserstoffdesorption. Beide Ef- fekte könnten zu einer beträchtlichen Zunahme der Wachstumsrate führen. Bei tiefen Temperaturen werden aber inakzeptabel hohe Defekt-Dichten beobachtet, induziert durch Ionenbe- (Anhang "A" PCT/CH98/00221)
schuss. Eine Kontrolle über die Substrat-Bias-Spannung erhöht zwar die Schichtqualität, ändert aber nichts an den vergleichsweise kleinen Raten.
Damit scheint ein inhärenter Widerspruch zu bestehen: Ionenbe- schuss des Substrates führt einerseits zu erhöhter Wachstumsrate aufgrund erhöhter Wasserstoffdesorption, führt aber gleichzeitig zur Erhöhung der Defekt-Dichte.
Für unter Atmosphärendruck betriebene, thermische CVD-Verfahren ergibt sich laut (2) folgendes Bild:
• Si-Wachstumsrate GR: 2 x 10~3 nm/min
(bei 600 °C, 3 " 10~2 nm/min gemessen und auf 550 °C umgerechnet)
• Gasfluss, SiCl2H2, F: 100 sccm.
Daraus ergibt sich eine Wachstumsrate GR pro SiCl2H2-Fluss- Einheit, GRF « 2 x 10~4 Ä/ (sccm.min) .
Ein Gasfluss F von 100 sccm SiCl2H2 entspricht 4,4 x 1019 Mole- külen/sec.
Die Wachstumsrate GR von 2 x 10"3 nm/min entspricht einer Wachstumsrate von 2 x 10~4 Silizium-Monolagen pro Sekunde auf einem 5"-Wafer, entsprechend einer Fläche A5 von 123 cm2. Damit ergibt sich auf der Gesamtfläche pro Sekunde eine abgelegte Menge von
GA = 1,7 x 1013 Siliziumatome/sec.
Durch Inbeziehungsetzen der pro Sekunde abgelegten Siliziu men- ge und der pro Sekunde eingelassenen Reaktivgasmenge ergibt sich die Gasausnützungsziffer GAF zu
GAF = 3,9 x 10~7. (Anhang "A" PCT/CH98/00221)
Dies entspricht einer Ausnützung von ungefähr 0,0004 0/00.
Wir halten fest, dass sich bei atmosphärischem CVD ergibt:
GRF « 2 x 10~4 Ä/ (sccm.min)
GAF « 0,0004 0/00.
Aus (5), kombiniert mit (4) und (7), ergibt sich die Abschätzung für UHV-CVD zu
GRF « 0,1 Ä/ (sccm.min) und
GAF « 0,0035 entsprechend ca. 35 0/00.
Dies zu den bis anhin industriell eingesetzten Verfahren für die Herstellung von Schichten in Epitaxie-Qualität.
Aus der DE-OS 36 14 384 ist nun weiter ein PECVD-Verfahren bekannt, bei welchem DC-Glimmentladung in Form einer Niederspannungs-Entladung eingesetzt wird. Damit sollen Schichten mit besonders guten mechanischen Eigenschaften schnell, d.h. mit ho- her Wachstumsrate, abgelegt werden.
Eine Kathodenkammer mit Heisskathode kommuniziert mit einem Vakuumrezipienten über eine Blende. Der Blende gegenüberliegend ist eine Anode vorgesehen. Parallel zu der zwischen Blende und Anode gebildeten Entladungsachse ist eine Einlassanordnung für ein Reaktivgas vorgesehen, dieser Anordnung, bezüglich der Entladungsachse gegenüberliegend, sind die Werkstücke angeordnet. Mit Bezug auf Anodenpotential, werden Entladungsspannungen UAκ unterhalb 150 V angelegt, und die Entladung wird mit einer Stromstärke IAK von wenigstens 30 A betrieben. Für die Be- Schichtung werden die Werkstücke auf negative Potentiale zwischen 48 und 610 V gebracht.
Die darin gezeigten Versuche ergeben folgendes Bild: ' (Anhang "A" PCT/CH98/00221)
Beispiel GR[A/min GRF[Ä/ (sccm. min)
1 103 2,5
2 380 1,2
3 2 x 103 2,5
4 (Si) 166 0,7
5 466 1,2
6 750 0,7
7 250 0,5
8 500 0,75
9 316 0,38
10 344 0,18
11 62 0,18
12 58 0,14
Die vorliegende Erfindung geht nun von der Erkenntnis aus, dass Werkstückbeschichtungen mit einer Schichtqualität vorgenommen werden können, welche Qualität den an Epitaxieschichten zu stellenden Anforderungen genügt, indem hierzu, entgegen den bisher gehegten Erwartungen, ein nicht-Mikrowellen-Plasma- PECVD-Verfahren eingesetzt wird - d.h. ein PECVD-Verfahren mit DC-Entladung - und im speziellen ein PECVD-Verfahren, wie es, von seinem Prinzip her, auf der DE-OS 36 14 348 bekannt ist. Wie gezeigt werden wird, ist es dabei möglich, in Epitaxie- Qualität
a) Wachstumsraten GR von mindestens 150 Ä/min, gar von mindestens 600 Ä/min
b) GRF von mindestens 7,5 Ä/ (sccm.min) , oder gar 40 Ä/ (sccm. min) , vorzugsweise gar 75 Ä/ (sccm. min) zu erzielen, und weiter
c) Gasausnützungsziffern GAF zu erzielen mindestens im Bereich von 5%. (Anhang "A" PCT/CH98/00221)
Es wird erkannt, dass am erfindungsgemäss eingesetzten DC- PECVD-Verfahren die Plasmaentladung zu tiefstenergetischen Ionen führt, ebenso zu tiefstenergetischen Elektronen, dass aber die Ladungsträgerdichte, insbesondere die Elektronendichte an der ausgenützten Entladung sehr gross ist.
Die Erfindung wird anschliessend anhand von Figuren beispielsweise erläutert. Es zeigen:
Fig. 1: schematisch eine erste bevorzugte Ausführungsform einer erfindungsgemässen Anlage zur Durchführung der erfindungsgemässen Verfahren,
Fig. 2: schematisch eine zweite bevorzugte Ausführungsform einer Anlage gemäss Fig. 1 mit mehreren Betriebsvarianten,
Fig. 3: bei Betrieb einer Anlage gemäss Fig. 2 für eine Sili- ziumbeschichtung, die Abhängigkeit der Wachstumsrate von der Wafer-Temperatur,
Fig. 4: in Funktion des Entladungsstromes, die Zunahme der Wachstumsrate bezogen auf den Reaktivgasfluss, GRF,
Fig. 5: in Funktion des Reaktivgasflusses, die Wachstumsrate bei unterschiedlichen Plasmadichten im Bereich der
Werkstücke,
Fig. 6: in Funktion der Germanium-Konzentration an der abgeschiedenen Schicht, die Wachstumsrate und
Fig. 7: Im Feld Wachstumsrate/Gasausnützungsziffer die Resul- täte gemäss Stand der Technik und gemäss Erfindung.
Vorab, eine Anlage gemäss z.B. der DE-OS 36 14 384 kann durchaus für die Durchführung der erfindungsgemässen Verfahren eingesetzt werden, sofern sie so betrieben wird, dass die erfindungsgemässen Bedingungen eingehalten werden. (Anhang "A" PCT/CH98/00221)
Gemäss Fig. 1 weist eine heute bevorzugte erste Anlage zur Durchführung des erfindungsgemässen Verfahrens einen Vakuumrezipienten 1 auf, an welchen, über eine Blende 3, eine Kathodenkammer 5 angeflanscht ist. In bekannter Art und Weise kann die Kathodenkammer 5 auf das elektrische Potential des Rezipienten 1 gelegt sein, oder die Kathodenkammer 5 kann bezüglich des Rezipienten 1 isoliert und auf davon abweichendes Potential gelegt sein (nicht dargestellt) .
In der Kathodenkammer 5 ist eine Heisskathode 7, ein Filament, vorgesehen, vorzugsweise direkt beheizt mittels eines Heizstromgenerators 9.
In der Blenden-Achse A, der Blende ' 3 im Rezipienten 1 gegenüberliegend, ist ein isoliert montierter Werkstückträger 13 vorgesehen. Im Bereich des Werkstückträgers 13 kann eine Werk- stückheizung 17 vorgesehen sein. Der Rezipient 1 wird mit einer Vakuumpumpe 27, vorzugsweise einer Turbovakuumpumpe, dabei vorzugsweise einer Turbomolekularpumpe evakuiert. Sensoren, wie z.B. ein Plasmamonitor etc., können, zu Beobachtungs- und ggf. Steuerzwecken, an einem Anschluss 31 vorgesehen werden.
Konzentrisch zur Achse A der Entladung mit dem Entladungs-Strom I ist ein Gaseindüsring 23 vorgesehen als Reaktivgaseindüsan- ordnung, verbunden mit einer Gastankanordnung 25 für Reaktivgas, welches, mit steuerbarem Fluss F (sccm), in den Rezipienten eingelassen wird.
In die Kathodenkammer 5 mündet ein Anschluss 6 zu einem Arbeitsgastank, beispielsweise mit Ar. Mittels einer Elektro- und/oder Permanent-Magnetanordnung 29 wird im wesentlich konzentrisch zur Achse A im Rezipienten, ein Magnetfeld B erzeugt, insbesondere auch wirksam im Bereich der Blende 3. Das Feld kann dabei vorzugsweise aus der Konzentrizität verschoben werden. (Anhang "A" PCT/CH98/00221)
Die Anlage in ihrer Ausführungsform gemäss Fig. 1 wird wie folgt betrieben:
- Die Rezipientenwand entsprechend 1 wird als Anode der Entladung eingesetzt und ist hierzu auf ein Bezugspotential, wie dargestellt vorzugsweise auf Masse, geschaltet. Entsprechend ist mittels eines vorzugsweise einstellbaren DC-Generators 11 die Kathode 7 auf (negatives) Potential gelegt. Über dem Generator 11 liegt die Entladespannung UAK, der Entladestrom IAK fliesst zwischen Kathode 7 und Rezipienten 1.
- In einer zweiten Betriebsvariante der in Fig. 1 dargestellten Anlage wird der Werkstückträger 13 mittels eines DC-Bias- Generators 15 auf die Spannung UΞ gelegt.
In Fig. 2 ist eine weitere bevorzugte, erfindungsgemässe Anlage zur Durchführung des erfindungsgemässen Verfahrens dargestellt. Es sind für gleiche Teile die selben Bezugszeichen wie in Fig. 1 verwendet. Die Anlage nach Fig. 2 unterscheidet sich wie folgt von der in Fig. 1 dargestellten und beschriebenen:
Es ist eine ringförmige Hilfsanode 19 vorgesehen, welche konzentrisch zur Entladungsachse A angeordnet ist.
Folgende Betriebsarten sind hier möglich:
- Wie mit dem Variationsschalter S schematisch dargestellt, wird die Rezipientenwandung des Rezipienten 1, wie bereits in Fig. 1, auf Bezugs- vorzugsweise Massepotential gelegt oder, über ein Impedanzelement 14, vorzugsweise ein Widerstandsele- ment, an ein Potential, vorzugsweise das Bezugspotential, gefesselt oder aber potential-schwebend betrieben. Die Hilfsanode 19 wird dann, wenn der Rezipient 1 auf Bezugspotential gelegt ist entweder auf das Potential des Rezipienten gelegt oder mittels eines vorzugsweise einstellbaren DC-Generators 21 an Spannung gelegt. (Anhang "A" PCT/CH98/00221)
- Wenn der Rezipient 1 über Impedanzelement 14 an ein Bezugspotential gefesselt wird, dann wird die Hilfsanode mittels des DC-Generators 21 betrieben, es erscheint die Entladespannung UAK wie gestrichelt dargestellt zwischen Kathode 7 und. Hilfs- anode 19. Dies ist auch dann der Fall, wenn die Rezipienten- wandung 1 potential-schwebend betrieben wird.
Heute wird der Betrieb der Anlage nach Fig. 2 mit auf Masse gelegter Rezipientenwand und Hilfselektrode 19 sowie potenti- al-kontrolliert betriebenem Werkstückträger 13 bevorzugt. In allen Anlagen-Varianten sind folgende Einstellungen wesentlich:
• Totaldruck Pτ im Rezipienten:
10"4 mbar < Pτ < 10"1 mbar
vorzugsweise 10~3 mbar < Pτ ≤ 10~2 mbar
typischerweise im Bereich von 5 10"3 mbar. Dieser Druck wird vornehmlich sichergestellt durch den Partialdruck des Arbeitsgases, vorzugsweise Argon. Die Vakuumpumpe 27 ist des¬ halb, wie erwähnt, vorzugsweise als Turbovakuumpumpe ausgebildet, insbesondere als Turbomolekularpumpe.
• Arbeitsgasdruck PA:
Dieser wird wie folgt gewählt:
10"4 mbar < PA < 10_1 mbar
vorzugsweise zu
10"3 mbar < PA < 10"2 mbar
» Reaktivgaspartialdruck PR:
Dieser wird vorzugsweise wie folgt gewählt: (Anhang "A" PCT/CH98/00221)
10"5 mbar < PR < 10"1 mbar
vorzugsweise zu
10"4 mbar < PR < 10~2 mbar.
Insbesondere für Silizium- und/oder Germanium-haltige Gase werden Partialdrücke zwischen 10~4 mbar und 25 ' 10"3 mbar angeraten. Zur Unterstützung der Planarität (Oberflächenrauhigkeit) , vor allem für Mehrfachschicht-Abscheidungen und Schichten mit Dotierung wird weiter angeraten, zusätzlich einen Wasserstoff-Partialdruck in der Grössenordnung von 10-4 bis 10~2 mbar, vorzugsweise von ca. 10~3 mbar vorzusehen.
• Gasströme:
Argon: weitestgehend abhängig von Rezipienten- und Kathodenkammer-Volumen, zur Einstellung des erforderlichen Partial- druckes PA bzw. Pτ.
Reaktivgasfluss : 1 bis 100 sccm, insbesondere für Silizium- und/oder Germanium-haltige Gase:
H2: 1 bis 100 sccm.
• Entladespannung UAK:'
Die Entladespannung, sei dies zwischen Kathode 7 und Rezi- pienten 1 gemäss Fig. 1 oder zwischen Kathode 7, Rezipienten 1 und Hilfsanode 19 bzw. zwischen Kathode 7 und Hilfsanode 19, wird wie folgt eingestellt:
10 V < UAK ≤ 80 V, vorzugsweise
20 V < UAK ≤ 35 V.
• Entladeströme, IAK: (Anhang "A" PCT/CH98/00221)
Diese werden wie folgt gewählt:
5 A < IAK ≤ 400 A, vorzugsweise
20 A < IAK < 100 A.
• Werkstückspannung Us:
In jedem Fall wird diese Spannung unterhalb der Sputterschwelle der Entladung gewählt. Sie wird in allen Fällen wie folgt eingestellt:
-25 V < Us < +25 V,
vorzugsweise für Ga-Verbindungen, vorzugsweise für Si, Ge und deren Verbindungen
-20 V < Us < +20 V,
vorzugsweise negativ, und dabei vorzugsweise zu
-15 V < UΞ < -3 V.
• Stromdichte am Ort der zu beschichtenden Werkstückoberfläche:
Diese wird vorab mittels einer Sonde am Ort, wo nachmals die zu beschichtende Oberfläche positioniert wird, gemessen. Sie wird eingestellt bezogen auf die Sondenoberfläche zu mindestens 0,05 A/cm2, vorzugsweise zu mindestens 0,1 A/cm2 bis maximal Entladungsstrom/Substratfläche .
Diese Stromdichte wird wie folgt gemessen und eingestellt:
Eine oder mehrere Sonden werden am Ort der nachmals zu beschichtenden Fläche positioniert und bezüglich Masse bzw. Anodenpotential auf variable positive Spannung gelegt. Diese wird solange erhöht, bis der gemessene Strom nicht mehr wei- ter ansteigt. Der gemessene Stromwert ergibt, bezogen auf die bZ
(Anhang "A" PCT/CH98/00221)
Sondenfläche, die gesamte Stromdichte. Diese wird nun durch Einstellung der Entladung auf den geforderten Wert gestellt. Die Einstellung der erwähnten Stromdichtewerte ist mit den bevorzugt eingestellten Entladeströmen IAK zwischen 5 und 400 A, bzw. und bevorzugt zwischen 20 und 100 A ohne weiteres möglich.
Der hohe Fluss niederenergetischer Ionen und Elektronen, die auf das Werkstück auftreten, ist ein charakteristisches Merkmal des erfindungsgemässen Verfahrens, welches mithin als LE- PECVD abgekürzt wird für "Low Energy Plasma Enhanced CVD".
Silizium- und/oder Germaniumschichten können während der Beschichtung durch Zugabe eines Dotierungsgases mit einem Element aus der Gruppe III oder V des periodischen Systems, wie mit Phosphin, Diboran, Arsin etc. zu n- oder p-leitenden Schichten dotiert werden. Somit sind p/n-Halbleiterübergänge in situ herstellbar, z.B. besonders wirtschaftlich für die Solarzellenherstellung.
Werden Galliumschichten oder Galliumverbindungs-Schichten abgelegt, so können diese durch Verwendung eines Dotierungsgases mit einem Element aus den Gruppen II oder III oder IV oder VI des Periodensystems dotiert werden, z.B. mit Mg oder Si.
Mit Hilfe der Anode 19 und/oder des Magnetfeldes B kann die Niederspannungsentladung komprimiert und/oder vom Werkstückträger 13 abgelenkt werden. Damit kann die Plasmadichte am Werk- stückträger erhöht (Rate) und/oder über einen grossen Bereich variiert (Einstellung der Verteilung) oder auch gesteuert ge- wobbelt bzw. abgelenkt werden. Mit Hilfe der Heizung 17 können die Werkstücke bzw. Substrate unabhängig vom Ionen- und/oder Elektronenanfall bis auf ca. 800°C aufgeheizt werden. Die Mag- netanordnung 29 erzeugt mittels Permanent- und/oder Elektromagneten das Feld B, vorzugsweise mit einer Flussdichte von einigen 10 bis einigen 100 Gauss im Entladungsraum. 3
(Anhang "A" PCT/CH98/00221)
Aufgrund der unüblich tiefen Entladespannungen, wie erwähnt bevorzugt im Bereich von 20 bis 35 V, ergibt sich ein Plasmapotential der Entladung entsprechend (15) nahe am Anodenpotential. Das Werkstück- bzw. Substratpotential kann potentialmässig leicht so verstellt werden, dass die Ionenenergien unterhalb 15 eV liegen, womit sich Ionenschäden während des Schichtwachstums am Werkstück vollständig vermeiden lassen.
Wie erwähnt wurde, ist eine möglichst hohe Plasmadichte am Werkstück anzustreben. Vorliegendenfalls wird die Plasmadichte durch die Stromdichte an der Werkstückoberfläche gegeben. Sie wird wie vorgängig angegeben mittels Sonden in einem Kalibrier- Arbeitsgang gemessen und eingestellt.
Die Anlagen, wie sie schematisch in den Fig. 1 und 2 dargestellt sind, sind wohl heute bevorzugte Ausführungsformen, wo- bei die erfindungsgemässen Verfahren sich durchaus auch an Anlagen realisieren lassen, die beispielsweise in der DE-OS 36 14 384 dargestellt sind, wenn sie entsprechend bestückt und geführt werden. Wesentlich erscheint bis heute der potentialkontrollierte Betrieb des Werkstückes.
Mittels einer Anlage, wie sie in Fig. 2 schematisch dargestellt ist, wurden 3"-Silizium-Einkristallsubstrate mit Silizium bzw. einer Silizium/Germanium-Legierung epitaktisch beschichtet. Das Volumen des Rezipienten 1 betrug 60 1.
Die Anlage wurde wie folgt betrieben:
Hilfsanode 19 auf Potential des Rezipienten 1; Werkstückträger 13 auf kontrolliertes Biaspotential . Rezipient als Anode auf Masse.
Folgende Arbeitspunkteinstellungen wurden vorgenommen:
• Werkstücktemperaturen Ts (Anhang "A" PCT/CH98/00221)
Plasmainduziert ergeben sich Werkstücktemperaturen von nur wenigen 100°C, so z.B. von ca. 150°C.
Dies ist ausserordentlich vorteilhaft zum Beschichten thermisch kritischer Substrate, wie z.B. organischer Substrate.
Höhere, erwünschte Temperaturen werden durch separates Heizen erreicht. Für die Herstellung von Si- und/oder Ge-Schichten und Schichten mit Ge-Si-Verbindungen werden Werkstücktemperaturen Ts
300°C < Ts < 600°C
angeraten, für Ga-Schichten oder Ga-Verbindungsschichten:
300°C < Ts < 800°C.
Weil das Verfahren "kalt" ist, ist man höchst flexibel in der Temperatur-Wahl, je nach Schichtmaterial und Substratmaterial.
Figure imgf000055_0001
Entladestrom IAK: 70 A.
Entladespannungen UAK: 25 V.
Substrat-Temperatur: 550°C (mit Heizung geheizt)
In einem ersten Versuch wurde mit Hilfe der Heizung 17 die Substrattemperatur variiert. Dabei wurden die übrigen Arbeitspunkt-Parameter konstant gelassen. In Fig. 3 ist das Resultat dargestellt. Aus dieser Figur ist ersichtlich, dass die Wachs- (Anhang "A" PCT/CH98/00221)
tu srate GR nur sehr wenig von der Werkstück- bzw. Substrattemperatur ι3 abhängt. Die grosse Streuung der Messwerte rührt davon her, dass bei der Versuchsanlage vor jeder Abscheidung Betriebsparameter jeweils von Hand wieder eingestellt werden mussten.
Ausgehend von den erwähnten Arbeitspunktwerten wurde nun der Entladestrom IAK variiert, durch Einstellung der Entladespannung UAK und gegebenenfalls Variation des Kathoden-Heizstromes. Alle übrigen Parameter wurden wieder konstant gehalten. Wenn auch der Entladestrom IAK nicht direkt der Ladungsträgerdichte bzw. Plasmadichte an der zu beschichtenden Oberfläche entspricht, so ist doch, bei sonst konstant belassenen Parametern, die Plasmadichte, entsprechend der Stromdichte an der zu beschichtenden Werkstückoberfläche, im wesentlichen proportional zum Entladestrom. Deshalb zeigt das in Fig. 4 dargestellte Resultat durchaus die Proportionalität und den Proportionalitätsfaktor zwischen der Wachstumsrate GR und der Plasmadichte. Diese Proportionalität dürfte anhalten, solange die Gasausnützung nicht ca. 60 % übersteigt und Sättigungseffekte auftreten. Wie erwähnt kann die Plasmadichte nebst z.B. durch Verstellung des Entladestromes auch durch Fokussierung bzw. Defokussierung der Niederspannungsentladung bzw. durch deren Umlenken beeinflusst werden. Auch hier erklärt sich die relative grosse Streuung durch das Vorgehen bei der Einstellung der Entladebedingungen.
Höchst aufschlussreich ist schliesslich Fig. 5. Diese ist das Ergebnis von Versuchen, bei welchen, bei sonst konstant gehaltenen Parametern, der Reaktivgasfluss F variiert wurde, ausgehend vom Arbeitspunkt 10 sccm. Die Gerade (a) ergab sich bei bezüglich der Achse A von Fig. 1 durch Magnetfeldeinstellung örtlich leicht versetzter Niederspannungsentladung, was am Substrat zu einer Plasmadichtereduktion führte bzw. geringerer Rate, bei einem Entladestrom IAK von 20 A. (Anhang "A" PCT/CH98/00221)
Kurve (b) zeigt die Rate bei nicht abgelenkter Entladung und bei IAK = 20 A. Schliesslich zeigt (c) die erhöhte Rate bei nicht abgelenkter Enladung mit IAK = 70 A.
Bei einem Reaktivgasfluss von 10 sccm ergibt sich bei einer Temperatur des Substrates von 550 °C und 70 A Entladestrom IAK, wie Fig. 3 bestätigt, eine GR von ca. 15 Ä/sec.
Bei einem Entladestrom von 70 A bei einem Reaktivgasfluss von 10 sccm wird dieses Resultat auch durch Fig. 4 bestätigt. Die GR fällt bei einem Entladestrom von 20 A auf ca. 6 Ä/sec ab.
Es seien nun die erfindungsgemässen Resultate mit den Resultaten vorbekannter Techniken verglichen.
a) Vergleich mit APCVD (2)
Aus Fig. 5 ergibt sich beispielsweise für den Punkt Pl:
GR « 1200 Ä/min, verglichen mit
GR » 2 x 10~2 Ä/min bei APCVD.
Aus Fig. 5 ergibt sich für den Punkt Pl ein Wert
GRF von 80 Ä/ (sccm.min)
Der entsprechende Werte bei APCVD beträgt
GRF « 2 x 10 "4 Ä/ (sccm.min)
Berechnet man bei LEPECVD gemäss Erfindung die Gasausnützungs- ziffer für ein 3 "-Substrat , so ergibt sich
GAF « 6 , 8 x 10~2 , entsprechend ca . 6 , 8 % .
Dabei ist zu berücksichtigen, dass diese Ziffer mit grösser werdenden Substratfläche , z . B . auf 5 " , noch wesentlich besser wird . 3 /
(Anhang "A" PCT/CH98/00221)
In Fig. 7 sind folgende Resultate dargestellt:
- Im Feld I: für APCVD, LPCVD, RPECVD;
- Im Feld II: für UHVCVD
- Im Feld III: für ECRCVD
- Im Feld IV: gemäss vorliegender Erfindung.
Sie gelten für Temperaturen < 600 °C.
In diesem Zusammenhang muss nochmals betont werden, dass es das erfindungsgemässe Vorgehen erlaubt, relativ grosse Flächen zu beschichten, womit die Gasausnützungsziffer GAF zusätzlich steigt.
Werden, analog, die Grossen Wachstumsrate GR, Wachstumsrate pro Reaktivgasflusseinheit GRF und die Gasausnützungsziffer GAF mit den entsprechenden Zahlen für CVD unter atmosphärischen Druckbedingungen verglichen, so ergeben sich erfindungsgemäss in je- der Beziehung drastische Verbesserungen. Vergleicht man schliesslich die Resultate gemäss vorliegender Erfindung mit denjenigen, die erhalten werden, wenn ein PECVD-Verfahren mit Niederspannungsentladung gemäss der DE-OS 36 14 384 betrieben wird, so zeigt sich, dass erstaunlicherweise die erfindungsge- mäss erzielte Wachstumsrate von 1200 Ä/min wesentlich grösser ist, als die höchsten, mit dem vorbekannten Vorgehen erzielten Wachstumsraten und dass zusätzlich die erfindungsgemäss erzielte Wachstumsrate pro Reaktivgasflusseinheit GRF praktisch um zwei lOer-Potenzen höherliegt.
Es ist somit höchst erstaunlich, dass durch ganz bestimmte Betriebsbedingungen an der Anlage, prinzipiell wie sie aus der DE-OS 36 14 384 vorbekannt war, derartige Verbesserungen erzielbar sind, unter Berücksichtigung, dass die erfindungsgemäss 5 b
(Anhang "A" PCT/CH98/00221)
abgelegten Schichten, bezüglich Defektdichte, Epitaxie- Bedingungen gehorchen.
Dies wurde höchst einfach dadurch überprüft, dass beim beschriebenen Betrieb der Anlage nach Fig. 2 mit den angegebenen Arbeitspunktparametern, bei Einlegen eines monokristallinen
Substrates eine hochwertige Epitaxie-Beschichtung erzielt wurde, bei Einlegen eines amorphen Substrates hingegen, bei weiterhin festgehaltenen Arbeitspunktparametern, eine amorphe Beschichtung.
In Fig. 5 ist im weiteren bei P2 der Messpunkt eingetragen, wenn anstelle einer reinen Si-Schicht eine SiGe-Epitaxieschicht abgelegt wird, die 4 % Ge enthält.
Wie bereits daraus ersichtlich, ändern sich entgegen den vorerläuterten Erkenntnissen beim erfindungsgemässen Vorgehen die Verhältnisse nicht, wenn eine Ge/Si-Legierung abgelegt wird.
Dies bestätigt Fig. 6, wo in Funktion des Ge-Gehaltes in % bei den angegebenen Arbeitspunkten die Wachstumsrate GR angegeben ist. Daraus ist ersichtlich, dass sich die Wachstumsrate in einem sehr grossen Bereich des Ge- zu Si-Verhältnisses im wesent- liehen nicht ändert.
Das erfindungsgemässe Vorgehen wurde primär anhand von Versuchen, Si-, Ge- oder Si/Ge-Legierungsschichten bzw. Ga- und Ga- Verbindungsschichten, alle dotiert und nicht dotiert, abzulegen, erhärtet.
Mit dem erfindungsgemässen Vorgehen werden, kombiniert, höchste Schichtqualität bei sehr hohen Abscheidungsraten und gleichzeitig bei sehr hohem Wirkungsgrad, was abgelegtes Schichtmaterial pro eingelassener Reaktivgasmenge anbelangt, und bei tiefen Temperaturen < 600 °C, erreicht. Damit eignet sich das vorge- schlagene Vorgehen ausserordentlich gut für die industrielle (Anhang "A" PCT/CH98/00221)
Fertigung, seien dies epitaxialer Schichten oder seien dies anderer Schichten in höchster Qualität.
(Anhang "A" PCT/CH98/00221)
Literaturangaben :
(1) Handbook of thin-film deposition processes and techniques, ed. Klaus K. Schuegraf, Noyes Publications, New Jersey, U.S.A., 1988, ISBNN 0-8155-1153-1
(2) Atmospheric pressure chemical vapor deposition of Si and SiGe at low temperatures, T.O. Sedgwick and P.D. Agnello, J. Vac.Sci.Technol. A10, 1913 (1992)
(3) Submicron highly doped Si layers grown by LPVPE, L. Vescan, H. Beneking and 0. Meyer, J. Cryst. Growth 76, 63 (1986)
(4) Low-temperature Silicon epitaxy by ultrahigh va- cuum/chemical vapor deposition, B.S. Meyerson, Appl . Phys . Lett. 48, 797 (1986),
(5) Cooperative growth phenomena in silicon/germanium low- temperature epitaxy, B.S. Meyerson, K.J. Ura , and F.K. LeGoues, Appl. Phys. Lett. 53, 2555 (1988),
(6) Silicon epitaxy at 650 - 800°C using low-pressure chemical vapor deposition both with and without plasma enhancement, T.J. Donahue and R. Reif. J. Appl. Phys. 57, 2757 (1985)
(7) Low te perature Silicon epitaxy by hot wall ultrahigh va- cuum low pressure chemical vapor deposition techniques:
Surface optimazion, B.S. Meyerson, E. Ganin, D.A. Smith, and T.N. Nguyen, J. Electrochem. Soc. 133, 1232 (1986)
(8) Kinectics of surface reactions in very low-pressure chemical vapor deposition of Si fro SiH4, S.M. Gates and S.K. Kulkarni, Appl. Phys. Lett. 58, 2963 (1991)
(9) Electron cyclotron resonance assisted low temperature ultrahigh vacuum chemical vapor deposition of Si using si- lane, D.S. Mui, S.F. Fang, and H. Morkoc, Appl. Phys. Lett. 59, 1887 (1991) (Anhang "A" PCT/CH98/00221)
(10) Low-temperature Silicon homoepitaxy by ultrahigh vacuum electron cyclotron resonance chemical vapor deposition, H- S. Tae, S-H. Hwang, S-J. Park, E. Yoon, and K-W. Whang, Appl. Phys. Lett. 64, 1021 (1994),
(11) Epitaxial growth of Silicon from SiH4 in the temperature ränge 800° - 1150°C, W.G. Townsend and M.E. Uddin, Solid • State Electron 16, 39 (1973)
(12)Homoepitaxial films grown on Si(100) at 150°C by remote plasma-enhanced chemical vapor deposition, L. Breaux, B. Anthony, T. Hsu, B. Banerjee, and A. Tasch. Appl. Phys. Lett. 55, 1885 (1989) .
(13) Growth of GexSiι/Si heteroepitaxial films by remote plasma chemical vapour deposition, R. Qian, D. Kinosky, T. Hsu, J. Irby, A. Mahajan, S. Thomas, B. Anthony, S. Banerjee, A. Tasch, L. Rabenberg and C. Magee, J. Vac. Sei. Technol. A 10, 1920 (1992)
(14) Low temperature epitaxial Silicon film growth using high vacuum electron-cyclotron-resonance plasma deposition, S.J. DeBoer, V.L. Dalal, G. Chumanov, and R. Bartels, Appl. Phys. Lett. 66, 2528 (1995).
(15) Hydrogen plasma chemical cleaning of metallic Substrates and Silicon wafers; W. Korner et al., Balzers Ltd., Liechtenstein, Surface and coatings technology, 76 - 77 (1995) 731 - 737. (Anhang "A" PCT/CH98/00221) Patentansprüche :
1. Verfahren zur Herstellung beschichteter Werkstücke, mit für die Epitaxie genügender Qualität, dadurch gekennzeichnet, dass man das Werkstück mittels PECVD beschichtet unter Einsatz einer DC-Entladung. 2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass die Beschichtung mit einer Wachstumsrate
GR > 150 Ä/min
und mit einer Gasausnützungs-Zi fer
1 % < GAF < 90 %
o erfolgt.
3. Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass die Wachstumsrate
GR > 300 Ä/min beträgt, vorzugsweise
GR > 600 Ä/min, besonders bevorzugt
5 GR > l'OOO Ä/min.
4. Verfahren nach Anspruch 3, dadurch gekennzeichnet, dass die Gasausnützungsziffer
GAF > 5 % ist.
5. Verfahren nach einem der Ansprüche 1 bis 4, dadurch ge- kennzeichnet, dass man die Entladung so stellt, dass sich bei
Sondenmessung am Ort, wo nachmals die zu beschichtende Werkstückfläche positioniert wird, und auf gleichem Potential eine Stromdichte von mindestens 0,05 A/cm2 Sondenfläche einstellt, vorzugsweise von mindestens 0,1 A/cm2 bis zu einer Dichte von höchstens Entladestrom/Substratflache.
6. Verfahren nach Anspruch 5, dadurch gekennzeichnet, dass die gemessene Stromdichte überwiegend durch Elektronen-Einfall erzeugt wird.
7. Verfahren nach einem der Ansprüche 1 bis 6, dadurch ge- kennzeichnet, dass man einen Entladestrom IAK zu (Anhang "A" PCT/CH98/00221)
5 A < IAK < 400 A
wählt, vorzugsweise zu
20 A < IAK < 100 A.
8. Verfahren nach einem der Ansprüche 1 bis 7, dadurch ge- kennzeichnet, dass man die Entladungsspannung UAK zu
10 V < UAK < 80 V
vorzugsweise zu
20 V < UAK < 35 V
wählt.
9. Verfahren nach einem der Ansprüche 1 bis 8, dadurch gekennzeichnet, dass man den Reaktivgas-Partialdruck PR im Prozessraum zu
10~5 mbar < PR < 10"1 mbar
wählt, vorzugsweise zu
10"4 mbar < PR < 10~2 mbar.
10. Verfahren nach einem der Ansprüche 1 bis 9, dadurch gekennzeichnet, dass man die Entladung vornehmlich als Elektronenquelle für die Reaktivgas-Dissoziation einsetzt.
11. Verfahren nach einem der Ansprüche 1 bis 10, dadurch ge- kennzeichnet, dass man als DC-Entladung eine Niederspannungs- Entladung, vorzugsweise eine Heisskathoden-Niederspannungs- Entladung, einsetzt.
12. Verfahren nach einem der Ansprüche 1 bis 11, dadurch gekennzeichnet, dass man im Prozessraum einen Totaldruck Pτ wie folgt einstellt: (Anhang "A" PCT/CH98/00221)
10"4 mbar < Pτ ≤ 10_1 mbar,
vorzugsweise
10"3 mbar < Pτ < 10~2 mbar.
13. Verfahren nach einem der Ansprüche 1 bis 12, dadurch gekennzeichnet, dass man im Rezipienten einen Arbeitsgaspartial- druck PA wie folgt einstellt:
10-4 mbar < PA < 10_1 mbar, vorzugsweise
10~3 mbar < PA < 10~2 mbar.
14. Verfahren nach einem der Ansprüche 1 bis 13, dadurch gekennzeichnet, dass man die Entladespannung zwischen Entladungs- Kathode und auf ein Bezugspotential, vorzugsweise Masse- Potential, gelegte Vakuum Rezipientenwand anlegt.
15. Verfahren nach Anspruch 14, dadurch gekennzeichnet, dass man das Werkstück im Prozessraum
• auf Schwebepotential betreibt oder
• auf ein aufgeschaltetes Biaspotential legt.
16. Verfahren nach Anspruch 15, dadurch gekennzeichnet, dass man das Werkstück auf einer Spannung Us bezüglich Entladungs- anode betreibt, die negativ ist, vorzugsweise Us ≥ -25 V beträgt, vorzugsweise zwischen -15 V bis -3 V beträgt.
17. Verfahren nach einem der Ansprüche 14 bis 16, dadurch gekennzeichnet, dass man entlang der Entladungs-Strecke eine Hilfsanode vorsieht, vorzugsweise in Form einer die Entladung umschlingenden Ringanode, und diese auf eine vorzugsweise ein- (Anhang "A" PCT/CH98/00221)
stellbare Spannung bezüglich Entladungskathode betreibt, die vorzugsweise nicht grösser ist als die Entladungs-Spannung.
18. Verfahren nach einem der Ansprüche 1 bis 13, dadurch gekennzeichnet, dass im Vakuum-Rezipienten eine diesbezüglich isoliert montierte Anode für die Entladung vorgesehen wird, vorzugsweise in Form einer Ringanode.
19. Verfahren nach Anspruch 18, dadurch gekennzeichnet, dass man das Werkstück im Prozessraum
• auf Schwebepotential oder
• auf ein aufgeschaltetes Biaspotential
legt.
20. Verfahren nach Anspruch 19, dadurch gekennzeichnet, dass man das Werkstück bezüglich Entladungskathode höchstens auf Entladungsspannung betreibt.
21. Verfahren nach einem der Ansprüche 18 bis 20, dadurch gekennzeichnet, dass man die Vakuum-Rezipientenwand
• auf Schwebepotential oder
• über ein Impedanzelement an ein Bezugspotential gefesselt
betreibt.
22. Verfahren nach einem der Ansprüche 1 bis 13, dadurch gekennzeichnet, dass man das Werkstück bezüglich Anode der Entladung auf einer Spannung zwischen -25 V und +25 V betreibt, vorzugsweise für Ga-Verbindungen, vorzugsweise für Si-, Ge- oder deren Verbindungen, vorzugsweise
-20 V < Us < +20V, b b
(Anhang "A" PCT/CH98/00221)
dabei vorzugsweise auf einer negativen.
23. Verfahren nach einem der Ansprüche 1 bis 22, dadurch gekennzeichnet, dass man die Werkstücktemperatur auf höchstens 600°C hält, vorzugsweise zwischen 300°C und 600°C, vorzugsweise für Si-, Ge- oder deren Verbindungen und vorzugsweise für Ga- Verbindungen zwischen 300° und 800°C.
24. Verfahren nach einem der Ansprüche 1 bis 23, dadurch gekennzeichnet, dass man die Beschichtung mit einer Beschichtungsrate pro Reaktivgasfluss-Einheit GRF vornimmt, welche in- destens 7,5 Ä/ (sccm. in) beträgt, vorzugsweise mindestens 40
Ä/ (sccm. in) , besonders vorzugsweise mindestens 75 A/ (sccm.min) beträgt.
25. Verfahren nach einem der Ansprüche 1 bis 12, dadurch gekennzeichnet, dass man erwünschte Beschichtungsratenänderungen durch im wesentlichen hierzu proportionales Verstellen des Reaktivgasflusses in den Vakuumrezipienten vornimmt.
26. Verfahren nach einem der Ansprüche 1 bis 25, dadurch gekennzeichnet, dass man erwünschte Beschichtungsratenänderungen durch im wesentlichen hierzu proportionales Verstellen der Ent- ladungs-Stromdichte vornimmt, vorzugsweise durch Verstellung des Entladestromes und/oder der Entladespannung und/oder durch Umlenkung und/oder durch Bündelungsvariation der Entladung bezüglich des Werkstückes, letztere vorzugsweise elektrostatisch und/oder magnetisch.
27. Verfahren nach einem der Ansprüche 1 bis 26, dadurch gekennzeichnet, dass man das Werkstück unabhängig von der Entladung heizt.
28. Verwendung eines PECVD-Verfahrens mit DC-Entladung zur Herstellung von Epitaxie-Schichten. b /
(Anhang "A" PCT/CH98/00221)
29. Verwendung des Verfahrens nach einem der Ansprüche 1 bis 17 bzw. Verwendung nach Anspruch 28 für die Herstellung von Substraten mit einer Halbleiterschicht.
30. Verwendung nach Anspruch 29 für die Herstellung von Sub- strafen mit einer Halbleiter-Epitaxieschicht oder einer polykristallinen oder einer amorphen Halbleiterschicht, vorzugsweise gesteuert durch das unbeschichtete Substrat, insbesondere dessen Oberflächeneigenschaften.
31. Verwendung nach einem der Ansprüche 28 bis 30 für die Her- Stellung von Substraten mit einer Silizium- und/oder Germaniumschicht oder einer Si/Ge-Legierungsschicht, vorzugsweise dotiert mit mindestens einem Element aus den Gruppen III und/oder V des Periodensystems.
32. Verwendung nach einem der Ansprüche 28 bis 30 für die Her- Stellung von Substraten mit einer Ga-Schicht oder einer Ga-
Verbindungs-Schicht, vorzugsweise dotiert mit mindestens einem Element der Gruppen II, III, IV oder VI des Periodensystems, z.B. mit Mg oder Si.
33. Verwendung nach einem der Ansprüche 28 bis 32, dadurch ge- kennzeichnet, dass man als Reaktivgas mindestens ein Si- und/oder Ge-haltiges Gas einsetzt und vorzugsweise zusätzlich Wasserstoffgas in den Reaktionsraum einbringt.
34. Verwendung nach einem der Ansprüche 28 bis 33 für die Werkstückbeschichtung mit Beschichtungsraten pro Reaktivgas- fluss-Einheit, GRF, von mindestens 7,5 Ä/ (sccm.min) , vorzugsweise von mindestens 40 Ä/ (sccm. in) , vorzugsweise gar von mindestens 75 Ä/ (sccm.min) .
35. Verwendung nach Anspruch 34 für die Beschichtung von Substraten bei Substrattemperaturen unterhalb 600 °C, vorzugsweise zwischen 300° und 600 °C für Si- Ge- und deren Verbindungen, (Anhang "A" PCT/CH98/00221)
vorzugsweise zwischen 300° und 800° für vorzugsweise Ga- Verbindungen .
36. Anlage zur Durchführung des Verfahrens nach einem der Ansprüche 1 bis 26 mit einem Vakuumrezipienten, über eine Blende daran angekoppelt, einer Kathodenkammer mit mindestens einer Heisskathode und einem im Rezipienten angeordneten Werkstückträger sowie einer Anodenanordnung, wobei der Werkstückträger elektrisch isoliert im Rezipienten montiert ist.
37. Anlage nach Anspruch 36, dadurch gekennzeichnet, dass der Werkstückträger bezüglich der Anode auf einstellbare Spannung legbar ist oder potential-schwebend ist, dabei das Rezipienten- gehäuse auf Anodenpotential liegt und die Kathode mit Bezug auf Anodenpotential auf kathodisches Potential, vorzugsweise zwischen 10 und 80 V, dabei besonders bevorzugterweise zwischen 20 und 35 V, legbar ist, wobei vorzugsweise der Werkstückträger bezogen auf das Anodenpotential höchstens um ± 25 V verstellbar ist.
38. Anlage nach Anspruch 36 oder 37, dadurch gekennzeichnet, dass die Anodenanordnung für die Entladung die Vakuum- Rezipientenwand umfasst oder die Anodenanordnung im Rezipienten isoliert montiert ist.
39. Anlage nach Anspruch 38, dadurch gekennzeichnet, dass der Werkstückträger potential-schwebend ist und so angeordnet ist, dass seine Spannung bezüglich der Anodenanordnung sich nicht negativer als -25 V einstellt, vorzugsweise auf -3 V bis -15 V.
40. Anlage nach Anspruch 38, dadurch gekennzeichnet, dass der Werkstückträger mittels einer vorzugsweise einstellbaren Bias- Quelle bezüglich der Anodenanordnung auf eine Spannung von -25 V bis +25 V legbar ist, vorzugsweise auf eine negative, vor- zugsweise von -15 V bis -3 V. (Anhang "A" PCT/CH98/00221)
41. Anlage nach einem der Ansprüche 36 bis 40, dadurch gekennzeichnet, dass eine Hilfsanode vorgesehen ist, vorzugsweise in Form einer konzentrisch zur Achse der Blende angeordneten Ringanode, die bezüglich Rezipientenwandung auf gleiches oder un- terschiedliches Potential legbar ist bzw. gelegt ist.
42. Anlage nach einem der Ansprüche 36 bis 41, dadurch gekennzeichnet, dass die Rezipientenwand potential schwebend oder über ein Impedanzelement, vorzugsweise ein Widerstandselement, an ein Bezugspotential gefesselt ist.
43. Anlage nach einem der Ansprüche 36 bis 42, dadurch gekennzeichnet, dass zwischen Heisskathode und mindestens einem Teil der Anodenanordnung eine Spannung UAK von
10 V < UA ≤ 80 V, vorzugsweise
20 V < UAK < 35 V
eingestellt ist.
44. Anlage nach einem der Ansprüche 36 bis 43, dadurch gekennzeichnet, dass zwischen Werkstückträger und höchstem Potential an der Anodenanordnung eine Spannung Us von
-25 V < Us < +25V
eingestellt ist, vorzugsweise eine negative, vorzugsweise von
-15 V < Us < -3 V.
45. Anlage nach einem der Ansprüche 36 bis 44, dadurch gekennzeichnet, dass in die Kathodenkammer eine mit einem Arbeitsgastank, vorzugsweise einem Argongastank, verbundene Gaszuführlei- tung einmündet.
46. Anlage nach einem der Ansprüche 36 bis 45, dadurch gekennzeichnet, dass im wesentlichen konzentrisch zur Blendenachse t u (Anhang "A" PCT/CH98/00221)
eine Magnetanordnung vorgesehen ist zur Erzeugung eines zur Blendenachse koaxialen oder diesbezüglich versetzten Magnetfeldes im Rezipienten, wobei die Magnetanordnung Permanentmagnete und/oder mindestens eine Spulenanordnung umfasst.
47. Anlage nach einem der Ansprüche 36 bis 46, dadurch gekennzeichnet, dass der Rezipient an eine Turbovakuumpumpe, vorzugsweise eine Turbomolekularpumpe, angeschlossen ist.
48. Anlage nach einem der Ansprüche 36 bis 47, dadurch gekennzeichnet, dass die Heisskathode einen Elektronenstrom von 5 bis 400 A liefert, vorzugsweise zwischen 20 und 100 A.
49. Anlage nach einem der Ansprüche 36 bis 48, dadurch gekennzeichnet, dass der Werkstückträger am Ort höchster Elektronendichte der Entladung, vorzugsweise im wesentlichen konzentrisch zur Blendenachse im Rezipienten angeordnet ist.
50. Anlage nach einem der Ansprüche 36 bis 49, dadurch gekennzeichnet, dass der Rezipient mit einer Gastankanordnung verbunden ist, die ein Si- und/oder Ge-haltiges Gas enthält oder ein Ga-haltiges Gas, vorzugsweise zusätzlich mit H2.
51. Verwendung der Anlage nach einem der Ansprüche 36 bis 50 nach den Ansprüchen 28 bis 35.
52. Verwendung eines PECVD-Beschichtungsverfahrens mit DC- Entladung für das Aufwachsen von Epitaxie-Schichten.
53. Verfahren zum Betrieb einer PECVD-Anlage nach einem der Ansprüche 36 bis 50, derart, dass durch Vorgabe der Werk- stückoberflächen-Eigenschaften, wie der Kristallstruktur, gesteuert wird, ob eine polykristalline, amorphe oder epitaktische Schicht entsteht.
54. Verwendung des Verfahrens nach einem der Ansprüche 1 bis 27 bzw. einer Anlage nach einer der Ansprüche 36 bis 50 für die Herstellung von Solarzellen. Zusammenfassung:
(Ende des Anhangs "A" der Beschreibung PCT/CH98/00221)
Es wird vorgeschlagen, Schichten auf Werkstücke in für Epitaxie genügender Qualität abzulegen und dabei die Abscheiderate dadurch wesentlich zu erhöhen, dass anstelle beispielsweise von UHV-CVD oder ECR-CVD ein PECVD-Verfahren eingesetzt wird, unter Einsatz einer DC-Plasmaentladung.
(keine Fig. )
Figure imgf000073_0001
Anhang "A1 FIG.2 (Anhang "A")
Figure imgf000074_0001
FiG.3
Figure imgf000074_0002
FIG.4-
Anhang "A1
Figure imgf000075_0001
Flow[sccm]
FIG.5
[
Figure imgf000075_0002
Germanium conc. [%]
Anhang "A" FIG.6 (Anhang "A")
Figure imgf000076_0001
FiG.7
Anhang "A1

Claims

Patentansprüche :
1. Verfahren zur Herstellung von Teilen als elektronische, optoelektronische, optische oder mikromechanische Bauelemente oder als Zwischenprodukte hierfür, durch Einsatz mindestens eines plasmaunterstützten Behandlungsschrittes, bei dem in einem Prozessraum (PR) eingelassenes Reaktivgas oder -gasgemisch mittels einer niederenergetischen Plasmaentladung (PL) mit Ionenenergie E an der Oberfläche des in der Plasmaentladung gelegenen Teiles von
0 eV < E < 15 eV
aktiviert wird, dadurch gekennzeichnet, dass die Prozessatmosphäre (PR) während dem Behandlungsschritt von der Innenwandung eines an Umgebung liegenden Vakuumrezipienten (1) getrennt (15; 15a; 14; 15b) wird.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass der mindestens eine plasmaunterstützte Behandlungsschritt einer der folgenden ist:
(a) Beschichten des Teiles oder
(b) Verändern der Materialzusammensetzung des Teiles bis zu einer vorgegebenen Eindringtiefe
(c) Ätzen der Oberfläche des Teiles.
3. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass vor und/oder nach dem mindestens einen plasmaunterstützten Behandlungsschritt ein plasmaunterstützter Reinigungsschritt als ein weiterer plasmaunterstützter Behandlungsschritt genannter Art vorgenommen wird, bevorzugterweise in einem Plasma, enthaltend Wasserstoff, Edelgas oder eine Mischung daraus.
4. Verfahren nach einem der Ansprüche 1 bis 3, dadurch gekennzeichnet, dass örtlich von der Durchführung des erwähnten, mindestens einen plasmaunterstützten Behandlungsschrittes abgesetzt, das Teil gereinigt wird, durch Einsatz eines plasmaunterstützten Teilreinigungsschrittes, bei dem in einen Prozessraum (PR) eingelassenes Reaktivgas oder -gasgemisch - vorzugsweise Wasserstoff enthaltend - mittels einer niederenergetischen Plasmaentladung (PL) mit Ionenenergie (E) an der Oberfläche des Teils von
0 eV < E < 15 eV
aktiviert wird, wobei während dem Teilreinigungsschritt die Reinigungsprozessatmosphäre mittels einer metallischen Umkapselung (15b) von der Innenwandung eines an Umgebung liegenden Vakummrezipienten abgetrennt wird oder - und dies bevorzugt - die Reinigungsprozessatmosphäre direkt durch die Innenwandung des an Umgebung liegenden Vakuumrezipienten (1) begrenzt wird.
5. Verfahren nach einem der Ansprüche 3 oder 4 zur Erzeugung eines virtuellen Substrates, dadurch gekennzeichnet, dass man
i) ein Substrat einer Reinigung nach Anspruch 3 oder 4, vorzugsweise unter Mitverwendung von Wasserstoff als Reaktivgas, unterzieht; ii) eine heteroepitaktische Schicht als plasmaunterstützten Behandlungsschritt aufwachst;
iii) gegebenenfalls eine zu nutzende Halbleiterschicht als weiteren plasmaunterstutzten Behandlungsschritt aufwachst.
6. Verfahren nach einem der Ansprüche 1 bis 5, dadurch gekennzeichnet, dass man in einem Prozessraum (PR) in serieller zeitlicher Abfolge anfallende Teile jeweils mindestens einem der plasmaunterstutzten Behandlungsschritte unterzieht und nach Durchfuhrung einer vorgegebenen Anzahl dieser plasmaunterstutzten Behandlungsschritte, im erwähnten Prozessraum (PR) einen weiteren plasmaunterstutzten Behandlungsschritt vornimmt, welcher aus einem plasmaunterstutzten Prozessraum- Reinigungsschritt ohne eingeführtes Teil oder mit Substratattrappe besteht, der bevorzugt erst einen Atzschritt umfasst, danach einen Reinigungsschritt, bevorzugt in einem Plasma, enthaltend Wasserstoff, Edelgas oder eine Mischung daraus.
7. Verfahren nach einem der Ansprüche 1 bis 6, dadurch gekennzeichnet, dass man das Teil, ortlich getrennt, mindestens zweien der plasmachemischen Behandlungsschritte unterzieht und den Transport des Teiles dazwischen in Vakuum vornimmt .
8. Verfahren nach Anspruch 7, dadurch gekennzeichnet, dass der Transport in Vakuum mindestens stuckweise linear erfolgt oder und bevorzugt entlang einer Kreisbahn mit linearen Zufuhrbewegungen zu den Prozessen, vorzugsweise it bezuglich Kreisbahn radialer Bewegungskomponente.
9. Verfahren nach einem der Ansprüche 1 bis 8, dadurch gekennzeichnet, dass die Trennung durch Begrenzung des Prozessraumes mittels einer im Neuzustand chemisch gegen das plasmaaktivierte Reaktivgas oder -gasgemisch inerte Oberfläche erfolgt, vorzugsweise mittels einer dielektrischen oder graphitischen Oberfläche.
10. Verfahren nach Anspruch 9, dadurch gekennzeichnet, dass die inerte Oberfläche die Oberfläche einer Trennwand ist, die entlang überwiegender Flächenabschnitte von der Innenwandung des Vakuumrezipienten beabstandet (ZW) wird.
11. Verfahren nach Anspruch 10, dadurch gekennzeichnet, dass der Prozessraum (PR) und der Zwischenraum (ZW) zwischen Trennwand und Innenwandung des Vakuumrezipienten gleich oder unterschiedlich (13a, 13b, 115) gepumpt werden.
12. Verfahren nach einem der Ansprüche 9 bis 11, dadurch gekennzeichnet, dass die Oberfläche im Neuzustand aus mindestens einem der folgenden Materialien realisiert wird:
Quarz, Graphit, Siliziumkarbid, Siliziumnitrid, Aluminiumoxid, Titanoxid, Tantaloxid, Nioboxid, Zirkonoxid, oder einer geschichteten Kombination dieser Materialien, diamantähnlicher Kohlenstoff oder Diamant.
13. Verfahren nach einem der Ansprüche 1 bis 12, dadurch gekennzeichnet, dass man in einer Trennwand eine Zuführöffnung (123) für das Teil (120) vorsieht und diese, für die Behandlung, mit dem Teil und/oder mit einem Träger (119) für das Teil (120) verschliesst .
14. Verfahren nach einem der Ansprüche 1 bis 13, dadurch gekennzeichnet, dass man die Plasmaentladung mit einer Elektronenquelle (105) mit Elektronenenergie < 100 eV, vorzugsweise < 50 eV, insbesondere bevorzugt mittels einer DC-Entladung realisiert.
15. Verfahren nach Anspruch 14, dadurch gekennzeichnet, dass man die Plasmaentladung mittels einer thermionischen Kathode (107) realisiert, vorzugsweise mit einer direkt beheizten thermionischen Kathode.
16. Verfahren nach einem der Ansprüche 1 bis 15, dadurch gekennzeichnet, dass man im Prozessraum mindestens zwei örtlich versetzte und vorzugsweise je beheizbare Anoden
(117a, 117b) für die Plasmaentladung vorsieht, vorzugsweise je getrennt elektrisch betätigbar, und durch Steuerung der je daran angelegten elektrischen Potentiale und/oder ihrer Temperatur die Plasmadichteverteilung (V) im Prozessraum dynamisch oder statisch einstellt oder steuert.
17. Verfahren nach einem der Ansprüche 1 bis 16, dadurch gekennzeichnet, dass man im Prozessraum (PR) ein Magnetfeld (H) erzeugt (133, 135) und mittels dieses Magnetfeldes, stationär und/oder dynamisch, die Plasmadichteverteilung (V) an der Teiloberfläche einstellt oder steuert, vorzugsweise mindestens örtlich wobbelt.
18. Verfahren nach einem der Ansprüche 1 bis 17, dadurch gekennzeichnet, dass das Reaktivgas verteilt in die Prozessatmosphäre eingelassen (137) wird, vorzugsweise mit einer Einströmrichtung im wesentlichen parallel zur
Teiloberfläche (120) und, weiter bevorzugt, mit von der Teiloberfläche äquidistanten Eindüsungsstellen.
19. Verfahren nach einem der Ansprüche 1 bis 18, dadurch gekennzeichnet, dass man für den mindestens einen plasmaunterstützten Behandlungsschritt den Partialdruck von Gasen in der Prozessatmosphäre (PR), abgesehen von einem Arbeitsedelgas und dem Reaktivgas bzw. dessen gasförmige Reaktionsprodukte, auf höchstens 10~8 mbar, vorzugsweise auf höchstens 10"9 mbar hält (UHV) .
20. Verfahren nach einem der Ansprüche 1 bis 19, dadurch gekennzeichnet, dass der mindestens eine plasmaunterstützte Behandlungsschritt das Ablegen einer ho o- oder hetero- epitaktischen Schicht ist.
21. Verfahren nach Anspruch 20, dadurch gekennzeichnet, dass als homo- oder hetero-epitaktische Schicht eine Silizium/Germanium-Schicht abgelegt wird.
22. Verfahren nach einem der Ansprüche 1 bis 21, dadurch gekennzeichnet, dass das Teil ein im wesentlichen scheibenförmiger Teil (120) ist.
23. Verfahren nach einem der Ansprüche 1 bis 22, dadurch gekennzeichnet, dass das der Behandlung unterworfene Teil ein Silizium-Wafer oder ein Wafer aus einem
Verbindungshalbleiter, vorzugsweise aus Galliumarsenid oder Indiumphosphid oder Siliziumkarbid oder aus Glas ist.
24. Verfahren nach einem der Ansprüche 1 bis 23, dadurch gekennzeichnet, dass eine Schicht mit mindestens einem der folgenden Materialen abgelegt wird:
Silizium, Silizium-Germanium-Verbindung, Silizium- Germanium-Kohlenstoff-Verbindung, Diamant, diamantähnliche Verbindung, Siliziumkarbid, Siliziumnitrid, Aluminiumoxid, Siliziumoxid, Galliumnitrid, Galliumarsenid, Aluminium, Kupfer, Indiumphosphid, kubisches Bornitrid.
25. Verfahren nach einem der Ansprüche 1 bis 24 für die Herstellung von virtuellen Substraten, die vorzugsweise Silizium-Germanium enthalten.
26. Verfahren nach einem der Ansprüche 1 bis 25 für die Behandlung von Teilen mit einem Durchmesser der jeweils gleichzeitig zu behandelnden Oberflächen von mindestens 150 mm, vorzugsweise von mindestens 200 mm, vorzugsweise gar von mindestens 300 mm.
27. Verfahren nach einem der Ansprüche 1 bis 26, dadurch gekennzeichnet, dass die plasmaunterstützte Behandlung ein Beschichten des Teiles mit einer Beschichtungsrate von mindestens 60 nm/Min. ist.
28. Verfahren zur Herstellung eines virtuellen Substrates oder darauf aufbauenden Bauteils, vorzugsweise auf Silizium-Germanium-Basis, mindestens einen Reinigungsschritt umfassend, dadurch gekennzeichnet, dass man diesen Reinigungsschritt als plasmaunterstützten Reinigungsschritt durchführt, bei dem das zu reinigende
Substrat in einem Prozessraum eingelassenem Reaktivgas oder -gasgemisch ausgesetzt wird, aktiviert mittels einer niederenergetischen Plasmaentladung mit Ionenenergie E an der Oberfläche des Teiles von
0 eV < E < 15 eV.
29. Vakummbehandlungssystem, insbesondere für die Durchführung eines der Verfahren nach einem der Ansprüche 1 bis 28 mit
mindestens einer Vakuumkammer (1), darin
- mindestens einem Werkstückträger (5) ,
- einer Plasmaerzeugungsanordnung zur Erzeugung eines Plasmas in der Kammer (1),
einer mit einer Gastankanordnung mit mindestens einem Reaktivgas oder -Gasgemisch verbundenen Gaseinlassanordnung (7) in der Kammer (1) ,
dadurch gekennzeichnet, dass in der Vakuumkammer (1) eine Prozesskammer (PR) vorgesehen ist, worin der Werkstückträger (5) in Bearbeitungsposition freiliegt, worin das Plasma (PL) erzeugt wird und -womit die Gaseinlassanordnung in Wirkverbindung steht, wobei weiter die Prozesskammer-Innenwandoberfläche, im Neuzustand, aus einem gegen das plasmaaktivierte Reaktivgas oder -Gasgemisch inerten Material (15, 15a, 113) besteht, vorzugsweise aus einem dielektrischen Material oder graphitischen Material.
30. Vakuumbehandlungssystem nach dem Oberbegriff von Anspruch 29, dadurch gekennzeichnet, dass in der Vakuumkammer eine Prozesskammer (PR) vorgesehen ist, worin der Werkstückträger (15) in Bearbeitungsposition freiliegt, worin das Plasma (PL) erzeugt wird und womit die
Gaseinlassanordnung (7) in Wirkverbindung steht, wobei weiter die Prozesskammer (PR) von einer entlang überwiegender Flächenabschnitte von der Vakuumkammerwandung nach innen abgesetzten Ummantelung (14, 15b) gebildet ist.
31. Vakuumbehandlungssystem mit den kombinierten Kennzeichen der Ansprüche 29 und 30.
32. Vakuumbehandlungssystem nach einem der Ansprüche 29 bis 31, dadurch gekennzeichnet, dass im Neuzustand die Innenfläche (15, 15a, 113) der Prozesskammer mindestens an überwiegenden Abschnitten aus mindestens einem der folgenden Materialien besteht:
Quarz, Graphit, Siliziumkarbid, Siliziumnitrid,
Aluminiumoxid, Titanoxid, Tantaloxid, Nioboxid, Zirkonoxid, oder einer geschichteten Kombination dieser Materialien, diamantähnlicher Kohlenstoff oder Diamant.
33. System nach einem der Ansprüche 29 bis 32, dadurch gekennzeichnet, dass die Prozesskammerwand (14, 15b, 113) austauschbar bezüglich der Vakuumkammerwand (1) befestigt ist.
34. System nach einem der Ansprüche 29 bis 33, dadurch gekennzeichnet, dass die Plasmaerzeugungsanordnung eine Anordnung zur Erzeugung einer niederenergetischen
Plasmaentladung ist mit Ionenenergie E im Bereich des Werkstückträgers (5) von 0 eV < E < 15 eV.
35. System nach Anspruch 34, dadurch gekennzeichnet, dass die Plasmaerzeugungsanordnung eine Elektronenquelle (105) mit Elektronenenergie < 100 eV, vorzugsweise < 50 eV umfasst, vorzugsweise eine DC-Niederspannung- Plasmaerzeugungsanordnung ist, insbesondere bevorzugt mit thermionischer Kathode (107), insbesondere einer direkt geheizten thermionischen Kathode.
36. System nach einem der Ansprüche 29 bis 35, dadurch gekennzeichnet, dass an der Vakuumkammer (1) und diesbezüglich bevorzugterweise elektrisch isoliert, eine Kathodenkammer (109) angebracht ist, mit der Vakuumkammer (1) über eine Blende (111) kommunizierend.
37. System nach Anspruch 36, dadurch gekennzeichnet, dass die Achse (A) der Blende (111) die Werkstückaufnahmefläche (119a) des Werkstückträgers (119) schneidet, vorzugsweise im wesentlichen senkrecht schneidet, vorzugsweise im wesentlichen zentral.
38. System nach Anspruch 30 oder einem der Ansprüche 32 bis 37, sofern von Anspruch 30 abhängig, dadurch gekennzeichnet, dass das Material der Prozesskammerwandung (15b) aus einem Metall, vorzugsweise aus Tantal oder Inkonell, besteht.
39. System nach einem der Ansprüche 35 bis 38, dadurch gekennzeichnet, dass in der Prozesskammer (PR) mindestens zwei örtlich versetzte Anoden (117a, b) vorgesehen sind, welche auf unterschiedliche elektrische Potentiale legbar sind und welche bevorzugterweise je beheizbar sind.
40. System nach Anspruch 36 oder 37, dadurch gekennzeichnet, dass entlang der Blendenachse (A) , in ihrer Längsausrichtung versetzt, mindestens zwei bevorzugt zur Achse koaxiale Anoden (117a, b) in der Prozesskammer vorgesehen sind, welche, weiter bevorzugt, auf unterschiedliche elektrische Potentiale legbar sind und, weiter bevorzugt, je unterschiedlich beheizbar sind.
41. System nach einem der Ansprüche 29 bis 40, dadurch gekennzeichnet, dass die Vakuumkammerwand (101) in überwiegenden Flächenabschnitten doppelwandig ausgebildet ist und der Zwischenraum mit einem Temperiermediumanschluss verbunden ist, vorzugsweise mit einem Anschluss für eine Temperierflüssigkeit .
42. System nach der Ansprüche 29 bis 41, dadurch gekennzeichnet, dass eine Magnetfelderzeugungsanordnung (133, 135), vorzugsweise eine steuerbare, vorgesehen ist, die in der Prozesskammer (PR) ein Magnetfeld erzeugt und die bevorzugterweise ausserhalb der Vakuumkammer vorgesehene Helmholtz-Spulen (133) umfasst.
43. System nach einem der Ansprüche 29 bis 42, dadurch gekennzeichnet, dass die Prozesskammer (PR) entlang überwiegender Flächenabschnitte von der Vakuumkammerwand (1) beabstandet ist, und dass das Innere der Prozesskammer (PR) und der so gebildete Zwischenraum über gleiche oder unterschiedliche Pumpquerschnitte mit einem gemeinsamen
Pumpanschluss wirkverbunden sind oder für Prozesskammer und Zwischenraum je mindestens ein Pumpanschluss vorgesehen ist.
44. System nach einem der Ansprüche 29 bis 43, dadurch gekennzeichnet, dass der Werkstückträger (119) bezüglich einer Öffnung (123) an der Prozesskammer (PR) , vorzugsweise linear und in Richtung der Öffnungsflächennormalen, getrieben (121) beweglich ist und bevorzugterweise in gegen die Prozesskammer (PR) hochgefahrener Position den Prozesskammer-Innenraum verschliesst .
45. System nach einem der Ansprüche 29 bis 44, dadurch gekennzeichnet, dass der Werkstückträger (119) mit einer Temperiereinrichtung (127) wirkverbunden ist.
46. System nach einem der Ansprüche 29 bis 45, dadurch gekennzeichnet, dass die Vakuumkammer (1) mindestens eine dichtend verschliessbare Werkstückzuführöffnung (129) aufweist.
47. Vakuumbehandlungssystem nach einem der Ansprüche 29 bis 46, dadurch gekennzeichnet, dass die Vakuumkammer (1) eine gesteuert verschliessbare Werkstückzuführöffnung (129) hat, und dass mindestens zwei der Vakuumkammern vorgesehen sind, deren Zuführöffnungen über eine Vakuum- Werkstücktransportanordnung verbunden sind.
48. System nach Anspruch 47, dadurch gekennzeichnet, dass die Vakuumtransportanordnung eine lineare Transportanordnung oder eine rotatorische (150) Transportanordnung ist, vorzugsweise letzteres.
49. System nach einem der Ansprüche 47 oder 48, dadurch gekennzeichnet, dass die Prozesskammer ■ (PR) in einer der vorgesehenen Vakuumkammern (1) durch die metallische Innenfläche der Vakuumkammer (1) selbst begrenzt ist und die Plasmaerzeugungsanordnung zur Erzeugung des Plasmas sowie die mit einer Tankanordnung mit mindestens einem Reaktivgas verbundene Gaseinlassanordnung aufweist.
PCT/CH2002/000090 2001-02-26 2002-02-14 Verfahren zur herstellung von teilen und vakuumbehandlungssystem WO2002068710A1 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2002568803A JP2004519108A (ja) 2001-02-26 2002-02-14 部材製造方法と真空処理システム
KR10-2003-7011145A KR20030090650A (ko) 2001-02-26 2002-02-14 부품 제조 방법 및 진공 처리 시스템
EP02710739A EP1366208A1 (de) 2001-02-26 2002-02-14 Verfahren zur herstellung von teilen und vakuumbehandlungssystem

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CH358/01 2001-02-26
CH3582001 2001-02-26

Publications (1)

Publication Number Publication Date
WO2002068710A1 true WO2002068710A1 (de) 2002-09-06

Family

ID=4511818

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CH2002/000090 WO2002068710A1 (de) 2001-02-26 2002-02-14 Verfahren zur herstellung von teilen und vakuumbehandlungssystem

Country Status (6)

Country Link
EP (1) EP1366208A1 (de)
JP (1) JP2004519108A (de)
KR (1) KR20030090650A (de)
CN (1) CN1494604A (de)
TW (1) TW573050B (de)
WO (1) WO2002068710A1 (de)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006000846A1 (en) * 2004-06-08 2006-01-05 Epispeed S.A. System for low-energy plasma-enhanced chemical vapor deposition
EP1858061A1 (de) * 2005-03-07 2007-11-21 Sharp Kabushiki Kaisha Plasmabehandlungsvorrichtung und halbleiter-dünnfilm-herstellungsverfahren damit
DE102011088099A1 (de) * 2011-12-09 2013-06-13 Von Ardenne Anlagentechnik Gmbh Vakuumkammer und Verfahren zu deren Herstellung
DE102022102768A1 (de) 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101038843B1 (ko) * 2005-10-05 2011-06-03 어플라이드 머티어리얼스, 인코포레이티드 에피택셜막 형성 방법 및 장치
KR100892249B1 (ko) * 2007-11-21 2009-04-09 주식회사 디엠에스 플라즈마 반응장치
CN104421437B (zh) * 2013-08-20 2017-10-17 中微半导体设备(上海)有限公司 活动阀门、活动屏蔽门及真空处理系统
CN107601480B (zh) * 2017-10-20 2023-07-25 南通富莱克流体装备有限公司 石墨烯生产设备
CN108990245B (zh) * 2018-06-04 2021-01-12 台州学院 一种小型面积可调等离子体源

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786616A (en) * 1987-06-12 1988-11-22 American Telephone And Telegraph Company Method for heteroepitaxial growth using multiple MBE chambers
EP0859070A1 (de) * 1997-02-06 1998-08-19 Applied Materials, Inc. Innenbeschichtung von Vakuumbehältern
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
EP0884401A1 (de) * 1997-06-11 1998-12-16 Applied Materials, Inc. Verfahren und Anlage zur Innenbeschichtung einer Behandlungskammer
WO1998058099A1 (de) * 1997-06-13 1998-12-23 Balzers Hochvakuum Ag Verfahren zur herstellung beschichteter werkstücke, verwendungen des verfahrens und anlage hierfür
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6120660A (en) * 1998-02-11 2000-09-19 Silicon Genesis Corporation Removable liner design for plasma immersion ion implantation
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4786616A (en) * 1987-06-12 1988-11-22 American Telephone And Telegraph Company Method for heteroepitaxial growth using multiple MBE chambers
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5824365A (en) * 1996-06-24 1998-10-20 Micron Technology, Inc. Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor
EP0859070A1 (de) * 1997-02-06 1998-08-19 Applied Materials, Inc. Innenbeschichtung von Vakuumbehältern
EP0884401A1 (de) * 1997-06-11 1998-12-16 Applied Materials, Inc. Verfahren und Anlage zur Innenbeschichtung einer Behandlungskammer
WO1998058099A1 (de) * 1997-06-13 1998-12-23 Balzers Hochvakuum Ag Verfahren zur herstellung beschichteter werkstücke, verwendungen des verfahrens und anlage hierfür

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1366208A1 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006000846A1 (en) * 2004-06-08 2006-01-05 Epispeed S.A. System for low-energy plasma-enhanced chemical vapor deposition
EP1858061A1 (de) * 2005-03-07 2007-11-21 Sharp Kabushiki Kaisha Plasmabehandlungsvorrichtung und halbleiter-dünnfilm-herstellungsverfahren damit
EP1858061A4 (de) * 2005-03-07 2010-05-19 Sharp Kk Plasmabehandlungsvorrichtung und halbleiter-dünnfilm-herstellungsverfahren damit
DE102011088099A1 (de) * 2011-12-09 2013-06-13 Von Ardenne Anlagentechnik Gmbh Vakuumkammer und Verfahren zu deren Herstellung
DE102022102768A1 (de) 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor

Also Published As

Publication number Publication date
CN1494604A (zh) 2004-05-05
TW573050B (en) 2004-01-21
JP2004519108A (ja) 2004-06-24
EP1366208A1 (de) 2003-12-03
KR20030090650A (ko) 2003-11-28

Similar Documents

Publication Publication Date Title
EP1424405B1 (de) Verfahren und Anlage zur Herstellung beschichteter Werkstücke
EP0478909B1 (de) Verfahren zur Herstellung einer Diamantschicht und Anlage hierfür
US4579609A (en) Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
EP1412552B1 (de) Verfahren zur herstellung beschichteter substrate
DE10080124B3 (de) Substratverarbeitungssystem, dessen Verwendung sowie Verfahren zur Bearbeitung eines Substrates
DE69919419T2 (de) Vorrichtung zur Abscheidung eines Filmes und Verfahren zur Herstellung eines kristallinen Filmes aus Silizium
JP2010520638A (ja) 原子層堆積の技術
US20060118043A1 (en) Method for producing coated workpieces, uses and installation for the method
WO2002068710A1 (de) Verfahren zur herstellung von teilen und vakuumbehandlungssystem
WO1999017345A1 (de) Verfahren zum thermischen ausheilen von durch implantation dotierten siliziumcarbid-halbleitern
JP2000068227A (ja) 表面処理方法および装置
DE69720791T2 (de) Verfahren zur herstellung von diamandfilmen unter verwendung eines dampfphasensynthesesystems
US20030056716A1 (en) Esrf source for ion plating epitaxial deposition
DE102012003903A1 (de) Verfahren zur thermischen Behandlung von Siliziumcarbidsubstraten
US5432124A (en) Method of manufacturing compound semiconductor
US6099917A (en) Pretreatment method for a substrate surface using ion beam radiation and nitride thin film forming method using thereof
EP3327169A1 (de) Verfahren zur formung einer materialschicht aus übergangsmetalldichalkogenid, tmdc
DE4427714C2 (de) Verfahren zum Bekeimen eines Substrats zur späteren Herstellung einer Komposit-Struktur
KR20170083782A (ko) 보론 도핑 다이아몬드 박막 형성방법 및 이에 의해 제조된 보론 도핑 다이아몬드 피증착 부재
RU2769751C1 (ru) Устройство для нанесения сверхтолстых слоев поликристаллического кремния
JP3550665B2 (ja) 炭化珪素薄膜の製造方法
US5599609A (en) Nonlinear optical material and method of producing the same
JP2608957B2 (ja) ダイヤモンド薄膜堆積用基板の製造方法
JPH0252422A (ja) 薄膜製造方法及び装置
JPH06179968A (ja) 高周波スパッタリング装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): CN JP KR SG US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2002710739

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2002568803

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020037011145

Country of ref document: KR

Ref document number: 028055330

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020037011145

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2002710739

Country of ref document: EP