JP2000286226A - 半導体ウエハ製造装置 - Google Patents

半導体ウエハ製造装置

Info

Publication number
JP2000286226A
JP2000286226A JP11091306A JP9130699A JP2000286226A JP 2000286226 A JP2000286226 A JP 2000286226A JP 11091306 A JP11091306 A JP 11091306A JP 9130699 A JP9130699 A JP 9130699A JP 2000286226 A JP2000286226 A JP 2000286226A
Authority
JP
Japan
Prior art keywords
wafer
chamber
semiconductor wafer
cleaning
wafer substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11091306A
Other languages
English (en)
Other versions
JP3250154B2 (ja
Inventor
Masanori Mayuzumi
雅典 黛
Masato Imai
正人 今井
Kazutoshi Inoue
和俊 井上
Shinji Nakahara
信司 中原
Masatoshi Yoshima
眞敏 儀間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Super Silicon Crystal Research Institute Corp
Original Assignee
Super Silicon Crystal Research Institute Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Super Silicon Crystal Research Institute Corp filed Critical Super Silicon Crystal Research Institute Corp
Priority to JP09130699A priority Critical patent/JP3250154B2/ja
Priority to PCT/JP2000/002094 priority patent/WO2000059017A1/ja
Priority to US09/937,525 priority patent/US6578589B1/en
Priority to EP00913038A priority patent/EP1189264A1/en
Publication of JP2000286226A publication Critical patent/JP2000286226A/ja
Application granted granted Critical
Publication of JP3250154B2 publication Critical patent/JP3250154B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Solid Materials (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【課題】 製造時間の短縮、装置のコンパクト化を図り
ながら最終洗浄後の半導体ウエハに付着した水分等を完
全に除去する。 【解決手段】 半導体ウエハ製造装置は、ウエハ基板の
最終洗浄処理を行う洗浄室(1)と、ウエハ基板を一時的
に保管する保管室(3)と、洗浄室(1)と保管室(3)とに夫
々連通し、上面に外部から熱伝導可能な石英製窓部(7)
を有する搬送室(2)と、ウエハ基板(W)を洗浄室(1)から
保管室(3)に搬送室内で搬送するロボットハンド(5)及び
ロボットアーム(4)と、搬送室(2)の外部であって石英製
窓部(7)に対応する位置に配置され、搬送室内を搬送中
のウエハ基板(W)を所定温度に加熱する赤外線ランプ(6)
と、搬送室内を搬送中のウエハ基板(W)に対し、保管室
(3)側から洗浄室(1)側へ向かう方向に層流状態の不活性
ガスを噴射するガス供給手段(8)と、搬送室内にウエハ
基板から除去された水分を排気する排気手段(9)とを備
えている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体ウエハ基板
に最終洗浄処理を行った後の乾燥処理に関するものであ
り、特に半導体ウエハ基板を搬送中に加熱する半導体ウ
エハ製造装置に関するものである。
【0002】
【従来の技術】半導体ウエハ製造プロセスにおいて、半
導体ウエハの熱処理工程やエピタキシャル成長工程へ移
行する前段階では、半導体ウエハからパーティクル、金
属不純物、有機物及び自然酸化膜等を除去してウエハの
清浄化を図るため、RCA洗浄法等のウェット洗浄によ
りウエハの最終洗浄が行われている。しかし、最終洗浄
では多量の洗浄水を使用するため、最終洗浄後のウエハ
には、水滴が付着している。ウエハから付着水分を除去
せず放置しておくと、ウエハ表面にウォータマークと呼
ばれる斑点状のしみができ、これが原因となって次工程
の熱処理やエピタキシャル成長処理等において半導体ウ
エハに結晶欠陥が生じたり、エピタキシャル膜の膜質が
悪化する。このため、ウエハの最終洗浄後は、ウエハを
乾燥させて付着水を除去することが一般的に行われてい
る。このようなウエハの乾燥方法としては、洗浄室内で
最終洗浄後のウエハを一定時間高速回転させ、回転の遠
心力によりウエハに付着した水滴を強制的に飛散させて
除去するスピン乾燥法や、ウエハの付着水を、揮発性の
イソプロピルアルコール(IPA)に置換してウエハを
乾燥するIPA蒸気乾燥法等が一般的に知られている。
そして、従来の半導体ウエハ製造装置では、ウエハ乾燥
処理の終了後、ウエハをロボットハンド等の搬送手段に
よって次工程の処理を行う熱処理装置やエピタキシャル
成長装置へ搬送していた。
【0003】
【発明が解決しようとする課題】このようにスピン乾燥
法では、ウエハの回転によりウエハ上の水滴を飛散さ
せ、IPA蒸気乾燥法では、イソプロピルアルコール
(IPA)の置換によってウエハ上の水分を除去してい
る。
【0004】しかしながら、このような従来の半導体ウ
エハ製造装置による洗浄によってもウエハ上の水分を完
全に除去できないという問題がある。特に、ウエハ表面
上に保護酸化膜を形成している場合には、保護酸化膜の
付いたウエハ表面は親水性であるためスピン洗浄法では
十分に水分を除去できないという問題がある。
【0005】また、最終洗浄後のウエハ表面には、水滴
の他、酸素原子、酸素分子、水分子等が吸着した状態と
なっているため、従来の半導体ウエハ装置のようにスピ
ン乾燥法やIPA蒸気乾燥法等による乾燥処理だけで
は、ウエハから水滴を除去することはできても、ウエハ
表面に吸着した酸素原子、酸素分子や水分子等を完全に
除去することができない。
【0006】また、乾燥処理は、雰囲気中に水分の多い
洗浄室内で行っているため、雰囲気中の水分や、一旦ウ
エハから除去された水分がウエハに再付着してしまい、
ウエハから水分を完全に除去することができない。この
ように、従来の半導体ウエハ製造装置では、最終洗浄後
の乾燥処理を行っても、ウエハには水分や酸素原子、酸
素分子等が残存してしまう。このため、ウエハ表面に残
存した水分等が原因となって次工程の熱処理工程やエピ
タキシャル成長処理工程でウエハに結晶欠陥やエピタキ
シャル膜の膜質の悪化を招くという問題がある。
【0007】ウエハに吸着した酸素原子、酸素分子、水
分子等を完全に除去すべく、洗浄室内での乾燥処理を長
時間行うことも考えられるが、この場合には、半導体ウ
エハ製造に要する全体時間も乾燥時間に応じて長時間と
なってしまう。また、次工程のエピタキシャル成長炉や
拡散炉等においてウエハ表面の水分等を除去し、それら
を含んだ雰囲気ガスを置換するという処理を行うことも
考えられるが、このような雰囲気ガスの置換に時間を要
する。このため、いずれの場合も半導体ウエハ製造プロ
セスの効率が悪いという問題がある。
【0008】また、洗浄室とは別の乾燥室を半導体ウエ
ハ製造装置に新たに設け、乾燥室内の雰囲気中に水分が
含まれない状態にして半導体ウエハを乾燥する方法も考
えられる。しかし、この場合には、洗浄室から乾燥室へ
ウエハを搬送するという新たな工程が必要となるため、
かかる工程の分だけ半導体ウエハ製造の全体処理時間も
長時間となり、半導体ウエハを効率的に製造できないと
いう問題は解消されない。その上、新たな乾燥室を設け
るため、装置が過大となるという問題がある。特に近
年、製造が試みられている直径400mm級の大径半導
体ウエハの製造装置は、大径である分だけ装置も過大な
ものとなるため、よりコンパクトな装置が望まれてい
る。
【0009】本発明は、このような問題点に鑑みてなさ
れたものであり、最終洗浄後の半導体ウエハに付着した
水分等を完全に除去して結晶欠陥の生じない高品質な半
導体ウエハを製造できる半導体ウエハ製造装置を提供す
ることを主な目的とする。また、本発明の別の目的は、
半導体ウエハの製造時間を短縮して、効率よく半導体ウ
エハを製造できる半導体ウエハ製造装置を提供すること
である。本発明の別の目的は、大径半導体ウエハに対し
ても水分除去を完全にしつつ、装置をコンパクトにでき
る半導体ウエハ製造装置を提供することである。
【0010】
【課題を解決するための手段】上述の目的を達成するた
め、請求項1に係る発明は、半導体単結晶から切り出さ
れた半導体ウエハ基板に対し熱処理前の最終洗浄処理を
行う洗浄装置と、最終洗浄後の半導体ウエハ基板に対し
次工程の処理を施す処理装置と、前記洗浄装置と前記処
理装置とに夫々連通する搬送室と、最終洗浄後の半導体
ウエハ基板を前記洗浄装置から前記処理装置に前記搬送
室内で搬送する搬送手段と、を備えた半導体ウエハ製造
装置において、前記搬送室内を搬送中の半導体ウエハ基
板を所定温度に加熱する加熱手段と、前記搬送室内を搬
送中の半導体ウエハ基板に対し、前記搬送室の前記処理
装置側から前記洗浄装置側へ向かう方向に層流状態の不
活性ガスを噴射するガス供給手段と、を備えたことを特
徴とするものである。
【0011】本発明では、加熱手段によって、搬送室内
で半導体ウエハ基板を処理装置への搬送中に所定温度に
加熱するので、ウエハの最終洗浄後、洗浄装置内でのス
ピン乾燥やIPA蒸発乾燥等の処理を行った後も、搬送
室内で引き続き処理装置への搬送中にウエハの加熱によ
る乾燥が行われる。このため、従来の半導体ウエハ製造
装置に比べて長時間の乾燥処理をウエハに施すことにな
り、ウエハに付着した水分や、酸素原子、水分子、酸素
分子等を完全に蒸発させ除去することができる。
【0012】また、本発明では、洗浄装置内でのスピン
乾燥やIPA蒸発乾燥等の乾燥処理は、従来の装置と同
じ時間だけ行えばよく、その後はウエハの搬送室内での
搬送中に加熱による乾燥処理が行われる。このため、ウ
エハの水分の除去効果を高めるために洗浄装置内での乾
燥時間を長時間としなければならない従来の装置に比べ
て、半導体ウエハ製造工程の全体時間を短縮することが
でき、効率的な半導体ウエハの製造を行うことが可能と
なる。
【0013】更に、ウエハの乾燥処理のために、新たに
乾燥室等を装置内に設ける必要もないので、装置全体の
構成をコンパクトにすることができ、装置が過大となり
がちな大径半導体ウエハの製造装置には特に適したもの
となる。このように、本発明によれば、製造時間の短
縮、及び装置のコンパクト化を図りながら最終洗浄後の
半導体ウエハに付着した水分等を完全に除去することが
できる。
【0014】本発明における「最終洗浄後の半導体ウエ
ハ基板に対する次工程の処理」とは、最終洗浄後の熱処
理、例えばエピタキシャル成長処理、CVD成長処理、
アニール処理、熱拡散処理等の他、このような熱処理の
前段階において最終洗浄後のウエハ基板を保管する保管
処理も含まれる。また「処理装置」には、次工程の処理
が熱処理の場合にはエピタキシャル成長炉等の熱処理
炉、次工程の処理が保管処理の場合には最終洗浄後の複
数のウエハ基板を多段カセットに収容するためのウエハ
保管室等が該当する。
【0015】処理装置としてエピタキシャル成長炉を用
い、最終洗浄後の半導体ウエハをエピタキシャル成長炉
への搬送中に加熱するように構成すれば、ウエハを搬送
中に予備加熱できる。即ち、エピタキシャル成長処理を
実行する前のエピタキシャル成長炉内部は、予め700
℃〜800℃の予熱温度に加熱されているのが一般的で
あるが、本発明によれば、加熱手段によってウエハを搬
送中に予め所定温度に加熱しておくことができる。この
ため、エピタキシャル成長炉に搬入されても、急激な温
度変化によるウエハの割れ等を防止することができると
いう利点がある。
【0016】ここで、本発明の搬送手段は、半導体ウエ
ハを搬送室内で搬送できるものであれば特に限定される
ものではなく、例えば、半導体ウエハを掴むロボットハ
ンドやエンドエフェクター等を用いることができる。こ
の場合、ロボットハンドは搬送室内の加熱温度下に晒さ
れ又直接ウエハを保持するため、耐熱性に優れ、かつ清
浄度の高い石英製であることが好ましい。
【0017】本発明の加熱手段は、搬送室内を搬送中の
半導体ウエハ基板を所定温度に加熱するものであればそ
の構成は特に限定されるものではない。例えば、加熱手
段として搬送室の外部に赤外線ランプ、ヒータ等を設
け、搬送室の外部から半導体ウエハ基板を加熱するよう
に構成しても良い。この場合には、赤外線ランプ等に対
する活性ガス等の悪影響を防止することができる。尚、
この場合には、搬送室は耐熱性に優れた石英製であるこ
とが好ましい。
【0018】また、加熱手段として例えば、ロボットハ
ンド、エンドエフェクター等の搬送手段の中に埋め込ま
れたヒータ等を用いることができる。この場合には、半
導体ウエハ基板をその近傍で加熱するため、加熱による
乾燥処理を効果的に行うことができるという利点があ
る。
【0019】また、加熱手段として、ウエハの搬送経路
中に設けられ、搬送中のウエハに対し温風を吹き付けて
加熱する温風噴射口等を用いることもできる。この場合
には、ウエハの加熱を行いながら除去された水分等を噴
射される温風でウエハから遠ざけることができるので、
水分等のウエハへの再付着をより一層防止できるという
利点がある。
【0020】更に加熱手段に、搬送室内を搬送中の半導
体ウエハ基板に対する加熱温度を調整する温度調整手段
を設けても良い。この場合には、半導体ウエハに対する
加熱温度を、温度調整手段によって自在に加減できるの
で、半導体ウエハに付着した水分の量や、搬送経路の距
離等に応じて加熱温度を段階的に変化させたり、所望の
温度に任意に設定し、半導体ウエハ製造の処理を効率化
を図ることができる。このような温度調整手段として
は、例えば、SCRやPID等を用いることができる。
【0021】加熱手段における半導体ウエハの加熱温度
は、特に限定されるものではないが、最終洗浄後の半導
体ウエハに付着した水分を完全に除去できる程度の温
度、例えば100℃〜200℃であることが好ましい。
100℃以下ではウエハの乾燥効率が低下するからであ
り、一方200℃以上では水分とウエハ基板との反応が
生じ易くシリコン酸化膜等が成長してしまうおそれがあ
るので、これを防止するためである。
【0022】また、本発明では、ガス供給手段によっ
て、搬送室内を搬送中の半導体ウエハ基板に対し、搬送
室の前記処理装置側から前記洗浄装置側へ向かう方向に
層流状態の不活性ガスを供給する。ここで「層流状態」
とは、供給された不活性ガスが搬送室内で対流すること
なく一定の流速で流れることをいい、搬送室の高さを対
流の生じない程度に狭い幅にしたり、半導体ウエハ基板
の搬送路の上下に2枚の板状部材を設け、その幅を狭く
することにより不活性ガスの層流状態を得ることができ
る。そして、このような層流状態の不活性ガスは処理装
置側から洗浄装置側へ向かう方向に供給されるので、不
活性ガスが搬送される半導体ウエハに対向する向き(搬
送方向と反対方向)に流れることになる。このため、こ
のような不活性ガスの流れによって、洗浄装置からのガ
スの流れ込みを阻止することができる。また、加熱手段
によって除去された水分等を不活性ガスによってパージ
すると共に不活性ガスの噴射流によって半導体ウエハか
ら速やかに遠ざけて、ウエハへの水分等の再付着や、他
の汚染物の付着を防止することができる。これによっ
て、半導体ウエハの清浄度が向上する。
【0023】このような不活性ガスとしては、例えば、
窒素、アルゴン等を用いることができる。
【0024】ここで、本発明の搬送室はウエハの移動空
間であり、洗浄装置と処理装置とに夫々連通するもので
あればその構成は特に限定されるものではないが、外部
からの汚染物の付着を防止するため、洗浄装置及び処理
装置との連通部分を除き、外部と遮断されていることが
好ましい。
【0025】本発明のガス供給手段は、搬送室内を搬送
中の半導体ウエハ基板に対し、処理装置側から前記洗浄
装置側へ向かう方向に層流状態の不活性ガスを供給する
ものであればその構成は特に限定されるものではない。
このようなガス供給手段として、上述のように搬送室自
体の高さを狭くしたり、搬送経路中にウエハの両面に近
接する板状部材を設けたりした搬送室へ、流速の速い不
活性ガスを噴射するように構成することができる。この
場合には、搬送室内で不活性ガスの層流状態を容易に形
成させることができるという利点がある。
【0026】また、ガス供給手段を設ける場所として
は、搬送室の内部外部を問わない。例えば、ガス供給手
段を処理装置内に設けても良い。
【0027】請求項2に係る発明は、請求項1に記載の
半導体ウエハ製造装置において、前記搬送室は、外部か
ら熱伝導可能な石英製の窓部を備えており、前記加熱手
段は、前記搬送室の外部であって、前記窓部に対応する
位置に配置された熱源を備えていることを特徴とするも
のである。
【0028】本発明では、加熱手段が、搬送室の外部
で、搬送室に設けられた熱伝導可能な石英製窓部に対応
する位置に配置された熱源を備えている。ここで、「窓
部に対応する位置」とは、窓部を通じて搬送室外部から
搬送室内部を加熱することができる位置をいい、窓部が
搬送室の上壁面に設けられている場合には、窓部の上方
近傍の位置が該当する。また、「熱源」には、赤外線ラ
ンプやヒータ等が該当する。
【0029】本発明では、このように加熱手段の熱源に
よって搬送室の石英製窓部を通じて搬送室内部を加熱す
るので、搬送室は外部との遮断状態を保ちながら加熱さ
れることになり、外部の汚染物が搬送中のウエハに付着
することを防止できる。
【0030】また、搬送室の窓部は熱伝導可能であるた
め、搬送室内部の加熱効果を低減させることなく、搬送
室外部から搬送中のウエハ基板を加熱することが可能と
なる。更に、この窓部は耐熱性に優れた石英製であるた
め、加熱によって窓部が熱変形したり破損したりするこ
とも防止できる。
【0031】本発明の窓部は、加熱手段の熱源が搬送室
外部から内部を加熱できるように構成されていれば、そ
の構成は特に限定されるものではない。例えば、熱源の
配置に対応する位置のみに石英製窓部を設ける他、搬送
室の上壁及び下壁の夫々全面を石英製窓部として構成す
ることもできる。この場合には、熱源の配置の制限がな
くなるという利点がある。
【0032】請求項3に係る発明は、請求項1又は2に
記載の半導体ウエハ製造装置において、半導体ウエハ基
板から除去された水分を排気する排気手段を更に備えた
ことを特徴とするものである。
【0033】本発明では、排気手段によって半導体ウエ
ハ基板から除去された水分を排気するので、加熱手段に
よる加熱でウエハから蒸発した水分は、排気手段によっ
て搬送室外部へ排気される。このため、加熱手段によっ
てウエハから一旦除去された水分がウエハに再付着する
ことを防止して、ウエハの清浄度をより高めることがで
きる。
【0034】本発明における排気手段は、ウエハから除
去された水分を排気できるものであれば、その構成は特
に限定されるものではない。例えば、排気手段として、
真空ポンプを使用して速やかに水分を吸引して排出する
ためのユニットを設置することができる。また、排気手
段は、搬送室内の不活性ガスの層流状態を乱さないよう
にするため、搬送室内部の洗浄装置側又は洗浄室内部に
設けることが好ましい。
【0035】
【発明の実施の形態】本発明の好ましい実施形態につい
て、以下図示例とともに説明する。本実施形態に係るシ
リコンウエハ製造装置は、洗浄装置1と、搬送室2と、
及びウエハ保管室3とを備えている。図1(a)は、本
実施形態に係るシリコンウエハ製造装置の概略構成を示
す断面図であり、図1(b)はその平面図である。
【0036】洗浄装置1は、表面酸化膜の除去、ポリッ
シング等の各種製造工程を経たシリコンウエハ基板をオ
ゾン超純水によるDHF洗浄法で最終洗浄し、洗浄後の
シリコンウエハ基板Wをスピン乾燥法により乾燥させる
ための装置である。洗浄装置1は、洗浄室とその内部に
設けられた不活性ガスによる装置内ガス置換装置(図示
せず)等からなっている。
【0037】ウエハ保管室3は下部にエレベータ軸17
を備え、エレベータ軸17の上端部には多段キャリア1
3が着脱可能に装着されている。このキャリア13は、
上下に多段構造をなす複数の棚15を有している。ま
た、ウエハ保管室3は、ロボットアーム4、ロボットハ
ンド5及び該ハンド5に掴まれたウエハ基板Wが通過可
能な開口を介して搬送室2と連通しており、多段キャリ
ア13の各棚15は、この開口に向かい合う前面側が開
かれている。また、各棚15はエレベータ軸17の昇降
動作によって個々に前記開口に対峙可能である。
【0038】ウエハ保管室3の搬送室2と対向する壁面
には、窒素、アルゴン等の不活性ガスをウエハ保管室3
及び搬送室2内に噴射して供給するための複数のガスイ
ンジェクターポート8が設けられている。インジェクタ
ーポート8には、図示しない不活性ガス供給装置に配管
によって接続されており、ガス流量、圧力等は、マスフ
ローメータや圧力制御装置によって調節される。このイ
ンジェクターポート9と不活性ガス供給装置は本発明の
ガス供給手段を構成する。
【0039】搬送室2は、シリコンウエハ基板Wを洗浄
装置1からウエハ保管室3へ搬送するためのウエハ基板
Wの移動空間であり、4軸ロボット11を格納する格納
室2aとウエハ保管室3への搬送路2bとから構成され
る。搬送室2内には、シリコンウエハ基板Wを保持する
ロボットハンド5、該ハンドで保持されたシリコンウエ
ハ基板Wを洗浄装置1内部とウエハ保管室3内部の多段
キャリア13との間で移動させるロボットアーム4が設
けられている。ロボットハンド5及びロボットアームは
加熱環境下に置かれるためとウエハの汚染を防止するた
め、耐熱性と清浄度に優れた石英製となっている。この
ロボットアーム4の移動は、格納室2aに設けられた4
軸ロボット11で制御される。ここで、ロボットハンド
5、ロボットアーム4及び4軸ロボット11は、本発明
の搬送手段を構成する。
【0040】また、搬送室2には、格納室2aと洗浄装
置1との境目を画するように開放及び閉鎖可能なゲート
12aが、また搬送路2bとウエハ保管室3との境目を
画するように開放及び閉鎖可能なゲート12bが夫々設
けられている。このため、ゲート12a,12bを開放
した状態で、搬送室2(格納室2a)と洗浄装置1、搬
送室2(搬送路2b)とウエハ保管室3は夫々連通し、
ロボットハンド5に保持されたシリコンウエハ基板Wを
ロボットアーム4によって洗浄装置1からウエハ保管室
3へ搬送できるようになっている。
【0041】搬送路2bは高さ約30mmであり低い構
造となっている。このため、ガスインジェクターポート
9から噴射された不活性ガスは搬送路2b中で対流せ
ず、層流状態で流れるようになっている。
【0042】搬送室2の外部であって、搬送路2bの上
方及び下方には搬送路2b内を加熱するための赤外線ラ
ンプ6が夫々4個づつ設けられている。この赤外線ラン
プ6は本発明の加熱手段及び熱源を構成する。
【0043】搬送路2bの上面及び下面には略長方形状
の窓7が赤外線ランプ6の配置された位置に対応して設
けられている。この窓7は、耐熱性を考慮して高純度の
透明石英製となっている。このため搬送路2b内を搬送
中のシリコンウエハ基板Wは上下の赤外線ランプ6から
窓7を介して加熱されるようになっている。尚、搬送路
2b内は、赤外線ランプ6によって約100℃〜200
℃に加熱されている。200℃以下の場合にはウエハの
乾燥効率が悪化するためであり、また200℃以上の場
合には水分とウエハ基板とが反応してシリコン酸化膜が
成長してしまうので、これを回避するためである。
【0044】格納室2aの下部には搬送室2内を流れる
不活性ガスを外部に排気するための複数のガスベントポ
ート9が設けられている。このポート9には、図示しな
いベント制御装置が配管によって接続されており、ベン
ト制御装置の制御によって搬送室2内のガスがガスベン
トポート9から吸引排気されるようになっている。この
ガスベントポート9及びベント制御装置は本発明の排気
手段を構成する。
【0045】以上のように構成された本実施形態のシリ
コンウエハ製造装置を利用したシリコンウエハ製造方法
について以下時系列に説明する。
【0046】まず、事前にポリッシング等を含む工程を
経たシリコンウエハ基板Wを洗浄装置1内に搬入し、ゲ
ート12aを閉鎖する。このシリコンウエハ基板Wに対
し、洗浄装置1内で所定の方法で最終洗浄処理を実行す
る。次いで、最終洗浄されたシリコンウエハ基板Wを、
洗浄装置1内の所定位置で一定時間高速回転させ、ウエ
ハ基板Wに付着した水滴を飛散させて除去するという乾
燥処理を実行する。乾燥処理が終了したら、ゲート12
a及びゲート12bを開放する。
【0047】次に、ロボットアーム4によりロボットハ
ンド5を洗浄装置1内の所定位置に移動し、ロボットハ
ンド5上に最終洗浄後のシリコンウエハ基板Wを置く。
そして、ロボットアーム4によって、ロボットハンド5
に保持されたシリコンウエハ基板Wを洗浄装置1から格
納室2a内に搬送し、その後ゲート12aを閉鎖し、イ
ンジェクターポート8から不活性ガスの導入、及びガス
ベントポート9から吸引排気を開始する。これにより搬
送室2(格納室2a,搬送路2b)内には、インジェク
ターポート8からガスベントポート9へ向かう不活性ガ
スの層流状のガス流が形成される。
【0048】次いで、ロボットアーム4によって、シリ
コンウエハ基板Wをロボットハンド5で保持した状態の
まま搬送路2b内へ移動しウエハ保管室3へ向けて搬送
する。このとき、搬送路2b内は赤外線ランプ6により
約100℃〜200℃にまで加熱されているため、ウエ
ハ基板表面に残存している水分や、ウエハ基板表面に吸
着した酸素原子、酸素分子、水分子等が、ウエハ基板W
の搬送中にヒータからの加熱によって蒸発し、洗浄装置
1内の乾燥処理だけでは除去できなかった水分等が完全
に除去される。また、搬送路2b内には、不活性ガスが
常時搬送方向と反対方向に層流状態で流れているため、
ウエハ基板Wから蒸発した水分等は不活性ガスのガス流
によってウエハ基板Wから遠ざけられる。そして、これ
らの水分等は格納室内に速やかに移動しガスベントポー
ト9から吸引排気され、搬送室2内は不活性ガスでパー
ジされる。従って、ウエハ基板Wから一旦除去された水
分、ウエハ基板表面に吸着した酸素原子、酸素分子、水
分子等がウエハ基板Wに再付着することはなく、完全に
水分等を除去することができる。
【0049】ウエハ基板Wがウエハ保管室3付近まで搬
送されたら、ウエハ保管室3ではエレベータ軸17の昇
降動作により、多段キャリアの空の棚15をゲート12
bの対峙位置まで移動する。そして、ロボットアーム4
によってウエハ基板Wを空の棚15に搬送し、ロボット
ハンド5から棚15上に載置する。その後、ウエハ基板
Wが外されたロボットハンド5を格納室2a内に戻し、
ゲート12bを閉鎖する。このとき、洗浄装置1内で
は、次のシリコンウエハ基板の最終洗浄処理が行われて
いる。次のシリコンウエハ基板の最終洗浄が終了した
ら、ロボットハンド5及びロボットアーム4を洗浄装置
1内に移動する。そして、多段キャリア21の各棚15
が全てシリコンウエハ基板で収容されるまで、シリコン
ウエハ基板の洗浄装置1からウエハ保管室3内の多段キ
ャリア13への搬送、搬送中のウエハ基板の加熱、多段
キャリア21への収容の各処理を上述と同様に繰り返し
て実行する。
【0050】このように本実施形態に係るシリコンウエ
ハ製造装置では、シリコンウエハ基板Wの洗浄装置1か
らウエハ保管室3への搬送中に、ウエハ基板を加熱して
付着した水分、酸素原子、酸素分子、水分子を完全に除
去することができるので、後の熱処理行程で付着水分等
に起因した結晶欠陥等がウエハに生じることを防止する
ことができる。
【0051】本実施形態に係るシリコンウエハ製造装置
では、ウエハ基板を洗浄装置1からウエハ保管室3へ搬
送しているが、エピタキシャル成長炉へ直接搬送するよ
うに構成しても良い。この場合には、エピタキシャル成
長炉への搬送中にウエハ基板が約100℃〜200℃に
加熱されるので、乾燥処理の他予備加熱された状態で、
予熱温度下のエピタキシャル成長炉3内に搬送されるこ
とになる。このため、ウエハ基板に急激な温度変化によ
る熱変形等が生じることを防止でき、高品質なエピタキ
シャルウエハを製造することが可能となる。また、ウエ
ハ基板を搬送中に加熱することにより、洗浄装置1内で
の乾燥処理及びエピタキシャル成長炉3内での予熱処理
を効率的に行うことができ、シリコンウエハの製造効率
を向上させることができる。
【0052】本実施形態に係るシリコンウエハ製造装置
では、加熱手段及び熱源として搬送室外部に設けられた
赤外線ランプを使用しているが、ロボットハンド5にヒ
ータ7を装着してこれを加熱手段又は熱源として用いて
も良い。この場合には、ウエハ基板をその近傍で加熱す
ることになるので、より効果的な乾燥処理が搬送中に行
われることになる。
【0053】本実施形態では、ガスインジェクターポー
ト9をウエハ保管室3に、ガスベントポート8を格納室
2aに設けているが、ガスインジェクターポート9を搬
送路2bのウエハ保管室3側に設けたり、またガスベン
トポート8を搬送路2bの格納室2b側に設けても良
い。即ち、搬送路内でのガス流が層流状態となればいず
れの場所に設けることは任意である。
【0054】
【発明の効果】以上説明したとおり、本発明は、搬送室
内を搬送中の半導体ウエハ基板を所定温度に加熱する加
熱手段を備えているので、ウエハに付着した水分や、酸
素原子、水分子、酸素分子等を完全に蒸発させ除去でき
るという効果がある。また半導体ウエハ製造工程の全体
時間を短縮することができ、半導体ウエハの製造を効率
的に行えるという効果がある。更に、新たに乾燥室等を
装置内に設ける必要もなく、装置全体の構成のコンパク
ト化が図られるという効果がある。即ち、本発明によれ
ば、製造時間の短縮及び装置のコンパクト化を図りなが
ら最終洗浄後の半導体ウエハに付着した水分等を完全に
除去することができるという効果がある。
【0055】また、本発明は、搬送室内を搬送中の半導
体ウエハ基板に対し、搬送室の処理装置側から洗浄装置
側へ向かう方向に層流状態の不活性ガスを噴射するガス
供給手段を備えているので、不活性ガスの層流状の流れ
によって、ウエハへの水分等の再付着や他の汚染物の付
着を防止し、半導体ウエハの清浄度が向上するという効
果がある。
【図面の簡単な説明】
【図1】本実施形態に係るシリコンウエハ製造装置の概
略構成図であり、図1(a)は断面図、図1(b)は平
面図である。
【符号の説明】
1:洗浄装置 2:搬送室 2a:ロボット格納室 2b:搬送路 3:ウエハ保管室 4:ロボットアーム 5:ロボットハンド 6:赤外線ランプ 7:石英製窓部 8:ガスインジェクターポート 9:ガスベントポート 11:4軸ロボット 12a,12b:ゲート 13:多段キャリア 15:棚 17:エレベータ軸 W:シリコンウエハ
───────────────────────────────────────────────────── フロントページの続き (72)発明者 井上 和俊 群馬県安中市中野谷555番地の1 株式会 社スーパーシリコン研究所内 (72)発明者 中原 信司 群馬県安中市中野谷555番地の1 株式会 社スーパーシリコン研究所内 (72)発明者 儀間 眞敏 群馬県安中市中野谷555番地の1 株式会 社スーパーシリコン研究所内 Fターム(参考) 3L113 AA03 AB03 AC10 AC28 AC45 AC46 AC54 AC67 AC73 AC75 AC76 AC86 BA34 DA01 DA10 DA14 DA24

Claims (3)

    【特許請求の範囲】
  1. 【請求項1】 半導体単結晶から切り出された半導体ウ
    エハ基板に対し熱処理前の最終洗浄処理を行う洗浄装置
    と、最終洗浄後の半導体ウエハ基板に対し次工程の処理
    を施す処理装置と、前記洗浄装置と前記処理装置とに夫
    々連通する搬送室と、最終洗浄後の半導体ウエハ基板を
    前記洗浄装置から前記処理装置に前記搬送室内で搬送す
    る搬送手段と、を備えた半導体ウエハ製造装置におい
    て、 前記搬送室内を搬送中の半導体ウエハ基板を所定温度に
    加熱する加熱手段と、 前記搬送室内を搬送中の半導体ウエハ基板に対し、前記
    搬送室の前記処理装置側から前記洗浄装置側へ向かう方
    向に層流状態の不活性ガスを噴射するガス供給手段と、
    を備えたことを特徴とする半導体ウエハ製造装置。
  2. 【請求項2】 前記搬送室は、外部から熱伝導可能な石
    英製の窓部を備えており、 前記加熱手段は、前記搬送室の外部であって、前記窓部
    に対応する位置に配置された熱源を備えていることを特
    徴とする請求項1に記載の半導体ウエハ製造装置。
  3. 【請求項3】 半導体ウエハ基板から除去された水分を
    排気する排気手段を更に備えたことを特徴とする請求項
    1又は2に記載の半導体ウエハ製造装置。
JP09130699A 1999-03-31 1999-03-31 半導体ウエハ製造装置 Expired - Fee Related JP3250154B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP09130699A JP3250154B2 (ja) 1999-03-31 1999-03-31 半導体ウエハ製造装置
PCT/JP2000/002094 WO2000059017A1 (fr) 1999-03-31 2000-03-31 Appareil de fabrication de tranches semi-conductrices
US09/937,525 US6578589B1 (en) 1999-03-31 2000-03-31 Apparatus for manufacturing semiconductor wafer
EP00913038A EP1189264A1 (en) 1999-03-31 2000-03-31 Apparatus for manufacturing semiconductor wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP09130699A JP3250154B2 (ja) 1999-03-31 1999-03-31 半導体ウエハ製造装置

Publications (2)

Publication Number Publication Date
JP2000286226A true JP2000286226A (ja) 2000-10-13
JP3250154B2 JP3250154B2 (ja) 2002-01-28

Family

ID=14022793

Family Applications (1)

Application Number Title Priority Date Filing Date
JP09130699A Expired - Fee Related JP3250154B2 (ja) 1999-03-31 1999-03-31 半導体ウエハ製造装置

Country Status (4)

Country Link
US (1) US6578589B1 (ja)
EP (1) EP1189264A1 (ja)
JP (1) JP3250154B2 (ja)
WO (1) WO2000059017A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006351908A (ja) * 2005-06-17 2006-12-28 Renesas Technology Corp 半導体装置の製造方法
JP2015092530A (ja) * 2013-03-18 2015-05-14 芝浦メカトロニクス株式会社 基板処理装置及び基板処理方法
US10276406B2 (en) 2013-03-18 2019-04-30 Shibaura Mechatronics Corporation Substrate processing device and substrate processing method
WO2022220037A1 (ja) * 2021-04-16 2022-10-20 株式会社Screenホールディングス 基板処理方法、基板処理装置および乾燥処理液

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004022940A (ja) * 2002-06-19 2004-01-22 Tokyo Seimitsu Co Ltd 研磨装置、研磨方法、ウェーハ待避プログラム
US20070059922A1 (en) * 2005-09-13 2007-03-15 International Business Machines Corporation Post-etch removal of fluorocarbon-based residues from a hybrid dielectric structure
DE102008041250A1 (de) 2008-08-13 2010-02-25 Ers Electronic Gmbh Verfahren und Vorrichtung zum thermischen Bearbeiten von Kunststoffscheiben, insbesondere Moldwafern
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5243978B2 (ja) * 2009-01-27 2013-07-24 ヤマハ発動機株式会社 舶用推進システムおよび操船方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9550216B2 (en) * 2011-03-31 2017-01-24 Shade Saver, Inc. Jewelry washing machine and method
CN103649822B (zh) * 2011-03-31 2015-07-29 阴影消除器公司 眼镜清洗机和眼镜清洗方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103377971A (zh) * 2012-04-30 2013-10-30 细美事有限公司 用于清洗基板的装置和方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) * 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9899242B2 (en) 2015-04-06 2018-02-20 Varian Semiconductor Equipment Associates, Inc. Device and method for substrate heating during transport
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10669430B2 (en) * 2018-07-17 2020-06-02 Varian Semiconductor Equipment Associates, Inc. Anti-reflective coating for transparent end effectors
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN111326403A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 晶圆预处理方法及半导体设备
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
US11437257B2 (en) 2019-05-08 2022-09-06 Samsung Electronics Co., Ltd. Robot hand, wafer transfer robot, and wafer transfer apparatus
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP7285692B2 (ja) * 2019-05-17 2023-06-02 東京エレクトロン株式会社 乾燥装置、基板処理システム、および乾燥方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3734109A (en) * 1971-03-08 1973-05-22 P Hebner Spray cleaning system
JPS60154531A (ja) * 1984-01-24 1985-08-14 Nec Corp 半導体基板の乾燥方法
US4949669A (en) * 1988-12-20 1990-08-21 Texas Instruments Incorporated Gas flow systems in CCVD reactors
JP2804106B2 (ja) * 1989-08-25 1998-09-24 株式会社日立製作所 乾燥方法及び装置
JP2900334B2 (ja) * 1992-03-09 1999-06-02 株式会社日立製作所 半導体製造方法
JPH09148297A (ja) 1995-11-24 1997-06-06 Hitachi Ltd 基板の乾燥方法およびこれを用いる乾燥装置およびこれを用いる半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006351908A (ja) * 2005-06-17 2006-12-28 Renesas Technology Corp 半導体装置の製造方法
JP2015092530A (ja) * 2013-03-18 2015-05-14 芝浦メカトロニクス株式会社 基板処理装置及び基板処理方法
US10276406B2 (en) 2013-03-18 2019-04-30 Shibaura Mechatronics Corporation Substrate processing device and substrate processing method
WO2022220037A1 (ja) * 2021-04-16 2022-10-20 株式会社Screenホールディングス 基板処理方法、基板処理装置および乾燥処理液

Also Published As

Publication number Publication date
JP3250154B2 (ja) 2002-01-28
US6578589B1 (en) 2003-06-17
WO2000059017A1 (fr) 2000-10-05
EP1189264A1 (en) 2002-03-20

Similar Documents

Publication Publication Date Title
JP3250154B2 (ja) 半導体ウエハ製造装置
US7198447B2 (en) Semiconductor device producing apparatus and producing method of semiconductor device
JP4634495B2 (ja) 基板処理装置及び半導体装置の製造方法
JP4372182B2 (ja) 基板支持機構及び減圧乾燥装置及び基板処理装置
JPH01319944A (ja) 半導体基板表面に薄膜を形成する方法およびその装置
JPH05291158A (ja) 熱処理装置
CN100447975C (zh) 衬底处理系统以及半导体器件的制造方法
TWI385719B (zh) A substrate processing apparatus, a substrate processing method, a program, and a recording medium
TWI618115B (zh) 基板處理裝置以及清洗腔室的方法
JPH11251255A (ja) 半導体ウエハ製造方法及び装置
TWI700764B (zh) 裝載鎖定裝置中的基板冷卻方法、基板搬運方法及裝載鎖定裝置
KR20080011903A (ko) 반도체 기판의 이송 장치, 기판 처리 장치 및 이를 이용한기판의 냉각 방법
JP2004119888A (ja) 半導体製造装置
JP3118737B2 (ja) 被処理体の処理方法
JPH11251402A (ja) 半導体ウエハ搬送装置
JPH11251281A (ja) 半導体ウエハ製造方法及び装置
JP2002100574A (ja) 基板処理装置
JP4319510B2 (ja) 熱処理装置及び熱処理方法
JP4383636B2 (ja) 半導体製造装置および半導体装置の製造方法
JP3856726B2 (ja) 半導体製造装置
JP2007096103A (ja) 基板処理方法および基板処理装置
JP2005347667A (ja) 半導体製造装置
JP4283973B2 (ja) 基板処理装置および半導体装置の製造方法
JP2003100736A (ja) 基板処理装置
JP2003197497A (ja) 基板処理装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081116

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091116

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091116

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101116

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111116

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees