IL123520A - A method for changing the nature of an exposed surface area of semiconductor foil - Google Patents
A method for changing the nature of an exposed surface area of semiconductor foilInfo
- Publication number
- IL123520A IL123520A IL12352096A IL12352096A IL123520A IL 123520 A IL123520 A IL 123520A IL 12352096 A IL12352096 A IL 12352096A IL 12352096 A IL12352096 A IL 12352096A IL 123520 A IL123520 A IL 123520A
- Authority
- IL
- Israel
- Prior art keywords
- abrasive
- abrasive article
- particles
- wafer
- slurry
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 215
- 239000004065 semiconductor Substances 0.000 title claims abstract description 120
- 239000002245 particle Substances 0.000 claims abstract description 372
- 239000011230 binding agent Substances 0.000 claims abstract description 257
- 239000002131 composite material Substances 0.000 claims description 215
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 137
- 238000000576 coating method Methods 0.000 claims description 112
- 239000011248 coating agent Substances 0.000 claims description 109
- 239000007788 liquid Substances 0.000 claims description 65
- 239000000377 silicon dioxide Substances 0.000 claims description 54
- 235000012239 silicon dioxide Nutrition 0.000 claims description 51
- CETPSERCERDGAM-UHFFFAOYSA-N ceric oxide Chemical compound O=[Ce]=O CETPSERCERDGAM-UHFFFAOYSA-N 0.000 claims description 43
- 229910000422 cerium(IV) oxide Inorganic materials 0.000 claims description 43
- 229920005989 resin Polymers 0.000 claims description 39
- 239000011347 resin Substances 0.000 claims description 39
- 239000004014 plasticizer Substances 0.000 claims description 38
- 229920001187 thermosetting polymer Polymers 0.000 claims description 10
- 239000002952 polymeric resin Substances 0.000 claims description 5
- 229920000620 organic polymer Polymers 0.000 claims description 4
- 239000002002 slurry Substances 0.000 description 314
- 235000012431 wafers Nutrition 0.000 description 246
- 239000000203 mixture Substances 0.000 description 155
- 239000002243 precursor Substances 0.000 description 148
- 238000010998 test method Methods 0.000 description 128
- 239000000463 material Substances 0.000 description 126
- 238000004519 manufacturing process Methods 0.000 description 124
- 238000012360 testing method Methods 0.000 description 99
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 86
- 229910044991 metal oxide Inorganic materials 0.000 description 74
- 150000004706 metal oxides Chemical class 0.000 description 74
- 239000000945 filler Substances 0.000 description 65
- 238000009472 formulation Methods 0.000 description 55
- 230000008569 process Effects 0.000 description 52
- -1 calcium carbonate) Chemical class 0.000 description 51
- VTYYLEPIZMXCLO-UHFFFAOYSA-L Calcium carbonate Chemical compound [Ca+2].[O-]C([O-])=O VTYYLEPIZMXCLO-UHFFFAOYSA-L 0.000 description 50
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 49
- 239000002609 medium Substances 0.000 description 47
- 239000007822 coupling agent Substances 0.000 description 46
- 239000010410 layer Substances 0.000 description 46
- 229910052751 metal Inorganic materials 0.000 description 44
- 239000002184 metal Substances 0.000 description 44
- 238000002156 mixing Methods 0.000 description 38
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 36
- 239000004743 Polypropylene Substances 0.000 description 30
- 238000011282 treatment Methods 0.000 description 29
- DAKWPKUUDNSNPN-UHFFFAOYSA-N Trimethylolpropane triacrylate Chemical compound C=CC(=O)OCC(CC)(COC(=O)C=C)COC(=O)C=C DAKWPKUUDNSNPN-UHFFFAOYSA-N 0.000 description 28
- FIHBHSQYSYVZQE-UHFFFAOYSA-N 6-prop-2-enoyloxyhexyl prop-2-enoate Chemical compound C=CC(=O)OCCCCCCOC(=O)C=C FIHBHSQYSYVZQE-UHFFFAOYSA-N 0.000 description 26
- 239000000178 monomer Substances 0.000 description 26
- 229910000019 calcium carbonate Inorganic materials 0.000 description 25
- NIXOWILDQLNWCW-UHFFFAOYSA-M Acrylate Chemical compound [O-]C(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-M 0.000 description 24
- 239000004820 Pressure-sensitive adhesive Substances 0.000 description 24
- ZDHCZVWCTKTBRY-UHFFFAOYSA-N omega-Hydroxydodecanoic acid Natural products OCCCCCCCCCCCC(O)=O ZDHCZVWCTKTBRY-UHFFFAOYSA-N 0.000 description 24
- XYZXEEIUKQGUHB-UHFFFAOYSA-N n-[2,7-bis(piperidin-1-ylsulfonyl)fluoren-9-ylidene]hydroxylamine Chemical compound C1=C2C(=NO)C3=CC(S(=O)(=O)N4CCCCC4)=CC=C3C2=CC=C1S(=O)(=O)N1CCCCC1 XYZXEEIUKQGUHB-UHFFFAOYSA-N 0.000 description 22
- 229920000647 polyepoxide Polymers 0.000 description 21
- 239000000654 additive Substances 0.000 description 19
- 230000005855 radiation Effects 0.000 description 19
- 239000000126 substance Substances 0.000 description 19
- 238000001723 curing Methods 0.000 description 18
- 239000003822 epoxy resin Substances 0.000 description 18
- 229920000728 polyester Polymers 0.000 description 18
- 239000003795 chemical substances by application Substances 0.000 description 17
- 229920001971 elastomer Polymers 0.000 description 16
- LNEPOXFFQSENCJ-UHFFFAOYSA-N haloperidol Chemical compound C1CC(O)(C=2C=CC(Cl)=CC=2)CCN1CCCC(=O)C1=CC=C(F)C=C1 LNEPOXFFQSENCJ-UHFFFAOYSA-N 0.000 description 15
- 238000012545 processing Methods 0.000 description 15
- 239000005060 rubber Substances 0.000 description 15
- 239000008367 deionised water Substances 0.000 description 14
- 239000002270 dispersing agent Substances 0.000 description 14
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N phenol group Chemical group C1(=CC=CC=C1)O ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 description 14
- 238000010276 construction Methods 0.000 description 13
- 229910021641 deionized water Inorganic materials 0.000 description 13
- 239000006185 dispersion Substances 0.000 description 13
- 229920001169 thermoplastic Polymers 0.000 description 13
- 239000004416 thermosoftening plastic Substances 0.000 description 13
- 239000000853 adhesive Substances 0.000 description 11
- 230000001070 adhesive effect Effects 0.000 description 11
- 229920006267 polyester film Polymers 0.000 description 11
- 229920001223 polyethylene glycol Polymers 0.000 description 11
- 229920001155 polypropylene Polymers 0.000 description 11
- 239000010453 quartz Substances 0.000 description 11
- 239000007787 solid Substances 0.000 description 11
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 10
- 230000001143 conditioned effect Effects 0.000 description 10
- 239000003999 initiator Substances 0.000 description 10
- 229920001568 phenolic resin Polymers 0.000 description 10
- 238000006116 polymerization reaction Methods 0.000 description 10
- 239000004094 surface-active agent Substances 0.000 description 10
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical class [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 9
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 9
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 9
- 230000003750 conditioning effect Effects 0.000 description 9
- 230000007547 defect Effects 0.000 description 9
- 239000012153 distilled water Substances 0.000 description 9
- 238000009826 distribution Methods 0.000 description 9
- 239000004744 fabric Substances 0.000 description 9
- 239000012530 fluid Substances 0.000 description 9
- 239000011521 glass Substances 0.000 description 9
- 239000005011 phenolic resin Substances 0.000 description 9
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 8
- 150000001875 compounds Chemical class 0.000 description 8
- LYCAIKOWRPUZTN-UHFFFAOYSA-N ethylene glycol Natural products OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 8
- 230000006870 function Effects 0.000 description 8
- 239000000314 lubricant Substances 0.000 description 8
- 229920002635 polyurethane Polymers 0.000 description 8
- 150000003839 salts Chemical class 0.000 description 8
- 229910052710 silicon Inorganic materials 0.000 description 8
- 239000010703 silicon Substances 0.000 description 8
- 239000000758 substrate Substances 0.000 description 8
- 238000004381 surface treatment Methods 0.000 description 8
- IISKWLQYGVRJHI-UHFFFAOYSA-N 3-[hydroxy-(2-phosphonooxyacetyl)amino]propyl dihydrogen phosphate Chemical compound OP(=O)(O)OCC(=O)N(O)CCCOP(O)(O)=O IISKWLQYGVRJHI-UHFFFAOYSA-N 0.000 description 7
- 229910052582 BN Inorganic materials 0.000 description 7
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical class N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 7
- 239000004593 Epoxy Substances 0.000 description 7
- 239000004698 Polyethylene Substances 0.000 description 7
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 7
- 229910000420 cerium oxide Inorganic materials 0.000 description 7
- 239000003960 organic solvent Substances 0.000 description 7
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 7
- 238000000206 photolithography Methods 0.000 description 7
- 238000005498 polishing Methods 0.000 description 7
- 229920000573 polyethylene Polymers 0.000 description 7
- 239000004814 polyurethane Substances 0.000 description 7
- 239000000047 product Substances 0.000 description 7
- 239000000243 solution Substances 0.000 description 7
- 239000002904 solvent Substances 0.000 description 7
- JOYRKODLDBILNP-UHFFFAOYSA-N Ethyl urethane Chemical compound CCOC(N)=O JOYRKODLDBILNP-UHFFFAOYSA-N 0.000 description 6
- WSFSSNUMVMOOMR-UHFFFAOYSA-N Formaldehyde Chemical compound O=C WSFSSNUMVMOOMR-UHFFFAOYSA-N 0.000 description 6
- PEDCQBHIVMGVHV-UHFFFAOYSA-N Glycerine Chemical class OCC(O)CO PEDCQBHIVMGVHV-UHFFFAOYSA-N 0.000 description 6
- WMFOQBRAJBCJND-UHFFFAOYSA-M Lithium hydroxide Chemical compound [Li+].[OH-] WMFOQBRAJBCJND-UHFFFAOYSA-M 0.000 description 6
- 239000004952 Polyamide Substances 0.000 description 6
- 239000002202 Polyethylene glycol Substances 0.000 description 6
- 229920005830 Polyurethane Foam Polymers 0.000 description 6
- 230000008901 benefit Effects 0.000 description 6
- 239000000919 ceramic Substances 0.000 description 6
- 238000007796 conventional method Methods 0.000 description 6
- 239000004816 latex Substances 0.000 description 6
- 229920000126 latex Polymers 0.000 description 6
- 238000012986 modification Methods 0.000 description 6
- 230000004048 modification Effects 0.000 description 6
- 239000003607 modifier Substances 0.000 description 6
- 229920002647 polyamide Polymers 0.000 description 6
- 229920000642 polymer Polymers 0.000 description 6
- 229920001296 polysiloxane Chemical class 0.000 description 6
- 239000011496 polyurethane foam Substances 0.000 description 6
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 description 6
- CERQOIWHTDAKMF-UHFFFAOYSA-M Methacrylate Chemical compound CC(=C)C([O-])=O CERQOIWHTDAKMF-UHFFFAOYSA-M 0.000 description 5
- 229920003171 Poly (ethylene oxide) Polymers 0.000 description 5
- 125000001931 aliphatic group Chemical group 0.000 description 5
- AZDRQVAHHNSJOQ-UHFFFAOYSA-N alumane Chemical group [AlH3] AZDRQVAHHNSJOQ-UHFFFAOYSA-N 0.000 description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 5
- 125000000129 anionic group Chemical group 0.000 description 5
- IISBACLAFKSPIT-UHFFFAOYSA-N bisphenol A Chemical compound C=1C=C(O)C=CC=1C(C)(C)C1=CC=C(O)C=C1 IISBACLAFKSPIT-UHFFFAOYSA-N 0.000 description 5
- 235000012241 calcium silicate Nutrition 0.000 description 5
- 229910052918 calcium silicate Inorganic materials 0.000 description 5
- OYACROKNLOSFPA-UHFFFAOYSA-N calcium;dioxido(oxo)silane Chemical compound [Ca+2].[O-][Si]([O-])=O OYACROKNLOSFPA-UHFFFAOYSA-N 0.000 description 5
- 125000002091 cationic group Chemical group 0.000 description 5
- 238000005520 cutting process Methods 0.000 description 5
- 125000004386 diacrylate group Chemical group 0.000 description 5
- DOIRQSBPFJWKBE-UHFFFAOYSA-N dibutyl phthalate Chemical compound CCCCOC(=O)C1=CC=CC=C1C(=O)OCCCC DOIRQSBPFJWKBE-UHFFFAOYSA-N 0.000 description 5
- QDOXWKRWXJOMAK-UHFFFAOYSA-N dichromium trioxide Chemical compound O=[Cr]O[Cr]=O QDOXWKRWXJOMAK-UHFFFAOYSA-N 0.000 description 5
- 239000003085 diluting agent Substances 0.000 description 5
- 150000002148 esters Chemical class 0.000 description 5
- 239000000499 gel Substances 0.000 description 5
- 239000010439 graphite Chemical class 0.000 description 5
- 229910002804 graphite Inorganic materials 0.000 description 5
- 229910052500 inorganic mineral Inorganic materials 0.000 description 5
- ZFSLODLOARCGLH-UHFFFAOYSA-N isocyanuric acid Chemical compound OC1=NC(O)=NC(O)=N1 ZFSLODLOARCGLH-UHFFFAOYSA-N 0.000 description 5
- 235000010755 mineral Nutrition 0.000 description 5
- 239000011707 mineral Substances 0.000 description 5
- 239000000123 paper Substances 0.000 description 5
- 229920000515 polycarbonate Polymers 0.000 description 5
- 239000004417 polycarbonate Substances 0.000 description 5
- 229920002451 polyvinyl alcohol Polymers 0.000 description 5
- 235000019422 polyvinyl alcohol Nutrition 0.000 description 5
- 239000000375 suspending agent Substances 0.000 description 5
- 239000012815 thermoplastic material Substances 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 4
- WOBHKFSMXKNTIM-UHFFFAOYSA-N Hydroxyethyl methacrylate Chemical compound CC(=C)C(=O)OCCO WOBHKFSMXKNTIM-UHFFFAOYSA-N 0.000 description 4
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 239000004677 Nylon Substances 0.000 description 4
- 239000004372 Polyvinyl alcohol Substances 0.000 description 4
- 229920001807 Urea-formaldehyde Polymers 0.000 description 4
- 239000003082 abrasive agent Substances 0.000 description 4
- 229920003180 amino resin Polymers 0.000 description 4
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 4
- 238000005266 casting Methods 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- RKTYLMNFRDHKIL-UHFFFAOYSA-N copper;5,10,15,20-tetraphenylporphyrin-22,24-diide Chemical group [Cu+2].C1=CC(C(=C2C=CC([N-]2)=C(C=2C=CC=CC=2)C=2C=CC(N=2)=C(C=2C=CC=CC=2)C2=CC=C3[N-]2)C=2C=CC=CC=2)=NC1=C3C1=CC=CC=C1 RKTYLMNFRDHKIL-UHFFFAOYSA-N 0.000 description 4
- 229910003460 diamond Inorganic materials 0.000 description 4
- 239000010432 diamond Substances 0.000 description 4
- 235000014113 dietary fatty acids Nutrition 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 239000000839 emulsion Substances 0.000 description 4
- JZMPIUODFXBXSC-UHFFFAOYSA-N ethyl carbamate;prop-2-enoic acid Chemical compound OC(=O)C=C.OC(=O)C=C.CCOC(N)=O JZMPIUODFXBXSC-UHFFFAOYSA-N 0.000 description 4
- 239000000194 fatty acid Substances 0.000 description 4
- 229930195729 fatty acid Natural products 0.000 description 4
- 150000004665 fatty acids Chemical class 0.000 description 4
- 230000004927 fusion Effects 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- WGCNASOHLSPBMP-UHFFFAOYSA-N hydroxyacetaldehyde Natural products OCC=O WGCNASOHLSPBMP-UHFFFAOYSA-N 0.000 description 4
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 4
- 229920003986 novolac Polymers 0.000 description 4
- 229920001778 nylon Polymers 0.000 description 4
- JTJMJGYZQZDUJJ-UHFFFAOYSA-N phencyclidine Chemical compound C1CCCCN1C1(C=2C=CC=CC=2)CCCCC1 JTJMJGYZQZDUJJ-UHFFFAOYSA-N 0.000 description 4
- 239000003504 photosensitizing agent Substances 0.000 description 4
- 239000008029 phthalate plasticizer Substances 0.000 description 4
- 239000000843 powder Substances 0.000 description 4
- 150000004760 silicates Chemical class 0.000 description 4
- RMAQACBXLXPBSY-UHFFFAOYSA-N silicic acid Chemical class O[Si](O)(O)O RMAQACBXLXPBSY-UHFFFAOYSA-N 0.000 description 4
- 239000008399 tap water Substances 0.000 description 4
- 235000020679 tap water Nutrition 0.000 description 4
- 238000012876 topography Methods 0.000 description 4
- 239000001993 wax Substances 0.000 description 4
- ZWEHNKRNPOVVGH-UHFFFAOYSA-N 2-Butanone Chemical compound CCC(C)=O ZWEHNKRNPOVVGH-UHFFFAOYSA-N 0.000 description 3
- OMIGHNLMNHATMP-UHFFFAOYSA-N 2-hydroxyethyl prop-2-enoate Chemical group OCCOC(=O)C=C OMIGHNLMNHATMP-UHFFFAOYSA-N 0.000 description 3
- OWYWGLHRNBIFJP-UHFFFAOYSA-N Ipazine Chemical compound CCN(CC)C1=NC(Cl)=NC(NC(C)C)=N1 OWYWGLHRNBIFJP-UHFFFAOYSA-N 0.000 description 3
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 3
- 229920000877 Melamine resin Polymers 0.000 description 3
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 3
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 description 3
- QYKIQEUNHZKYBP-UHFFFAOYSA-N Vinyl ether Chemical class C=COC=C QYKIQEUNHZKYBP-UHFFFAOYSA-N 0.000 description 3
- 239000006061 abrasive grain Substances 0.000 description 3
- 239000002253 acid Substances 0.000 description 3
- NIXOWILDQLNWCW-UHFFFAOYSA-N acrylic acid group Chemical group C(C=C)(=O)O NIXOWILDQLNWCW-UHFFFAOYSA-N 0.000 description 3
- 230000002776 aggregation Effects 0.000 description 3
- 229920000180 alkyd Polymers 0.000 description 3
- 125000006177 alkyl benzyl group Chemical group 0.000 description 3
- 230000004075 alteration Effects 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- 239000002216 antistatic agent Substances 0.000 description 3
- 125000003118 aryl group Chemical group 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 239000011324 bead Substances 0.000 description 3
- ISAOCJYIOMOJEB-UHFFFAOYSA-N benzoin Chemical compound C=1C=CC=CC=1C(O)C(=O)C1=CC=CC=C1 ISAOCJYIOMOJEB-UHFFFAOYSA-N 0.000 description 3
- 239000000378 calcium silicate Substances 0.000 description 3
- CJZGTCYPCWQAJB-UHFFFAOYSA-L calcium stearate Chemical compound [Ca+2].CCCCCCCCCCCCCCCCCC([O-])=O.CCCCCCCCCCCCCCCCCC([O-])=O CJZGTCYPCWQAJB-UHFFFAOYSA-L 0.000 description 3
- 235000013539 calcium stearate Nutrition 0.000 description 3
- 239000008116 calcium stearate Substances 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 239000008199 coating composition Substances 0.000 description 3
- 239000008139 complexing agent Substances 0.000 description 3
- 238000004132 cross linking Methods 0.000 description 3
- 229910001610 cryolite Inorganic materials 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 238000010894 electron beam technology Methods 0.000 description 3
- 239000003995 emulsifying agent Substances 0.000 description 3
- 125000003700 epoxy group Chemical group 0.000 description 3
- 239000006260 foam Substances 0.000 description 3
- 125000000524 functional group Chemical group 0.000 description 3
- 235000011187 glycerol Nutrition 0.000 description 3
- 239000012948 isocyanate Substances 0.000 description 3
- 150000002513 isocyanates Chemical class 0.000 description 3
- HGPXWXLYXNVULB-UHFFFAOYSA-M lithium stearate Chemical compound [Li+].CCCCCCCCCCCCCCCCCC([O-])=O HGPXWXLYXNVULB-UHFFFAOYSA-M 0.000 description 3
- 230000005923 long-lasting effect Effects 0.000 description 3
- 239000011777 magnesium Substances 0.000 description 3
- 229910052749 magnesium Inorganic materials 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 229910000000 metal hydroxide Inorganic materials 0.000 description 3
- 150000004692 metal hydroxides Chemical class 0.000 description 3
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 3
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 238000012856 packing Methods 0.000 description 3
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 3
- XNGIFLGASWRNHJ-UHFFFAOYSA-N phthalic acid Chemical class OC(=O)C1=CC=CC=C1C(O)=O XNGIFLGASWRNHJ-UHFFFAOYSA-N 0.000 description 3
- 229920003023 plastic Polymers 0.000 description 3
- 239000004033 plastic Substances 0.000 description 3
- 229920002689 polyvinyl acetate Polymers 0.000 description 3
- 239000011118 polyvinyl acetate Substances 0.000 description 3
- 229920000915 polyvinyl chloride Polymers 0.000 description 3
- 239000004800 polyvinyl chloride Substances 0.000 description 3
- 229920003987 resole Polymers 0.000 description 3
- YGSDEFSMJLZEOE-UHFFFAOYSA-N salicylic acid Chemical compound OC(=O)C1=CC=CC=C1O YGSDEFSMJLZEOE-UHFFFAOYSA-N 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 238000007711 solidification Methods 0.000 description 3
- 230000008023 solidification Effects 0.000 description 3
- 125000001424 substituent group Chemical group 0.000 description 3
- 239000000454 talc Substances 0.000 description 3
- 229910052623 talc Inorganic materials 0.000 description 3
- 229920002803 thermoplastic polyurethane Polymers 0.000 description 3
- 239000004634 thermosetting polymer Substances 0.000 description 3
- 239000004408 titanium dioxide Substances 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 150000003673 urethanes Chemical class 0.000 description 3
- 239000003232 water-soluble binding agent Substances 0.000 description 3
- 239000000080 wetting agent Substances 0.000 description 3
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 3
- XOOUIPVCVHRTMJ-UHFFFAOYSA-L zinc stearate Chemical compound [Zn+2].CCCCCCCCCCCCCCCCCC([O-])=O.CCCCCCCCCCCCCCCCCC([O-])=O XOOUIPVCVHRTMJ-UHFFFAOYSA-L 0.000 description 3
- 229920002818 (Hydroxyethyl)methacrylate Polymers 0.000 description 2
- MYRTYDVEIRVNKP-UHFFFAOYSA-N 1,2-Divinylbenzene Chemical compound C=CC1=CC=CC=C1C=C MYRTYDVEIRVNKP-UHFFFAOYSA-N 0.000 description 2
- BPXVHIRIPLPOPT-UHFFFAOYSA-N 1,3,5-tris(2-hydroxyethyl)-1,3,5-triazinane-2,4,6-trione Chemical compound OCCN1C(=O)N(CCO)C(=O)N(CCO)C1=O BPXVHIRIPLPOPT-UHFFFAOYSA-N 0.000 description 2
- TUSDEZXZIZRFGC-UHFFFAOYSA-N 1-O-galloyl-3,6-(R)-HHDP-beta-D-glucose Natural products OC1C(O2)COC(=O)C3=CC(O)=C(O)C(O)=C3C3=C(O)C(O)=C(O)C=C3C(=O)OC1C(O)C2OC(=O)C1=CC(O)=C(O)C(O)=C1 TUSDEZXZIZRFGC-UHFFFAOYSA-N 0.000 description 2
- VOBUAPTXJKMNCT-UHFFFAOYSA-N 1-prop-2-enoyloxyhexyl prop-2-enoate Chemical compound CCCCCC(OC(=O)C=C)OC(=O)C=C VOBUAPTXJKMNCT-UHFFFAOYSA-N 0.000 description 2
- VZSRBBMJRBPUNF-UHFFFAOYSA-N 2-(2,3-dihydro-1H-inden-2-ylamino)-N-[3-oxo-3-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)propyl]pyrimidine-5-carboxamide Chemical compound C1C(CC2=CC=CC=C12)NC1=NC=C(C=N1)C(=O)NCCC(N1CC2=C(CC1)NN=N2)=O VZSRBBMJRBPUNF-UHFFFAOYSA-N 0.000 description 2
- FTALTLPZDVFJSS-UHFFFAOYSA-N 2-(2-ethoxyethoxy)ethyl prop-2-enoate Chemical compound CCOCCOCCOC(=O)C=C FTALTLPZDVFJSS-UHFFFAOYSA-N 0.000 description 2
- SMZOUWXMTYCWNB-UHFFFAOYSA-N 2-(2-methoxy-5-methylphenyl)ethanamine Chemical compound COC1=CC=C(C)C=C1CCN SMZOUWXMTYCWNB-UHFFFAOYSA-N 0.000 description 2
- KUBDPQJOLOUJRM-UHFFFAOYSA-N 2-(chloromethyl)oxirane;4-[2-(4-hydroxyphenyl)propan-2-yl]phenol Chemical compound ClCC1CO1.C=1C=C(O)C=CC=1C(C)(C)C1=CC=C(O)C=C1 KUBDPQJOLOUJRM-UHFFFAOYSA-N 0.000 description 2
- HCLJOFJIQIJXHS-UHFFFAOYSA-N 2-[2-[2-(2-prop-2-enoyloxyethoxy)ethoxy]ethoxy]ethyl prop-2-enoate Chemical compound C=CC(=O)OCCOCCOCCOCCOC(=O)C=C HCLJOFJIQIJXHS-UHFFFAOYSA-N 0.000 description 2
- UHFFVFAKEGKNAQ-UHFFFAOYSA-N 2-benzyl-2-(dimethylamino)-1-(4-morpholin-4-ylphenyl)butan-1-one Chemical compound C=1C=C(N2CCOCC2)C=CC=1C(=O)C(CC)(N(C)C)CC1=CC=CC=C1 UHFFVFAKEGKNAQ-UHFFFAOYSA-N 0.000 description 2
- KXGFMDJXCMQABM-UHFFFAOYSA-N 2-methoxy-6-methylphenol Chemical compound [CH]OC1=CC=CC([CH])=C1O KXGFMDJXCMQABM-UHFFFAOYSA-N 0.000 description 2
- KUDUQBURMYMBIJ-UHFFFAOYSA-N 2-prop-2-enoyloxyethyl prop-2-enoate Chemical compound C=CC(=O)OCCOC(=O)C=C KUDUQBURMYMBIJ-UHFFFAOYSA-N 0.000 description 2
- DXPPIEDUBFUSEZ-UHFFFAOYSA-N 6-methylheptyl prop-2-enoate Chemical compound CC(C)CCCCCOC(=O)C=C DXPPIEDUBFUSEZ-UHFFFAOYSA-N 0.000 description 2
- CKUYHOSTLVBVQP-UHFFFAOYSA-N 8-methyl-2-methylidenenonanoic acid Chemical compound CC(C)CCCCCC(=C)C(O)=O CKUYHOSTLVBVQP-UHFFFAOYSA-N 0.000 description 2
- KWOLFJPFCHCOCG-UHFFFAOYSA-N Acetophenone Chemical compound CC(=O)C1=CC=CC=C1 KWOLFJPFCHCOCG-UHFFFAOYSA-N 0.000 description 2
- HRPVXLWXLXDGHG-UHFFFAOYSA-N Acrylamide Chemical compound NC(=O)C=C HRPVXLWXLXDGHG-UHFFFAOYSA-N 0.000 description 2
- ATRRKUHOCOJYRX-UHFFFAOYSA-N Ammonium bicarbonate Chemical compound [NH4+].OC([O-])=O ATRRKUHOCOJYRX-UHFFFAOYSA-N 0.000 description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical compound C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 description 2
- GAWIXWVDTYZWAW-UHFFFAOYSA-N C[CH]O Chemical group C[CH]O GAWIXWVDTYZWAW-UHFFFAOYSA-N 0.000 description 2
- ODINCKMPIJJUCX-UHFFFAOYSA-N Calcium oxide Chemical compound [Ca]=O ODINCKMPIJJUCX-UHFFFAOYSA-N 0.000 description 2
- 150000000703 Cerium Chemical class 0.000 description 2
- 102100026735 Coagulation factor VIII Human genes 0.000 description 2
- 229920000742 Cotton Polymers 0.000 description 2
- 239000004605 External Lubricant Substances 0.000 description 2
- 239000001263 FEMA 3042 Substances 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- ZHNUHDYFZUAESO-UHFFFAOYSA-N Formamide Chemical compound NC=O ZHNUHDYFZUAESO-UHFFFAOYSA-N 0.000 description 2
- 101000911390 Homo sapiens Coagulation factor VIII Proteins 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- UQSXHKLRYXJYBZ-UHFFFAOYSA-N Iron oxide Chemical compound [Fe]=O UQSXHKLRYXJYBZ-UHFFFAOYSA-N 0.000 description 2
- TWRXJAOTZQYOKJ-UHFFFAOYSA-L Magnesium chloride Chemical compound [Mg+2].[Cl-].[Cl-] TWRXJAOTZQYOKJ-UHFFFAOYSA-L 0.000 description 2
- IMNFDUFMRHMDMM-UHFFFAOYSA-N N-Heptane Chemical compound CCCCCCC IMNFDUFMRHMDMM-UHFFFAOYSA-N 0.000 description 2
- 229910019142 PO4 Inorganic materials 0.000 description 2
- LRBQNJMCXXYXIU-PPKXGCFTSA-N Penta-digallate-beta-D-glucose Natural products OC1=C(O)C(O)=CC(C(=O)OC=2C(=C(O)C=C(C=2)C(=O)OC[C@@H]2[C@H]([C@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)[C@@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)[C@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)O2)OC(=O)C=2C=C(OC(=O)C=3C=C(O)C(O)=C(O)C=3)C(O)=C(O)C=2)O)=C1 LRBQNJMCXXYXIU-PPKXGCFTSA-N 0.000 description 2
- OFBQJSOFQDEBGM-UHFFFAOYSA-N Pentane Chemical compound CCCCC OFBQJSOFQDEBGM-UHFFFAOYSA-N 0.000 description 2
- 239000004793 Polystyrene Substances 0.000 description 2
- ZLMJMSJWJFRBEC-UHFFFAOYSA-N Potassium Chemical compound [K] ZLMJMSJWJFRBEC-UHFFFAOYSA-N 0.000 description 2
- WCUXLLCKKVVCTQ-UHFFFAOYSA-M Potassium chloride Chemical compound [Cl-].[K+] WCUXLLCKKVVCTQ-UHFFFAOYSA-M 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- CDBYLPFSWZWCQE-UHFFFAOYSA-L Sodium Carbonate Chemical compound [Na+].[Na+].[O-]C([O-])=O CDBYLPFSWZWCQE-UHFFFAOYSA-L 0.000 description 2
- FAPWRFPIFSIZLT-UHFFFAOYSA-M Sodium chloride Chemical compound [Na+].[Cl-] FAPWRFPIFSIZLT-UHFFFAOYSA-M 0.000 description 2
- 235000000126 Styrax benzoin Nutrition 0.000 description 2
- 244000028419 Styrax benzoin Species 0.000 description 2
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- 235000008411 Sumatra benzointree Nutrition 0.000 description 2
- 241000375392 Tana Species 0.000 description 2
- 239000012963 UV stabilizer Substances 0.000 description 2
- YKTSYUJCYHOUJP-UHFFFAOYSA-N [O--].[Al+3].[Al+3].[O-][Si]([O-])([O-])[O-] Chemical compound [O--].[Al+3].[Al+3].[O-][Si]([O-])([O-])[O-] YKTSYUJCYHOUJP-UHFFFAOYSA-N 0.000 description 2
- 150000001252 acrylic acid derivatives Chemical class 0.000 description 2
- XECAHXYUAAWDEL-UHFFFAOYSA-N acrylonitrile butadiene styrene Chemical compound C=CC=C.C=CC#N.C=CC1=CC=CC=C1 XECAHXYUAAWDEL-UHFFFAOYSA-N 0.000 description 2
- 229920000122 acrylonitrile butadiene styrene Polymers 0.000 description 2
- 239000004676 acrylonitrile butadiene styrene Substances 0.000 description 2
- 230000000996 additive effect Effects 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 238000005054 agglomeration Methods 0.000 description 2
- 150000001408 amides Chemical class 0.000 description 2
- 150000001412 amines Chemical class 0.000 description 2
- 235000012538 ammonium bicarbonate Nutrition 0.000 description 2
- 239000001099 ammonium carbonate Substances 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- 150000003863 ammonium salts Chemical class 0.000 description 2
- LJCFOYOSGPHIOO-UHFFFAOYSA-N antimony pentoxide Chemical compound O=[Sb](=O)O[Sb](=O)=O LJCFOYOSGPHIOO-UHFFFAOYSA-N 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 239000007864 aqueous solution Substances 0.000 description 2
- TZCXTZWJZNENPQ-UHFFFAOYSA-L barium sulfate Chemical compound [Ba+2].[O-]S([O-])(=O)=O TZCXTZWJZNENPQ-UHFFFAOYSA-L 0.000 description 2
- 150000007514 bases Chemical class 0.000 description 2
- HUMNYLRZRPPJDN-UHFFFAOYSA-N benzaldehyde Chemical compound O=CC1=CC=CC=C1 HUMNYLRZRPPJDN-UHFFFAOYSA-N 0.000 description 2
- VJRITMATACIYAF-UHFFFAOYSA-N benzenesulfonohydrazide Chemical compound NNS(=O)(=O)C1=CC=CC=C1 VJRITMATACIYAF-UHFFFAOYSA-N 0.000 description 2
- 229960002130 benzoin Drugs 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 229920001400 block copolymer Polymers 0.000 description 2
- OSGAYBCDTDRGGQ-UHFFFAOYSA-L calcium sulfate Chemical compound [Ca+2].[O-]S([O-])(=O)=O OSGAYBCDTDRGGQ-UHFFFAOYSA-L 0.000 description 2
- 239000006229 carbon black Substances 0.000 description 2
- 239000001569 carbon dioxide Substances 0.000 description 2
- 229910002092 carbon dioxide Inorganic materials 0.000 description 2
- 150000004649 carbonic acid derivatives Chemical class 0.000 description 2
- 150000001735 carboxylic acids Chemical class 0.000 description 2
- 239000003054 catalyst Substances 0.000 description 2
- YCIMNLLNPGFGHC-UHFFFAOYSA-N catechol Chemical compound OC1=CC=CC=C1O YCIMNLLNPGFGHC-UHFFFAOYSA-N 0.000 description 2
- HSJPMRKMPBAUAU-UHFFFAOYSA-N cerium(3+);trinitrate Chemical compound [Ce+3].[O-][N+]([O-])=O.[O-][N+]([O-])=O.[O-][N+]([O-])=O HSJPMRKMPBAUAU-UHFFFAOYSA-N 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 238000007516 diamond turning Methods 0.000 description 2
- GYZLOYUZLJXAJU-UHFFFAOYSA-N diglycidyl ether Chemical compound C1OC1COCC1CO1 GYZLOYUZLJXAJU-UHFFFAOYSA-N 0.000 description 2
- PODOEQVNFJSWIK-UHFFFAOYSA-N diphenylphosphoryl-(2,4,6-trimethoxyphenyl)methanone Chemical compound COC1=CC(OC)=CC(OC)=C1C(=O)P(=O)(C=1C=CC=CC=1)C1=CC=CC=C1 PODOEQVNFJSWIK-UHFFFAOYSA-N 0.000 description 2
- VFHVQBAGLAREND-UHFFFAOYSA-N diphenylphosphoryl-(2,4,6-trimethylphenyl)methanone Chemical group CC1=CC(C)=CC(C)=C1C(=O)P(=O)(C=1C=CC=CC=1)C1=CC=CC=C1 VFHVQBAGLAREND-UHFFFAOYSA-N 0.000 description 2
- 230000002708 enhancing effect Effects 0.000 description 2
- 239000000835 fiber Substances 0.000 description 2
- 235000019256 formaldehyde Nutrition 0.000 description 2
- 229910021485 fumed silica Inorganic materials 0.000 description 2
- 239000002223 garnet Substances 0.000 description 2
- 235000019382 gum benzoic Nutrition 0.000 description 2
- 239000010440 gypsum Substances 0.000 description 2
- 229910052602 gypsum Inorganic materials 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical class 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 230000001965 increasing effect Effects 0.000 description 2
- 238000007373 indentation Methods 0.000 description 2
- 229910052742 iron Inorganic materials 0.000 description 2
- 230000001788 irregular Effects 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- ZLNQQNXFFQJAID-UHFFFAOYSA-L magnesium carbonate Chemical compound [Mg+2].[O-]C([O-])=O ZLNQQNXFFQJAID-UHFFFAOYSA-L 0.000 description 2
- 239000001095 magnesium carbonate Substances 0.000 description 2
- 229910000021 magnesium carbonate Inorganic materials 0.000 description 2
- HCWCAKKEBCNQJP-UHFFFAOYSA-N magnesium orthosilicate Chemical compound [Mg+2].[Mg+2].[O-][Si]([O-])([O-])[O-] HCWCAKKEBCNQJP-UHFFFAOYSA-N 0.000 description 2
- 239000000391 magnesium silicate Substances 0.000 description 2
- 235000019792 magnesium silicate Nutrition 0.000 description 2
- 229910052919 magnesium silicate Inorganic materials 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 238000010297 mechanical methods and process Methods 0.000 description 2
- 230000005226 mechanical processes and functions Effects 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 150000002734 metacrylic acid derivatives Chemical class 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 239000010445 mica Chemical class 0.000 description 2
- 229910052618 mica group Inorganic materials 0.000 description 2
- 238000013508 migration Methods 0.000 description 2
- 230000005012 migration Effects 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 125000000466 oxiranyl group Chemical group 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 239000011236 particulate material Substances 0.000 description 2
- 239000003348 petrochemical agent Substances 0.000 description 2
- 238000005191 phase separation Methods 0.000 description 2
- 239000010452 phosphate Substances 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-K phosphate Chemical compound [O-]P([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-K 0.000 description 2
- 230000000704 physical effect Effects 0.000 description 2
- 238000007517 polishing process Methods 0.000 description 2
- 229920000058 polyacrylate Polymers 0.000 description 2
- 229920001225 polyester resin Polymers 0.000 description 2
- 239000004645 polyester resin Substances 0.000 description 2
- 230000000379 polymerizing effect Effects 0.000 description 2
- 229920005862 polyol Chemical class 0.000 description 2
- 150000003077 polyols Chemical class 0.000 description 2
- 229920006324 polyoxymethylene Polymers 0.000 description 2
- 229920002223 polystyrene Polymers 0.000 description 2
- 239000011591 potassium Substances 0.000 description 2
- 229910052700 potassium Inorganic materials 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000000518 rheometry Methods 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 239000000344 soap Substances 0.000 description 2
- 238000005507 spraying Methods 0.000 description 2
- 150000004763 sulfides Chemical class 0.000 description 2
- LRBQNJMCXXYXIU-NRMVVENXSA-N tannic acid Chemical compound OC1=C(O)C(O)=CC(C(=O)OC=2C(=C(O)C=C(C=2)C(=O)OC[C@@H]2[C@H]([C@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)[C@@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)[C@@H](OC(=O)C=3C=C(OC(=O)C=4C=C(O)C(O)=C(O)C=4)C(O)=C(O)C=3)O2)OC(=O)C=2C=C(OC(=O)C=3C=C(O)C(O)=C(O)C=3)C(O)=C(O)C=2)O)=C1 LRBQNJMCXXYXIU-NRMVVENXSA-N 0.000 description 2
- 235000015523 tannic acid Nutrition 0.000 description 2
- 229920002258 tannic acid Polymers 0.000 description 2
- 229940033123 tannic acid Drugs 0.000 description 2
- HJUGFYREWKUQJT-UHFFFAOYSA-N tetrabromomethane Chemical compound BrC(Br)(Br)Br HJUGFYREWKUQJT-UHFFFAOYSA-N 0.000 description 2
- 229910001887 tin oxide Inorganic materials 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- LDHQCZJRKDOVOX-UHFFFAOYSA-N trans-crotonic acid Natural products CC=CC(O)=O LDHQCZJRKDOVOX-UHFFFAOYSA-N 0.000 description 2
- YUYCVXFAYWRXLS-UHFFFAOYSA-N trimethoxysilane Chemical compound CO[SiH](OC)OC YUYCVXFAYWRXLS-UHFFFAOYSA-N 0.000 description 2
- KPGXUAIFQMJJFB-UHFFFAOYSA-H tungsten hexachloride Chemical compound Cl[W](Cl)(Cl)(Cl)(Cl)Cl KPGXUAIFQMJJFB-UHFFFAOYSA-H 0.000 description 2
- 239000010455 vermiculite Substances 0.000 description 2
- 229910052902 vermiculite Inorganic materials 0.000 description 2
- 235000019354 vermiculite Nutrition 0.000 description 2
- 229920002554 vinyl polymer Polymers 0.000 description 2
- JNELGWHKGNBSMD-UHFFFAOYSA-N xanthone Chemical compound C1=CC=C2C(=O)C3=CC=CC=C3OC2=C1 JNELGWHKGNBSMD-UHFFFAOYSA-N 0.000 description 2
- 229910052845 zircon Inorganic materials 0.000 description 2
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 2
- PUPZLCDOIYMWBV-UHFFFAOYSA-N (+/-)-1,3-Butanediol Chemical compound CC(O)CCO PUPZLCDOIYMWBV-UHFFFAOYSA-N 0.000 description 1
- QNODIIQQMGDSEF-UHFFFAOYSA-N (1-hydroxycyclohexyl)-phenylmethanone Chemical compound C=1C=CC=CC=1C(=O)C1(O)CCCCC1 QNODIIQQMGDSEF-UHFFFAOYSA-N 0.000 description 1
- LNAZSHAWQACDHT-XIYTZBAFSA-N (2r,3r,4s,5r,6s)-4,5-dimethoxy-2-(methoxymethyl)-3-[(2s,3r,4s,5r,6r)-3,4,5-trimethoxy-6-(methoxymethyl)oxan-2-yl]oxy-6-[(2r,3r,4s,5r,6r)-4,5,6-trimethoxy-2-(methoxymethyl)oxan-3-yl]oxyoxane Chemical compound CO[C@@H]1[C@@H](OC)[C@H](OC)[C@@H](COC)O[C@H]1O[C@H]1[C@H](OC)[C@@H](OC)[C@H](O[C@H]2[C@@H]([C@@H](OC)[C@H](OC)O[C@@H]2COC)OC)O[C@@H]1COC LNAZSHAWQACDHT-XIYTZBAFSA-N 0.000 description 1
- MYWOJODOMFBVCB-UHFFFAOYSA-N 1,2,6-trimethylphenanthrene Chemical compound CC1=CC=C2C3=CC(C)=CC=C3C=CC2=C1C MYWOJODOMFBVCB-UHFFFAOYSA-N 0.000 description 1
- VXNZUUAINFGPBY-UHFFFAOYSA-N 1-Butene Chemical compound CCC=C VXNZUUAINFGPBY-UHFFFAOYSA-N 0.000 description 1
- DURPTKYDGMDSBL-UHFFFAOYSA-N 1-butoxybutane Chemical compound CCCCOCCCC DURPTKYDGMDSBL-UHFFFAOYSA-N 0.000 description 1
- PBGPBHYPCGDFEZ-UHFFFAOYSA-N 1-ethenylpiperidin-2-one Chemical compound C=CN1CCCCC1=O PBGPBHYPCGDFEZ-UHFFFAOYSA-N 0.000 description 1
- IEKHISJGRIEHRE-UHFFFAOYSA-N 16-methylheptadecanoic acid;propan-2-ol;titanium Chemical compound [Ti].CC(C)O.CC(C)CCCCCCCCCCCCCCC(O)=O.CC(C)CCCCCCCCCCCCCCC(O)=O.CC(C)CCCCCCCCCCCCCCC(O)=O IEKHISJGRIEHRE-UHFFFAOYSA-N 0.000 description 1
- KWVGIHKZDCUPEU-UHFFFAOYSA-N 2,2-dimethoxy-2-phenylacetophenone Chemical compound C=1C=CC=CC=1C(OC)(OC)C(=O)C1=CC=CC=C1 KWVGIHKZDCUPEU-UHFFFAOYSA-N 0.000 description 1
- PUGOMSLRUSTQGV-UHFFFAOYSA-N 2,3-di(prop-2-enoyloxy)propyl prop-2-enoate Chemical compound C=CC(=O)OCC(OC(=O)C=C)COC(=O)C=C PUGOMSLRUSTQGV-UHFFFAOYSA-N 0.000 description 1
- OZAIFHULBGXAKX-UHFFFAOYSA-N 2-(2-cyanopropan-2-yldiazenyl)-2-methylpropanenitrile Chemical compound N#CC(C)(C)N=NC(C)(C)C#N OZAIFHULBGXAKX-UHFFFAOYSA-N 0.000 description 1
- IEORSVTYLWZQJQ-UHFFFAOYSA-N 2-(2-nonylphenoxy)ethanol Chemical compound CCCCCCCCCC1=CC=CC=C1OCCO IEORSVTYLWZQJQ-UHFFFAOYSA-N 0.000 description 1
- JAHNSTQSQJOJLO-UHFFFAOYSA-N 2-(3-fluorophenyl)-1h-imidazole Chemical compound FC1=CC=CC(C=2NC=CN=2)=C1 JAHNSTQSQJOJLO-UHFFFAOYSA-N 0.000 description 1
- KJSGODDTWRXQRH-UHFFFAOYSA-N 2-(dimethylamino)ethyl benzoate Chemical compound CN(C)CCOC(=O)C1=CC=CC=C1 KJSGODDTWRXQRH-UHFFFAOYSA-N 0.000 description 1
- YIJYFLXQHDOQGW-UHFFFAOYSA-N 2-[2,4,6-trioxo-3,5-bis(2-prop-2-enoyloxyethyl)-1,3,5-triazinan-1-yl]ethyl prop-2-enoate Chemical compound C=CC(=O)OCCN1C(=O)N(CCOC(=O)C=C)C(=O)N(CCOC(=O)C=C)C1=O YIJYFLXQHDOQGW-UHFFFAOYSA-N 0.000 description 1
- FPYUJUBAXZAQNL-UHFFFAOYSA-N 2-chlorobenzaldehyde Chemical compound ClC1=CC=CC=C1C=O FPYUJUBAXZAQNL-UHFFFAOYSA-N 0.000 description 1
- IEVADDDOVGMCSI-UHFFFAOYSA-N 2-hydroxybutyl 2-methylprop-2-enoate Chemical compound CCC(O)COC(=O)C(C)=C IEVADDDOVGMCSI-UHFFFAOYSA-N 0.000 description 1
- RIWRBSMFKVOJMN-UHFFFAOYSA-N 2-methyl-1-phenylpropan-2-ol Chemical compound CC(C)(O)CC1=CC=CC=C1 RIWRBSMFKVOJMN-UHFFFAOYSA-N 0.000 description 1
- KTALPKYXQZGAEG-UHFFFAOYSA-N 2-propan-2-ylthioxanthen-9-one Chemical compound C1=CC=C2C(=O)C3=CC(C(C)C)=CC=C3SC2=C1 KTALPKYXQZGAEG-UHFFFAOYSA-N 0.000 description 1
- YEYKMVJDLWJFOA-UHFFFAOYSA-N 2-propoxyethanol Chemical compound CCCOCCO YEYKMVJDLWJFOA-UHFFFAOYSA-N 0.000 description 1
- OQLYXVNGGPAJBO-UHFFFAOYSA-N 2-propoxythioxanthen-9-one Chemical compound C1=CC=C2C(=O)C3=CC(OCCC)=CC=C3SC2=C1 OQLYXVNGGPAJBO-UHFFFAOYSA-N 0.000 description 1
- UOYIYWCAYFTQLH-UHFFFAOYSA-N 3,7-dinitro-1,3,5,7-tetrazabicyclo[3.3.1]nonane Chemical compound C1N2CN([N+](=O)[O-])CN1CN([N+]([O-])=O)C2 UOYIYWCAYFTQLH-UHFFFAOYSA-N 0.000 description 1
- BYHQTRFJOGIQAO-GOSISDBHSA-N 3-(4-bromophenyl)-8-[(2R)-2-hydroxypropyl]-1-[(3-methoxyphenyl)methyl]-1,3,8-triazaspiro[4.5]decan-2-one Chemical compound C[C@H](CN1CCC2(CC1)CN(C(=O)N2CC3=CC(=CC=C3)OC)C4=CC=C(C=C4)Br)O BYHQTRFJOGIQAO-GOSISDBHSA-N 0.000 description 1
- JCEZOHLWDIONSP-UHFFFAOYSA-N 3-[2-[2-(3-aminopropoxy)ethoxy]ethoxy]propan-1-amine Chemical compound NCCCOCCOCCOCCCN JCEZOHLWDIONSP-UHFFFAOYSA-N 0.000 description 1
- GNSFRPWPOGYVLO-UHFFFAOYSA-N 3-hydroxypropyl 2-methylprop-2-enoate Chemical compound CC(=C)C(=O)OCCCO GNSFRPWPOGYVLO-UHFFFAOYSA-N 0.000 description 1
- QZPSOSOOLFHYRR-UHFFFAOYSA-N 3-hydroxypropyl prop-2-enoate Chemical compound OCCCOC(=O)C=C QZPSOSOOLFHYRR-UHFFFAOYSA-N 0.000 description 1
- XDLMVUHYZWKMMD-UHFFFAOYSA-N 3-trimethoxysilylpropyl 2-methylprop-2-enoate Chemical group CO[Si](OC)(OC)CCCOC(=O)C(C)=C XDLMVUHYZWKMMD-UHFFFAOYSA-N 0.000 description 1
- DBCAQXHNJOFNGC-UHFFFAOYSA-N 4-bromo-1,1,1-trifluorobutane Chemical compound FC(F)(F)CCCBr DBCAQXHNJOFNGC-UHFFFAOYSA-N 0.000 description 1
- RTNUTCOTGVKVBR-UHFFFAOYSA-N 4-chlorotriazine Chemical class ClC1=CC=NN=N1 RTNUTCOTGVKVBR-UHFFFAOYSA-N 0.000 description 1
- NDWUBGAGUCISDV-UHFFFAOYSA-N 4-hydroxybutyl prop-2-enoate Chemical compound OCCCCOC(=O)C=C NDWUBGAGUCISDV-UHFFFAOYSA-N 0.000 description 1
- LVGFPWDANALGOY-UHFFFAOYSA-N 8-methylnonyl prop-2-enoate Chemical compound CC(C)CCCCCCCOC(=O)C=C LVGFPWDANALGOY-UHFFFAOYSA-N 0.000 description 1
- RZVHIXYEVGDQDX-UHFFFAOYSA-N 9,10-anthraquinone Chemical compound C1=CC=C2C(=O)C3=CC=CC=C3C(=O)C2=C1 RZVHIXYEVGDQDX-UHFFFAOYSA-N 0.000 description 1
- 229940076442 9,10-anthraquinone Drugs 0.000 description 1
- RSWGJHLUYNHPMX-UHFFFAOYSA-N Abietic-Saeure Natural products C12CCC(C(C)C)=CC2=CCC2C1(C)CCCC2(C)C(O)=O RSWGJHLUYNHPMX-UHFFFAOYSA-N 0.000 description 1
- QTBSBXVTEAMEQO-UHFFFAOYSA-M Acetate Chemical compound CC([O-])=O QTBSBXVTEAMEQO-UHFFFAOYSA-M 0.000 description 1
- 229920002126 Acrylic acid copolymer Polymers 0.000 description 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 1
- 229910000013 Ammonium bicarbonate Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 239000004156 Azodicarbonamide Substances 0.000 description 1
- QYEXBYZXHDUPRC-UHFFFAOYSA-N B#[Ti]#B Chemical compound B#[Ti]#B QYEXBYZXHDUPRC-UHFFFAOYSA-N 0.000 description 1
- 229910052580 B4C Inorganic materials 0.000 description 1
- 229930185605 Bisphenol Natural products 0.000 description 1
- LCFVJGUPQDGYKZ-UHFFFAOYSA-N Bisphenol A diglycidyl ether Chemical compound C=1C=C(OCC2OC2)C=CC=1C(C)(C)C(C=C1)=CC=C1OCC1CO1 LCFVJGUPQDGYKZ-UHFFFAOYSA-N 0.000 description 1
- 229910000906 Bronze Inorganic materials 0.000 description 1
- 229910021532 Calcite Inorganic materials 0.000 description 1
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 description 1
- 229920013644 Chemigum Polymers 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- 102000018361 Contactin Human genes 0.000 description 1
- 108060003955 Contactin Proteins 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 241000283715 Damaliscus lunatus Species 0.000 description 1
- 239000004641 Diallyl-phthalate Substances 0.000 description 1
- 229920003261 Durez Polymers 0.000 description 1
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 1
- IAYPIBMASNFSPL-UHFFFAOYSA-N Ethylene oxide Chemical compound C1CO1 IAYPIBMASNFSPL-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 244000043261 Hevea brasiliensis Species 0.000 description 1
- 239000005057 Hexamethylene diisocyanate Substances 0.000 description 1
- 229920013646 Hycar Polymers 0.000 description 1
- 229920001479 Hydroxyethyl methyl cellulose Polymers 0.000 description 1
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 1
- 235000019738 Limestone Nutrition 0.000 description 1
- BGRDGMRNKXEXQD-UHFFFAOYSA-N Maleic hydrazide Chemical compound OC1=CC=C(O)N=N1 BGRDGMRNKXEXQD-UHFFFAOYSA-N 0.000 description 1
- VVQNEPGJFQJSBK-UHFFFAOYSA-N Methyl methacrylate Chemical compound COC(=O)C(C)=C VVQNEPGJFQJSBK-UHFFFAOYSA-N 0.000 description 1
- 229920001730 Moisture cure polyurethane Polymers 0.000 description 1
- FXHOOIRPVKKKFG-UHFFFAOYSA-N N,N-Dimethylacetamide Chemical compound CN(C)C(C)=O FXHOOIRPVKKKFG-UHFFFAOYSA-N 0.000 description 1
- VCUFZILGIRCDQQ-KRWDZBQOSA-N N-[[(5S)-2-oxo-3-(2-oxo-3H-1,3-benzoxazol-6-yl)-1,3-oxazolidin-5-yl]methyl]-2-[[3-(trifluoromethoxy)phenyl]methylamino]pyrimidine-5-carboxamide Chemical compound O=C1O[C@H](CN1C1=CC2=C(NC(O2)=O)C=C1)CNC(=O)C=1C=NC(=NC=1)NCC1=CC(=CC=C1)OC(F)(F)F VCUFZILGIRCDQQ-KRWDZBQOSA-N 0.000 description 1
- AKNUHUCEWALCOI-UHFFFAOYSA-N N-ethyldiethanolamine Chemical compound OCCN(CC)CCO AKNUHUCEWALCOI-UHFFFAOYSA-N 0.000 description 1
- 229910000503 Na-aluminosilicate Inorganic materials 0.000 description 1
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 1
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 1
- 239000004697 Polyetherimide Substances 0.000 description 1
- OFOBLEOULBTSOW-UHFFFAOYSA-N Propanedioic acid Natural products OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 description 1
- 229920001131 Pulp (paper) Polymers 0.000 description 1
- KHPCPRHQVVSZAH-HUOMCSJISA-N Rosin Natural products O(C/C=C/c1ccccc1)[C@H]1[C@H](O)[C@@H](O)[C@@H](O)[C@@H](CO)O1 KHPCPRHQVVSZAH-HUOMCSJISA-N 0.000 description 1
- 239000006087 Silane Coupling Agent Substances 0.000 description 1
- 108091092920 SmY RNA Proteins 0.000 description 1
- 241001237710 Smyrna Species 0.000 description 1
- 239000004115 Sodium Silicate Substances 0.000 description 1
- PMZURENOXWZQFD-UHFFFAOYSA-L Sodium Sulfate Chemical compound [Na+].[Na+].[O-]S([O-])(=O)=O PMZURENOXWZQFD-UHFFFAOYSA-L 0.000 description 1
- UIIMBOGNXHQVGW-DEQYMQKBSA-M Sodium bicarbonate-14C Chemical compound [Na+].O[14C]([O-])=O UIIMBOGNXHQVGW-DEQYMQKBSA-M 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 239000004809 Teflon Substances 0.000 description 1
- 229920006362 Teflon® Polymers 0.000 description 1
- 229910033181 TiB2 Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 description 1
- 229920004890 Triton X-100 Polymers 0.000 description 1
- 239000013504 Triton X-100 Substances 0.000 description 1
- 229920013624 Tylac Polymers 0.000 description 1
- JUDXBRVLWDGRBC-UHFFFAOYSA-N [2-(hydroxymethyl)-3-(2-methylprop-2-enoyloxy)-2-(2-methylprop-2-enoyloxymethyl)propyl] 2-methylprop-2-enoate Chemical compound CC(=C)C(=O)OCC(CO)(COC(=O)C(C)=C)COC(=O)C(C)=C JUDXBRVLWDGRBC-UHFFFAOYSA-N 0.000 description 1
- YPCHGLDQZXOZFW-UHFFFAOYSA-N [2-[[4-methyl-3-[[3-prop-2-enoyloxy-2,2-bis(prop-2-enoyloxymethyl)propoxy]carbonylamino]phenyl]carbamoyloxymethyl]-3-prop-2-enoyloxy-2-(prop-2-enoyloxymethyl)propyl] prop-2-enoate Chemical compound CC1=CC=C(NC(=O)OCC(COC(=O)C=C)(COC(=O)C=C)COC(=O)C=C)C=C1NC(=O)OCC(COC(=O)C=C)(COC(=O)C=C)COC(=O)C=C YPCHGLDQZXOZFW-UHFFFAOYSA-N 0.000 description 1
- XHCLAFWTIXFWPH-UHFFFAOYSA-N [O-2].[O-2].[O-2].[O-2].[O-2].[V+5].[V+5] Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[V+5].[V+5] XHCLAFWTIXFWPH-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- 229920006243 acrylic copolymer Polymers 0.000 description 1
- 229920006397 acrylic thermoplastic Polymers 0.000 description 1
- 239000012190 activator Substances 0.000 description 1
- 150000001266 acyl halides Chemical class 0.000 description 1
- WNLRTRBMVRJNCN-UHFFFAOYSA-L adipate(2-) Chemical class [O-]C(=O)CCCCC([O-])=O WNLRTRBMVRJNCN-UHFFFAOYSA-L 0.000 description 1
- 238000004220 aggregation Methods 0.000 description 1
- GZCGUPFRVQAUEE-SLPGGIOYSA-N aldehydo-D-glucose Chemical compound OC[C@@H](O)[C@@H](O)[C@H](O)[C@@H](O)C=O GZCGUPFRVQAUEE-SLPGGIOYSA-N 0.000 description 1
- RREGISFBPQOLTM-UHFFFAOYSA-N alumane;trihydrate Chemical compound O.O.O.[AlH3] RREGISFBPQOLTM-UHFFFAOYSA-N 0.000 description 1
- DIZPMCHEQGEION-UHFFFAOYSA-H aluminium sulfate (anhydrous) Chemical compound [Al+3].[Al+3].[O-]S([O-])(=O)=O.[O-]S([O-])(=O)=O.[O-]S([O-])(=O)=O DIZPMCHEQGEION-UHFFFAOYSA-H 0.000 description 1
- CEGOLXSVJUTHNZ-UHFFFAOYSA-K aluminium tristearate Chemical compound [Al+3].CCCCCCCCCCCCCCCCCC([O-])=O.CCCCCCCCCCCCCCCCCC([O-])=O.CCCCCCCCCCCCCCCCCC([O-])=O CEGOLXSVJUTHNZ-UHFFFAOYSA-K 0.000 description 1
- WPPDFTBPZNZZRP-UHFFFAOYSA-N aluminum copper Chemical compound [Al].[Cu] WPPDFTBPZNZZRP-UHFFFAOYSA-N 0.000 description 1
- 229940063655 aluminum stearate Drugs 0.000 description 1
- PRKQVKDSMLBJBJ-UHFFFAOYSA-N ammonium carbonate Chemical compound N.N.OC(O)=O PRKQVKDSMLBJBJ-UHFFFAOYSA-N 0.000 description 1
- 235000012501 ammonium carbonate Nutrition 0.000 description 1
- PYKYMHQGRFAEBM-UHFFFAOYSA-N anthraquinone Natural products CCC(=O)c1c(O)c2C(=O)C3C(C=CC=C3O)C(=O)c2cc1CC(=O)OC PYKYMHQGRFAEBM-UHFFFAOYSA-N 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 239000003963 antioxidant agent Substances 0.000 description 1
- 235000006708 antioxidants Nutrition 0.000 description 1
- 229910052586 apatite Inorganic materials 0.000 description 1
- 239000012736 aqueous medium Substances 0.000 description 1
- 150000008365 aromatic ketones Chemical class 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 239000012298 atmosphere Substances 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 125000000751 azo group Chemical group [*]N=N[*] 0.000 description 1
- XOZUGNYVDXMRKW-AATRIKPKSA-N azodicarbonamide Chemical compound NC(=O)\N=N\C(N)=O XOZUGNYVDXMRKW-AATRIKPKSA-N 0.000 description 1
- 235000019399 azodicarbonamide Nutrition 0.000 description 1
- RQPZNWPYLFFXCP-UHFFFAOYSA-L barium dihydroxide Chemical compound [OH-].[OH-].[Ba+2] RQPZNWPYLFFXCP-UHFFFAOYSA-L 0.000 description 1
- 229910001863 barium hydroxide Inorganic materials 0.000 description 1
- WURBFLDFSFBTLW-UHFFFAOYSA-N benzil Chemical compound C=1C=CC=CC=1C(=O)C(=O)C1=CC=CC=C1 WURBFLDFSFBTLW-UHFFFAOYSA-N 0.000 description 1
- RWCCWEUUXYIKHB-UHFFFAOYSA-N benzophenone Chemical group C=1C=CC=CC=1C(=O)C1=CC=CC=C1 RWCCWEUUXYIKHB-UHFFFAOYSA-N 0.000 description 1
- 239000012965 benzophenone Substances 0.000 description 1
- QUDWYFHPNIMBFC-UHFFFAOYSA-N bis(prop-2-enyl) benzene-1,2-dicarboxylate Chemical compound C=CCOC(=O)C1=CC=CC=C1C(=O)OCC=C QUDWYFHPNIMBFC-UHFFFAOYSA-N 0.000 description 1
- FPODCVUTIPDRTE-UHFFFAOYSA-N bis(prop-2-enyl) hexanedioate Chemical compound C=CCOC(=O)CCCCC(=O)OCC=C FPODCVUTIPDRTE-UHFFFAOYSA-N 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- 239000004841 bisphenol A epoxy resin Substances 0.000 description 1
- 229910021418 black silicon Inorganic materials 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 210000000988 bone and bone Anatomy 0.000 description 1
- INAHAJYZKVIDIZ-UHFFFAOYSA-N boron carbide Chemical compound B12B3B4C32B41 INAHAJYZKVIDIZ-UHFFFAOYSA-N 0.000 description 1
- 229910052810 boron oxide Inorganic materials 0.000 description 1
- 239000005380 borophosphosilicate glass Substances 0.000 description 1
- 239000010974 bronze Substances 0.000 description 1
- 239000000872 buffer Substances 0.000 description 1
- NTXGQCSETZTARF-UHFFFAOYSA-N buta-1,3-diene;prop-2-enenitrile Chemical compound C=CC=C.C=CC#N NTXGQCSETZTARF-UHFFFAOYSA-N 0.000 description 1
- MTAZNLWOLGHBHU-UHFFFAOYSA-N butadiene-styrene rubber Chemical compound C=CC=C.C=CC1=CC=CC=C1 MTAZNLWOLGHBHU-UHFFFAOYSA-N 0.000 description 1
- IAQRGUVFOMOMEM-UHFFFAOYSA-N butene Natural products CC=CC IAQRGUVFOMOMEM-UHFFFAOYSA-N 0.000 description 1
- 229910052793 cadmium Inorganic materials 0.000 description 1
- BDOSMKKIYDKNTQ-UHFFFAOYSA-N cadmium atom Chemical compound [Cd] BDOSMKKIYDKNTQ-UHFFFAOYSA-N 0.000 description 1
- 239000011575 calcium Substances 0.000 description 1
- 229910052791 calcium Inorganic materials 0.000 description 1
- WUKWITHWXAAZEY-UHFFFAOYSA-L calcium difluoride Chemical compound [F-].[F-].[Ca+2] WUKWITHWXAAZEY-UHFFFAOYSA-L 0.000 description 1
- AXCZMVOFGPJBDE-UHFFFAOYSA-L calcium dihydroxide Chemical compound [OH-].[OH-].[Ca+2] AXCZMVOFGPJBDE-UHFFFAOYSA-L 0.000 description 1
- 239000000920 calcium hydroxide Substances 0.000 description 1
- 229910001861 calcium hydroxide Inorganic materials 0.000 description 1
- BRPQOXSCLDDYGP-UHFFFAOYSA-N calcium oxide Chemical compound [O-2].[Ca+2] BRPQOXSCLDDYGP-UHFFFAOYSA-N 0.000 description 1
- 239000000292 calcium oxide Substances 0.000 description 1
- GBAOBIBJACZTNA-UHFFFAOYSA-L calcium sulfite Chemical compound [Ca+2].[O-]S([O-])=O GBAOBIBJACZTNA-UHFFFAOYSA-L 0.000 description 1
- 235000010261 calcium sulphite Nutrition 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000004359 castor oil Substances 0.000 description 1
- 235000019438 castor oil Nutrition 0.000 description 1
- 150000001768 cations Chemical class 0.000 description 1
- 229920002678 cellulose Polymers 0.000 description 1
- 229920003086 cellulose ether Polymers 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 238000001246 colloidal dispersion Methods 0.000 description 1
- 239000008119 colloidal silica Substances 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 238000000748 compression moulding Methods 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 229920001940 conductive polymer Polymers 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000010924 continuous production Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 229920001577 copolymer Polymers 0.000 description 1
- KUNSUQLRTQLHQQ-UHFFFAOYSA-N copper tin Chemical compound [Cu].[Sn] KUNSUQLRTQLHQQ-UHFFFAOYSA-N 0.000 description 1
- 238000003851 corona treatment Methods 0.000 description 1
- LDHQCZJRKDOVOX-NSCUHMNNSA-N crotonic acid Chemical compound C\C=C\C(O)=O LDHQCZJRKDOVOX-NSCUHMNNSA-N 0.000 description 1
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- GUJOJGAPFQRJSV-UHFFFAOYSA-N dialuminum;dioxosilane;oxygen(2-);hydrate Chemical compound O.[O-2].[O-2].[O-2].[Al+3].[Al+3].O=[Si]=O.O=[Si]=O.O=[Si]=O.O=[Si]=O GUJOJGAPFQRJSV-UHFFFAOYSA-N 0.000 description 1
- JKWMSGQKBLHBQQ-UHFFFAOYSA-N diboron trioxide Chemical compound O=BOB=O JKWMSGQKBLHBQQ-UHFFFAOYSA-N 0.000 description 1
- 238000007607 die coating method Methods 0.000 description 1
- MTHSVFCYNBDYFN-UHFFFAOYSA-N diethylene glycol Chemical compound OCCOCCO MTHSVFCYNBDYFN-UHFFFAOYSA-N 0.000 description 1
- 125000005442 diisocyanate group Chemical group 0.000 description 1
- 125000005594 diketone group Chemical group 0.000 description 1
- 229940113088 dimethylacetamide Drugs 0.000 description 1
- 230000003467 diminishing effect Effects 0.000 description 1
- 238000004821 distillation Methods 0.000 description 1
- 125000003438 dodecyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 239000000975 dye Substances 0.000 description 1
- 238000005323 electroforming Methods 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 125000004185 ester group Chemical group 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- SWRGUMCEJHQWEE-UHFFFAOYSA-N ethanedihydrazide Chemical compound NNC(=O)C(=O)NN SWRGUMCEJHQWEE-UHFFFAOYSA-N 0.000 description 1
- BLCTWBJQROOONQ-UHFFFAOYSA-N ethenyl prop-2-enoate Chemical class C=COC(=O)C=C BLCTWBJQROOONQ-UHFFFAOYSA-N 0.000 description 1
- FWDBOZPQNFPOLF-UHFFFAOYSA-N ethenyl(triethoxy)silane Chemical compound CCO[Si](OCC)(OCC)C=C FWDBOZPQNFPOLF-UHFFFAOYSA-N 0.000 description 1
- NKSJNEHGWDZZQF-UHFFFAOYSA-N ethenyl(trimethoxy)silane Chemical compound CO[Si](OC)(OC)C=C NKSJNEHGWDZZQF-UHFFFAOYSA-N 0.000 description 1
- 125000001033 ether group Chemical group 0.000 description 1
- 125000001301 ethoxy group Chemical group [H]C([H])([H])C([H])([H])O* 0.000 description 1
- SUPCQIBBMFXVTL-UHFFFAOYSA-N ethyl 2-methylprop-2-enoate Chemical compound CCOC(=O)C(C)=C SUPCQIBBMFXVTL-UHFFFAOYSA-N 0.000 description 1
- UHESRSKEBRADOO-UHFFFAOYSA-N ethyl carbamate;prop-2-enoic acid Chemical compound OC(=O)C=C.CCOC(N)=O UHESRSKEBRADOO-UHFFFAOYSA-N 0.000 description 1
- 229920006242 ethylene acrylic acid copolymer Polymers 0.000 description 1
- STVZJERGLQHEKB-UHFFFAOYSA-N ethylene glycol dimethacrylate Substances CC(=C)C(=O)OCCOC(=O)C(C)=C STVZJERGLQHEKB-UHFFFAOYSA-N 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 239000010433 feldspar Substances 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 235000013312 flour Nutrition 0.000 description 1
- 239000010436 fluorite Substances 0.000 description 1
- 239000011888 foil Substances 0.000 description 1
- IVJISJACKSSFGE-UHFFFAOYSA-N formaldehyde;1,3,5-triazine-2,4,6-triamine Chemical compound O=C.NC1=NC(N)=NC(N)=N1 IVJISJACKSSFGE-UHFFFAOYSA-N 0.000 description 1
- MSYLJRIXVZCQHW-UHFFFAOYSA-N formaldehyde;6-phenyl-1,3,5-triazine-2,4-diamine Chemical class O=C.NC1=NC(N)=NC(C=2C=CC=CC=2)=N1 MSYLJRIXVZCQHW-UHFFFAOYSA-N 0.000 description 1
- SLGWESQGEUXWJQ-UHFFFAOYSA-N formaldehyde;phenol Chemical compound O=C.OC1=CC=CC=C1 SLGWESQGEUXWJQ-UHFFFAOYSA-N 0.000 description 1
- 238000007306 functionalization reaction Methods 0.000 description 1
- 238000007429 general method Methods 0.000 description 1
- 238000012812 general test Methods 0.000 description 1
- 239000003365 glass fiber Substances 0.000 description 1
- 239000003292 glue Substances 0.000 description 1
- ZEMPKEQAKRGZGQ-XOQCFJPHSA-N glycerol triricinoleate Natural products CCCCCC[C@@H](O)CC=CCCCCCCCC(=O)OC[C@@H](COC(=O)CCCCCCCC=CC[C@@H](O)CCCCCC)OC(=O)CCCCCCCC=CC[C@H](O)CCCCCC ZEMPKEQAKRGZGQ-XOQCFJPHSA-N 0.000 description 1
- RRAMGCGOFNQTLD-UHFFFAOYSA-N hexamethylene diisocyanate Chemical compound O=C=NCCCCCCN=C=O RRAMGCGOFNQTLD-UHFFFAOYSA-N 0.000 description 1
- 239000008240 homogeneous mixture Substances 0.000 description 1
- 238000007757 hot melt coating Methods 0.000 description 1
- 239000003906 humectant Substances 0.000 description 1
- 150000007857 hydrazones Chemical class 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 150000004679 hydroxides Chemical class 0.000 description 1
- 125000004356 hydroxy functional group Chemical group O* 0.000 description 1
- 239000001866 hydroxypropyl methyl cellulose Substances 0.000 description 1
- UFVKGYZPFZQRLF-UHFFFAOYSA-N hydroxypropyl methyl cellulose Chemical compound OC1C(O)C(OC)OC(CO)C1OC1C(O)C(O)C(OC2C(C(O)C(OC3C(C(O)C(O)C(CO)O3)O)C(CO)O2)O)C(CO)O1 UFVKGYZPFZQRLF-UHFFFAOYSA-N 0.000 description 1
- 229920003088 hydroxypropyl methyl cellulose Polymers 0.000 description 1
- 235000010979 hydroxypropyl methyl cellulose Nutrition 0.000 description 1
- 150000002460 imidazoles Chemical class 0.000 description 1
- 239000003112 inhibitor Substances 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000001746 injection moulding Methods 0.000 description 1
- 239000010954 inorganic particle Substances 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 230000005865 ionizing radiation Effects 0.000 description 1
- JEIPFZHSYJVQDO-UHFFFAOYSA-N iron(III) oxide Inorganic materials O=[Fe]O[Fe]=O JEIPFZHSYJVQDO-UHFFFAOYSA-N 0.000 description 1
- LDHQCZJRKDOVOX-IHWYPQMZSA-N isocrotonic acid Chemical compound C\C=C/C(O)=O LDHQCZJRKDOVOX-IHWYPQMZSA-N 0.000 description 1
- 238000010030 laminating Methods 0.000 description 1
- 238000002356 laser light scattering Methods 0.000 description 1
- PBOSTUDLECTMNL-UHFFFAOYSA-N lauryl acrylate Chemical compound CCCCCCCCCCCCOC(=O)C=C PBOSTUDLECTMNL-UHFFFAOYSA-N 0.000 description 1
- 239000006028 limestone Substances 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- CDOSHBSSFJOMGT-UHFFFAOYSA-N linalool Chemical compound CC(C)=CCCC(C)(O)C=C CDOSHBSSFJOMGT-UHFFFAOYSA-N 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 150000004668 long chain fatty acids Chemical class 0.000 description 1
- 238000003754 machining Methods 0.000 description 1
- 229910001629 magnesium chloride Inorganic materials 0.000 description 1
- VTHJTEIRLNZDEV-UHFFFAOYSA-L magnesium dihydroxide Chemical compound [OH-].[OH-].[Mg+2] VTHJTEIRLNZDEV-UHFFFAOYSA-L 0.000 description 1
- 239000000347 magnesium hydroxide Substances 0.000 description 1
- 229910001862 magnesium hydroxide Inorganic materials 0.000 description 1
- 101150078951 mai-2 gene Proteins 0.000 description 1
- VZCYOOQTPOCHFL-UPHRSURJSA-N maleic acid Chemical compound OC(=O)\C=C/C(O)=O VZCYOOQTPOCHFL-UPHRSURJSA-N 0.000 description 1
- 239000011976 maleic acid Substances 0.000 description 1
- 239000004579 marble Substances 0.000 description 1
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 1
- 229910052753 mercury Inorganic materials 0.000 description 1
- FQPSGWSUVKBHSU-UHFFFAOYSA-N methacrylamide Chemical compound CC(=C)C(N)=O FQPSGWSUVKBHSU-UHFFFAOYSA-N 0.000 description 1
- 125000000956 methoxy group Chemical group [H]C([H])([H])O* 0.000 description 1
- 229920000609 methyl cellulose Polymers 0.000 description 1
- CRVGTESFCCXCTH-UHFFFAOYSA-N methyl diethanolamine Chemical compound OCCN(C)CCO CRVGTESFCCXCTH-UHFFFAOYSA-N 0.000 description 1
- 239000001923 methylcellulose Substances 0.000 description 1
- 235000010981 methylcellulose Nutrition 0.000 description 1
- LVHBHZANLOWSRM-UHFFFAOYSA-N methylenebutanedioic acid Natural products OC(=O)CC(=C)C(O)=O LVHBHZANLOWSRM-UHFFFAOYSA-N 0.000 description 1
- BFXIKLCIZHOAAZ-UHFFFAOYSA-N methyltrimethoxysilane Chemical compound CO[Si](C)(OC)OC BFXIKLCIZHOAAZ-UHFFFAOYSA-N 0.000 description 1
- 238000005065 mining Methods 0.000 description 1
- CWQXQMHSOZUFJS-UHFFFAOYSA-N molybdenum disulfide Chemical class S=[Mo]=S CWQXQMHSOZUFJS-UHFFFAOYSA-N 0.000 description 1
- 229910052982 molybdenum disulfide Inorganic materials 0.000 description 1
- 229910052901 montmorillonite Inorganic materials 0.000 description 1
- 238000000465 moulding Methods 0.000 description 1
- YPHQUSNPXDGUHL-UHFFFAOYSA-N n-methylprop-2-enamide Chemical compound CNC(=O)C=C YPHQUSNPXDGUHL-UHFFFAOYSA-N 0.000 description 1
- 229920003052 natural elastomer Polymers 0.000 description 1
- 229920001194 natural rubber Polymers 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910017604 nitric acid Inorganic materials 0.000 description 1
- 125000000449 nitro group Chemical group [O-][N+](*)=O 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 150000002832 nitroso derivatives Chemical class 0.000 description 1
- 229910052755 nonmetal Inorganic materials 0.000 description 1
- 239000004745 nonwoven fabric Substances 0.000 description 1
- 125000002347 octyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 239000003921 oil Substances 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 239000011146 organic particle Substances 0.000 description 1
- 150000001451 organic peroxides Chemical class 0.000 description 1
- 150000002898 organic sulfur compounds Chemical class 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 150000001282 organosilanes Chemical class 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- FJKROLUGYXJWQN-UHFFFAOYSA-N papa-hydroxy-benzoic acid Natural products OC(=O)C1=CC=C(O)C=C1 FJKROLUGYXJWQN-UHFFFAOYSA-N 0.000 description 1
- QNGNSVIICDLXHT-UHFFFAOYSA-N para-ethylbenzaldehyde Natural products CCC1=CC=C(C=O)C=C1 QNGNSVIICDLXHT-UHFFFAOYSA-N 0.000 description 1
- FZUGPQWGEGAKET-UHFFFAOYSA-N parbenate Chemical compound CCOC(=O)C1=CC=C(N(C)C)C=C1 FZUGPQWGEGAKET-UHFFFAOYSA-N 0.000 description 1
- 230000037361 pathway Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 239000008188 pellet Substances 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- VSIIXMUUUJUKCM-UHFFFAOYSA-D pentacalcium;fluoride;triphosphate Chemical compound [F-].[Ca+2].[Ca+2].[Ca+2].[Ca+2].[Ca+2].[O-]P([O-])([O-])=O.[O-]P([O-])([O-])=O.[O-]P([O-])([O-])=O VSIIXMUUUJUKCM-UHFFFAOYSA-D 0.000 description 1
- 150000002978 peroxides Chemical class 0.000 description 1
- 239000005054 phenyltrichlorosilane Substances 0.000 description 1
- 125000002467 phosphate group Chemical group [H]OP(=O)(O[H])O[*] 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- XNGIFLGASWRNHJ-UHFFFAOYSA-L phthalate(2-) Chemical compound [O-]C(=O)C1=CC=CC=C1C([O-])=O XNGIFLGASWRNHJ-UHFFFAOYSA-L 0.000 description 1
- 239000000049 pigment Substances 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229920000233 poly(alkylene oxides) Polymers 0.000 description 1
- 229920001485 poly(butyl acrylate) polymer Polymers 0.000 description 1
- 229920003229 poly(methyl methacrylate) Polymers 0.000 description 1
- 229920001495 poly(sodium acrylate) polymer Polymers 0.000 description 1
- 229920002492 poly(sulfone) Polymers 0.000 description 1
- 229920000570 polyether Polymers 0.000 description 1
- 229920001601 polyetherimide Polymers 0.000 description 1
- 229920006254 polymer film Polymers 0.000 description 1
- ODGAOXROABLFNM-UHFFFAOYSA-N polynoxylin Chemical class O=C.NC(N)=O ODGAOXROABLFNM-UHFFFAOYSA-N 0.000 description 1
- 229920000098 polyolefin Polymers 0.000 description 1
- 229920001451 polypropylene glycol Polymers 0.000 description 1
- 239000001103 potassium chloride Substances 0.000 description 1
- 235000011164 potassium chloride Nutrition 0.000 description 1
- 230000002028 premature Effects 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000003825 pressing Methods 0.000 description 1
- 238000007639 printing Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- UQMZDGOZAWEVRF-UHFFFAOYSA-N prop-2-enoyloxymethyl prop-2-enoate Chemical compound C=CC(=O)OCOC(=O)C=C UQMZDGOZAWEVRF-UHFFFAOYSA-N 0.000 description 1
- HJWLCRVIBGQPNF-UHFFFAOYSA-N prop-2-enylbenzene Chemical compound C=CCC1=CC=CC=C1 HJWLCRVIBGQPNF-UHFFFAOYSA-N 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- WVIICGIFSIBFOG-UHFFFAOYSA-N pyrylium Chemical class C1=CC=[O+]C=C1 WVIICGIFSIBFOG-UHFFFAOYSA-N 0.000 description 1
- 150000003242 quaternary ammonium salts Chemical class 0.000 description 1
- 150000004053 quinones Chemical class 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 239000012783 reinforcing fiber Substances 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 238000007142 ring opening reaction Methods 0.000 description 1
- 229960004889 salicylic acid Drugs 0.000 description 1
- 238000006748 scratching Methods 0.000 description 1
- 230000002393 scratching effect Effects 0.000 description 1
- 238000012216 screening Methods 0.000 description 1
- CXMXRPHRNRROMY-UHFFFAOYSA-N sebacic acid Chemical class OC(=O)CCCCCCCCC(O)=O CXMXRPHRNRROMY-UHFFFAOYSA-N 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- 239000000741 silica gel Substances 0.000 description 1
- 229910002027 silica gel Inorganic materials 0.000 description 1
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical class F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 1
- 229920002545 silicone oil Polymers 0.000 description 1
- 125000005373 siloxane group Chemical group [SiH2](O*)* 0.000 description 1
- XGVXKJKTISMIOW-ZDUSSCGKSA-N simurosertib Chemical compound N1N=CC(C=2SC=3C(=O)NC(=NC=3C=2)[C@H]2N3CCC(CC3)C2)=C1C XGVXKJKTISMIOW-ZDUSSCGKSA-N 0.000 description 1
- 238000005245 sintering Methods 0.000 description 1
- 238000007581 slurry coating method Methods 0.000 description 1
- 239000011734 sodium Substances 0.000 description 1
- 229910052708 sodium Inorganic materials 0.000 description 1
- 239000000429 sodium aluminium silicate Substances 0.000 description 1
- 235000012217 sodium aluminium silicate Nutrition 0.000 description 1
- GJPYYNMJTJNYTO-UHFFFAOYSA-J sodium aluminium sulfate Chemical compound [Na+].[Al+3].[O-]S([O-])(=O)=O.[O-]S([O-])(=O)=O GJPYYNMJTJNYTO-UHFFFAOYSA-J 0.000 description 1
- URGAHOPLAPQHLN-UHFFFAOYSA-N sodium aluminosilicate Chemical compound [Na+].[Al+3].[O-][Si]([O-])=O.[O-][Si]([O-])=O URGAHOPLAPQHLN-UHFFFAOYSA-N 0.000 description 1
- 229910000029 sodium carbonate Inorganic materials 0.000 description 1
- 239000011780 sodium chloride Substances 0.000 description 1
- NNMHYFLPFNGQFZ-UHFFFAOYSA-M sodium polyacrylate Chemical compound [Na+].[O-]C(=O)C=C NNMHYFLPFNGQFZ-UHFFFAOYSA-M 0.000 description 1
- 229910052911 sodium silicate Inorganic materials 0.000 description 1
- NTHWMYGWWRZVTN-UHFFFAOYSA-N sodium silicate Chemical compound [Na+].[Na+].[O-][Si]([O-])=O NTHWMYGWWRZVTN-UHFFFAOYSA-N 0.000 description 1
- 235000019794 sodium silicate Nutrition 0.000 description 1
- 229910052938 sodium sulfate Inorganic materials 0.000 description 1
- 235000011152 sodium sulphate Nutrition 0.000 description 1
- 229910001495 sodium tetrafluoroborate Inorganic materials 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 239000007858 starting material Substances 0.000 description 1
- 125000004079 stearyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- LSNNMFCWUKXFEE-UHFFFAOYSA-L sulfite Chemical class [O-]S([O-])=O LSNNMFCWUKXFEE-UHFFFAOYSA-L 0.000 description 1
- 125000001273 sulfonato group Chemical group [O-]S(*)(=O)=O 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- 150000003467 sulfuric acid derivatives Chemical class 0.000 description 1
- 230000000153 supplemental effect Effects 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 230000002459 sustained effect Effects 0.000 description 1
- 230000008961 swelling Effects 0.000 description 1
- 229920003051 synthetic elastomer Polymers 0.000 description 1
- 229920003002 synthetic resin Polymers 0.000 description 1
- 239000005061 synthetic rubber Substances 0.000 description 1
- 238000010345 tape casting Methods 0.000 description 1
- ISXSCDLOGDJUNJ-UHFFFAOYSA-N tert-butyl prop-2-enoate Chemical compound CC(C)(C)OC(=O)C=C ISXSCDLOGDJUNJ-UHFFFAOYSA-N 0.000 description 1
- 150000003512 tertiary amines Chemical class 0.000 description 1
- 125000001302 tertiary amino group Chemical group 0.000 description 1
- BFKJFAAPBSQJPD-UHFFFAOYSA-N tetrafluoroethene Chemical compound FC(F)=C(F)F BFKJFAAPBSQJPD-UHFFFAOYSA-N 0.000 description 1
- YRHRIQCWCFGUEQ-UHFFFAOYSA-N thioxanthen-9-one Chemical compound C1=CC=C2C(=O)C3=CC=CC=C3SC2=C1 YRHRIQCWCFGUEQ-UHFFFAOYSA-N 0.000 description 1
- DVKJHBMWWAPEIU-UHFFFAOYSA-N toluene 2,4-diisocyanate Chemical compound CC1=CC=C(N=C=O)C=C1N=C=O DVKJHBMWWAPEIU-UHFFFAOYSA-N 0.000 description 1
- 125000005147 toluenesulfonyl group Chemical group C=1(C(=CC=CC1)S(=O)(=O)*)C 0.000 description 1
- VZCYOOQTPOCHFL-UHFFFAOYSA-N trans-butenedioic acid Natural products OC(=O)C=CC(O)=O VZCYOOQTPOCHFL-UHFFFAOYSA-N 0.000 description 1
- KHPCPRHQVVSZAH-UHFFFAOYSA-N trans-cinnamyl beta-D-glucopyranoside Natural products OC1C(O)C(O)C(CO)OC1OCC=CC1=CC=CC=C1 KHPCPRHQVVSZAH-UHFFFAOYSA-N 0.000 description 1
- ORVMIVQULIKXCP-UHFFFAOYSA-N trichloro(phenyl)silane Chemical compound Cl[Si](Cl)(Cl)C1=CC=CC=C1 ORVMIVQULIKXCP-UHFFFAOYSA-N 0.000 description 1
- CPUDPFPXCZDNGI-UHFFFAOYSA-N triethoxy(methyl)silane Chemical compound CCO[Si](C)(OCC)OCC CPUDPFPXCZDNGI-UHFFFAOYSA-N 0.000 description 1
- JCVQKRGIASEUKR-UHFFFAOYSA-N triethoxy(phenyl)silane Chemical compound CCO[Si](OCC)(OCC)C1=CC=CC=C1 JCVQKRGIASEUKR-UHFFFAOYSA-N 0.000 description 1
- NBXZNTLFQLUFES-UHFFFAOYSA-N triethoxy(propyl)silane Chemical compound CCC[Si](OCC)(OCC)OCC NBXZNTLFQLUFES-UHFFFAOYSA-N 0.000 description 1
- ZNOCGWVLWPVKAO-UHFFFAOYSA-N trimethoxy(phenyl)silane Chemical compound CO[Si](OC)(OC)C1=CC=CC=C1 ZNOCGWVLWPVKAO-UHFFFAOYSA-N 0.000 description 1
- HQYALQRYBUJWDH-UHFFFAOYSA-N trimethoxy(propyl)silane Chemical compound CCC[Si](OC)(OC)OC HQYALQRYBUJWDH-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 1
- XSQUKJJJFZCRTK-UHFFFAOYSA-N urea group Chemical group NC(=O)N XSQUKJJJFZCRTK-UHFFFAOYSA-N 0.000 description 1
- 229910001935 vanadium oxide Inorganic materials 0.000 description 1
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- 239000010456 wollastonite Substances 0.000 description 1
- 229910052882 wollastonite Inorganic materials 0.000 description 1
- 239000002023 wood Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/304—Mechanical treatment, e.g. grinding, polishing, cutting
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B24—GRINDING; POLISHING
- B24B—MACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
- B24B53/00—Devices or means for dressing or conditioning abrasive surfaces
- B24B53/017—Devices or means for dressing, cleaning or otherwise conditioning lapping tools
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B24—GRINDING; POLISHING
- B24B—MACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
- B24B21/00—Machines or devices using grinding or polishing belts; Accessories therefor
- B24B21/04—Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B24—GRINDING; POLISHING
- B24B—MACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
- B24B37/00—Lapping machines or devices; Accessories
- B24B37/04—Lapping machines or devices; Accessories designed for working plane surfaces
- B24B37/042—Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B24—GRINDING; POLISHING
- B24B—MACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
- B24B7/00—Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor
- B24B7/20—Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground
- B24B7/22—Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain
- B24B7/228—Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain for grinding thin, brittle parts, e.g. semiconductors, wafers
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B24—GRINDING; POLISHING
- B24D—TOOLS FOR GRINDING, BUFFING OR SHARPENING
- B24D11/00—Constructional features of flexible abrasive materials; Special features in the manufacture of such materials
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B24—GRINDING; POLISHING
- B24D—TOOLS FOR GRINDING, BUFFING OR SHARPENING
- B24D3/00—Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents
- B24D3/02—Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents the constituent being used as bonding agent
- B24D3/20—Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents the constituent being used as bonding agent and being essentially organic
- B24D3/28—Resins or natural or synthetic macromolecular compounds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31051—Planarisation of the insulating layers
- H01L21/31053—Planarisation of the insulating layers involving a dielectric removal step
Landscapes
- Engineering & Computer Science (AREA)
- Mechanical Engineering (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Inorganic Chemistry (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Mechanical Treatment Of Semiconductor (AREA)
- Polishing Bodies And Polishing Tools (AREA)
- Grinding And Polishing Of Tertiary Curved Surfaces And Surfaces With Complex Shapes (AREA)
- Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US416195P | 1995-09-22 | 1995-09-22 | |
US55772795A | 1995-11-13 | 1995-11-13 | |
US08/694,014 US5958794A (en) | 1995-09-22 | 1996-08-08 | Method of modifying an exposed surface of a semiconductor wafer |
PCT/US1996/015089 WO1997011484A1 (en) | 1995-09-22 | 1996-09-19 | Method of modifying an exposed surface of a semiconductor wafer |
Publications (2)
Publication Number | Publication Date |
---|---|
IL123520A0 IL123520A0 (en) | 1998-10-30 |
IL123520A true IL123520A (en) | 2002-02-10 |
Family
ID=27357580
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
IL12352096A IL123520A (en) | 1995-09-22 | 1996-09-19 | A method for changing the nature of an exposed surface area of semiconductor foil |
Country Status (12)
Country | Link |
---|---|
US (1) | US5958794A (de) |
EP (1) | EP0852063B1 (de) |
JP (3) | JPH11512874A (de) |
KR (1) | KR100456208B1 (de) |
CN (1) | CN1099127C (de) |
AU (1) | AU7241396A (de) |
CA (1) | CA2231159A1 (de) |
DE (1) | DE69633339T2 (de) |
IL (1) | IL123520A (de) |
MX (1) | MX9802098A (de) |
MY (1) | MY120676A (de) |
WO (1) | WO1997011484A1 (de) |
Families Citing this family (403)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6413156B1 (en) * | 1996-05-16 | 2002-07-02 | Ebara Corporation | Method and apparatus for polishing workpiece |
US5692950A (en) * | 1996-08-08 | 1997-12-02 | Minnesota Mining And Manufacturing Company | Abrasive construction for semiconductor wafer modification |
US5876268A (en) * | 1997-01-03 | 1999-03-02 | Minnesota Mining And Manufacturing Company | Method and article for the production of optical quality surfaces on glass |
US6602439B1 (en) * | 1997-02-24 | 2003-08-05 | Superior Micropowders, Llc | Chemical-mechanical planarization slurries and powders and methods for using same |
US5910471A (en) * | 1997-03-07 | 1999-06-08 | Minnesota Mining And Manufacturing Company | Abrasive article for providing a clear surface finish on glass |
US6231629B1 (en) | 1997-03-07 | 2001-05-15 | 3M Innovative Properties Company | Abrasive article for providing a clear surface finish on glass |
US5888119A (en) * | 1997-03-07 | 1999-03-30 | Minnesota Mining And Manufacturing Company | Method for providing a clear surface finish on glass |
US9868100B2 (en) | 1997-04-04 | 2018-01-16 | Chien-Min Sung | Brazed diamond tools and methods for making the same |
TW479285B (en) * | 1997-04-30 | 2002-03-11 | Minnesota Mining & Mfg | Method of modifying a wafer suited for semiconductor fabrication |
US8092707B2 (en) | 1997-04-30 | 2012-01-10 | 3M Innovative Properties Company | Compositions and methods for modifying a surface suited for semiconductor fabrication |
US6194317B1 (en) * | 1998-04-30 | 2001-02-27 | 3M Innovative Properties Company | Method of planarizing the upper surface of a semiconductor wafer |
US6224465B1 (en) | 1997-06-26 | 2001-05-01 | Stuart L. Meyer | Methods and apparatus for chemical mechanical planarization using a microreplicated surface |
US6121143A (en) * | 1997-09-19 | 2000-09-19 | 3M Innovative Properties Company | Abrasive articles comprising a fluorochemical agent for wafer surface modification |
US5928394A (en) * | 1997-10-30 | 1999-07-27 | Minnesota Mining And Manufacturing Company | Durable abrasive articles with thick abrasive coatings |
US6884721B2 (en) * | 1997-12-25 | 2005-04-26 | Shin-Etsu Handotai Co., Ltd. | Silicon wafer storage water and silicon wafer storage method |
EP1094918B1 (de) | 1998-02-19 | 2005-05-04 | Minnesota Mining And Manufacturing Company | Schleifgegenstand und verfahren zum schleifen von glas |
US6177026B1 (en) * | 1998-05-26 | 2001-01-23 | Cabot Microelectronics Corporation | CMP slurry containing a solid catalyst |
US6435947B2 (en) | 1998-05-26 | 2002-08-20 | Cabot Microelectronics Corporation | CMP polishing pad including a solid catalyst |
JP3006591B2 (ja) * | 1998-07-02 | 2000-02-07 | 信濃電気製錬株式会社 | ロール研磨用多孔質砥石及びロール表面の研磨方法 |
JP2000079551A (ja) * | 1998-07-06 | 2000-03-21 | Canon Inc | コンディショニング装置及びコンディショニング方法 |
JP3858462B2 (ja) * | 1998-07-30 | 2006-12-13 | 株式会社日立製作所 | 半導体装置の製造方法 |
JP3560484B2 (ja) | 1998-08-05 | 2004-09-02 | 昭和電工株式会社 | Lsiデバイス研磨用研磨材組成物及び研磨方法 |
TW408420B (en) * | 1998-08-14 | 2000-10-11 | Mosel Vitelic Inc | A method to measure if the connecting via in the metal wiring layer is aligned correctly |
CN1204602C (zh) | 1998-08-31 | 2005-06-01 | 日立化成工业株式会社 | 金属用研磨液及研磨方法 |
US6232231B1 (en) * | 1998-08-31 | 2001-05-15 | Cypress Semiconductor Corporation | Planarized semiconductor interconnect topography and method for polishing a metal layer to form interconnect |
US6245690B1 (en) * | 1998-11-04 | 2001-06-12 | Applied Materials, Inc. | Method of improving moisture resistance of low dielectric constant films |
US6293851B1 (en) | 1998-11-06 | 2001-09-25 | Beaver Creek Concepts Inc | Fixed abrasive finishing method using lubricants |
US6719615B1 (en) | 2000-10-10 | 2004-04-13 | Beaver Creek Concepts Inc | Versatile wafer refining |
US6346202B1 (en) | 1999-03-25 | 2002-02-12 | Beaver Creek Concepts Inc | Finishing with partial organic boundary layer |
US6568989B1 (en) | 1999-04-01 | 2003-05-27 | Beaver Creek Concepts Inc | Semiconductor wafer finishing control |
US7131890B1 (en) | 1998-11-06 | 2006-11-07 | Beaver Creek Concepts, Inc. | In situ finishing control |
US6428388B2 (en) | 1998-11-06 | 2002-08-06 | Beaver Creek Concepts Inc. | Finishing element with finishing aids |
US6390890B1 (en) | 1999-02-06 | 2002-05-21 | Charles J Molnar | Finishing semiconductor wafers with a fixed abrasive finishing element |
US6739947B1 (en) | 1998-11-06 | 2004-05-25 | Beaver Creek Concepts Inc | In situ friction detector method and apparatus |
US6634927B1 (en) | 1998-11-06 | 2003-10-21 | Charles J Molnar | Finishing element using finishing aids |
US6541381B2 (en) | 1998-11-06 | 2003-04-01 | Beaver Creek Concepts Inc | Finishing method for semiconductor wafers using a lubricating boundary layer |
US6291349B1 (en) | 1999-03-25 | 2001-09-18 | Beaver Creek Concepts Inc | Abrasive finishing with partial organic boundary layer |
US6656023B1 (en) * | 1998-11-06 | 2003-12-02 | Beaver Creek Concepts Inc | In situ control with lubricant and tracking |
US6267644B1 (en) | 1998-11-06 | 2001-07-31 | Beaver Creek Concepts Inc | Fixed abrasive finishing element having aids finishing method |
FR2785614B1 (fr) * | 1998-11-09 | 2001-01-26 | Clariant France Sa | Nouveau procede de polissage mecano-chimique selectif entre une couche d'oxyde de silicium et une couche de nitrure de silicium |
US6206756B1 (en) | 1998-11-10 | 2001-03-27 | Micron Technology, Inc. | Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad |
US6276996B1 (en) * | 1998-11-10 | 2001-08-21 | Micron Technology, Inc. | Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad |
US6169034B1 (en) * | 1998-11-25 | 2001-01-02 | Advanced Micro Devices, Inc. | Chemically removable Cu CMP slurry abrasive |
US6033987A (en) * | 1999-01-15 | 2000-03-07 | Winbond Electronics Corp. | Method for mapping and adjusting pressure distribution of CMP processes |
KR100585480B1 (ko) * | 1999-01-21 | 2006-06-02 | 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 | 개선된 연마 패드 및 기판의 연마 방법 |
US6641463B1 (en) | 1999-02-06 | 2003-11-04 | Beaver Creek Concepts Inc | Finishing components and elements |
US6179887B1 (en) | 1999-02-17 | 2001-01-30 | 3M Innovative Properties Company | Method for making an abrasive article and abrasive articles thereof |
US6056794A (en) * | 1999-03-05 | 2000-05-02 | 3M Innovative Properties Company | Abrasive articles having bonding systems containing abrasive particles |
US6428392B1 (en) * | 1999-03-23 | 2002-08-06 | Seimi Chemical Co., Ltd. | Abrasive |
US6551933B1 (en) | 1999-03-25 | 2003-04-22 | Beaver Creek Concepts Inc | Abrasive finishing with lubricant and tracking |
US6752844B2 (en) * | 1999-03-29 | 2004-06-22 | Intel Corporation | Ceric-ion slurry for use in chemical-mechanical polishing |
CN1345264A (zh) * | 1999-03-30 | 2002-04-17 | 株式会社尼康 | 抛光盘、抛光机、抛光方法及制造半导体器件的方法 |
US6296557B1 (en) * | 1999-04-02 | 2001-10-02 | Micron Technology, Inc. | Method and apparatus for releasably attaching polishing pads to planarizing machines in mechanical and/or chemical-mechanical planarization of microelectronic-device substrate assemblies |
US6217418B1 (en) * | 1999-04-14 | 2001-04-17 | Advanced Micro Devices, Inc. | Polishing pad and method for polishing porous materials |
JP2000301450A (ja) * | 1999-04-19 | 2000-10-31 | Rohm Co Ltd | Cmp研磨パッドおよびそれを用いたcmp処理装置 |
US6458018B1 (en) | 1999-04-23 | 2002-10-01 | 3M Innovative Properties Company | Abrasive article suitable for abrading glass and glass ceramic workpieces |
US6634929B1 (en) | 1999-04-23 | 2003-10-21 | 3M Innovative Properties Company | Method for grinding glass |
US20020077037A1 (en) * | 1999-05-03 | 2002-06-20 | Tietz James V. | Fixed abrasive articles |
JP4030247B2 (ja) * | 1999-05-17 | 2008-01-09 | 株式会社荏原製作所 | ドレッシング装置及びポリッシング装置 |
WO2000072223A1 (en) * | 1999-05-24 | 2000-11-30 | Potomac Photonics, Inc. | A pulse-position system for miniature structures |
US6306012B1 (en) * | 1999-07-20 | 2001-10-23 | Micron Technology, Inc. | Methods and apparatuses for planarizing microelectronic substrate assemblies |
JP2001105329A (ja) * | 1999-08-02 | 2001-04-17 | Ebara Corp | 研磨用砥石 |
US6238448B1 (en) * | 1999-08-16 | 2001-05-29 | R & D Technology, Inc. | Grinding stones |
US6443812B1 (en) | 1999-08-24 | 2002-09-03 | Rodel Holdings Inc. | Compositions for insulator and metal CMP and methods relating thereto |
US6376381B1 (en) * | 1999-08-31 | 2002-04-23 | Micron Technology, Inc. | Planarizing solutions, planarizing machines, and methods for mechanical and/or chemical-mechanical planarization of microelectronic substrate assemblies |
US6623579B1 (en) * | 1999-11-02 | 2003-09-23 | Alien Technology Corporation | Methods and apparatus for fluidic self assembly |
US6479395B1 (en) * | 1999-11-02 | 2002-11-12 | Alien Technology Corporation | Methods for forming openings in a substrate and apparatuses with these openings and methods for creating assemblies with openings |
US6420266B1 (en) * | 1999-11-02 | 2002-07-16 | Alien Technology Corporation | Methods for creating elements of predetermined shape and apparatuses using these elements |
US6432826B1 (en) | 1999-11-29 | 2002-08-13 | Applied Materials, Inc. | Planarized Cu cleaning for reduced defects |
US6832948B1 (en) * | 1999-12-03 | 2004-12-21 | Applied Materials Inc. | Thermal preconditioning fixed abrasive articles |
WO2001043920A1 (en) * | 1999-12-14 | 2001-06-21 | Rodel Holdings, Inc. | Method of manufacturing a polymer or polymer composite polishing pad |
US6294470B1 (en) | 1999-12-22 | 2001-09-25 | International Business Machines Corporation | Slurry-less chemical-mechanical polishing |
US6638143B2 (en) | 1999-12-22 | 2003-10-28 | Applied Materials, Inc. | Ion exchange materials for chemical mechanical polishing |
US6358850B1 (en) | 1999-12-23 | 2002-03-19 | International Business Machines Corporation | Slurry-less chemical-mechanical polishing of oxide materials |
US6607428B2 (en) | 2000-01-18 | 2003-08-19 | Applied Materials, Inc. | Material for use in carrier and polishing pads |
JP3971075B2 (ja) * | 2000-01-18 | 2007-09-05 | 株式会社Tmp | 仕上げ研磨用ラッピングオイル組成物 |
US6746311B1 (en) | 2000-01-24 | 2004-06-08 | 3M Innovative Properties Company | Polishing pad with release layer |
US6626743B1 (en) | 2000-03-31 | 2003-09-30 | Lam Research Corporation | Method and apparatus for conditioning a polishing pad |
US6428394B1 (en) | 2000-03-31 | 2002-08-06 | Lam Research Corporation | Method and apparatus for chemical mechanical planarization and polishing of semiconductor wafers using a continuous polishing member feed |
JP2003529456A (ja) * | 2000-03-31 | 2003-10-07 | ラム リサーチ コーポレイション | 固定研磨材線形研磨ベルトおよびそれを用いる装置 |
US6261959B1 (en) | 2000-03-31 | 2001-07-17 | Lam Research Corporation | Method and apparatus for chemically-mechanically polishing semiconductor wafers |
US6616801B1 (en) * | 2000-03-31 | 2003-09-09 | Lam Research Corporation | Method and apparatus for fixed-abrasive substrate manufacturing and wafer polishing in a single process path |
US6451697B1 (en) | 2000-04-06 | 2002-09-17 | Applied Materials, Inc. | Method for abrasive-free metal CMP in passivation domain |
US6616513B1 (en) | 2000-04-07 | 2003-09-09 | Applied Materials, Inc. | Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile |
DE10196115B4 (de) * | 2000-04-24 | 2011-06-16 | Sumitomo Mitsubishi Silicon Corp. | Verfahren zum Polieren eines Halbleiterwafers |
US6638144B2 (en) | 2000-04-28 | 2003-10-28 | 3M Innovative Properties Company | Method of cleaning glass |
US6413286B1 (en) * | 2000-05-03 | 2002-07-02 | Saint-Gobain Abrasives Technology Company | Production tool process |
US6435952B1 (en) | 2000-06-30 | 2002-08-20 | Lam Research Corporation | Apparatus and method for qualifying a chemical mechanical planarization process |
US6361414B1 (en) | 2000-06-30 | 2002-03-26 | Lam Research Corporation | Apparatus and method for conditioning a fixed abrasive polishing pad in a chemical mechanical planarization process |
US6653242B1 (en) | 2000-06-30 | 2003-11-25 | Applied Materials, Inc. | Solution to metal re-deposition during substrate planarization |
US6495464B1 (en) * | 2000-06-30 | 2002-12-17 | Lam Research Corporation | Method and apparatus for fixed abrasive substrate preparation and use in a cluster CMP tool |
US6500056B1 (en) | 2000-06-30 | 2002-12-31 | Lam Research Corporation | Linear reciprocating disposable belt polishing method and apparatus |
US6646348B1 (en) * | 2000-07-05 | 2003-11-11 | Cabot Microelectronics Corporation | Silane containing polishing composition for CMP |
US20020016139A1 (en) * | 2000-07-25 | 2002-02-07 | Kazuto Hirokawa | Polishing tool and manufacturing method therefor |
JP2002057130A (ja) * | 2000-08-14 | 2002-02-22 | Three M Innovative Properties Co | Cmp用研磨パッド |
US6776699B2 (en) * | 2000-08-14 | 2004-08-17 | 3M Innovative Properties Company | Abrasive pad for CMP |
KR100481651B1 (ko) * | 2000-08-21 | 2005-04-08 | 가부시끼가이샤 도시바 | 화학 기계 연마용 슬러리 및 반도체 장치의 제조 방법 |
US7220322B1 (en) | 2000-08-24 | 2007-05-22 | Applied Materials, Inc. | Cu CMP polishing pad cleaning |
ATE274401T1 (de) * | 2000-09-08 | 2004-09-15 | 3M Innovative Properties Co | Schleifblätter, verfahren zur herstellung derselben und verfahren zum schleifen eines glasfaserverbinders |
EP1770141A3 (de) | 2000-10-06 | 2008-05-07 | 3M Innovative Properties Company | Agglomeriertes Schleifmittelkorn und Verfahren zu seiner Herstellung |
WO2002033019A1 (en) | 2000-10-16 | 2002-04-25 | 3M Innovative Properties Company | Method of making ceramic aggregate particles |
US6569349B1 (en) | 2000-10-23 | 2003-05-27 | Applied Materials Inc. | Additives to CMP slurry to polish dielectric films |
US6524167B1 (en) | 2000-10-27 | 2003-02-25 | Applied Materials, Inc. | Method and composition for the selective removal of residual materials and barrier materials during substrate planarization |
US20020090901A1 (en) * | 2000-11-03 | 2002-07-11 | 3M Innovative Properties Company | Flexible abrasive product and method of making and using the same |
US20050020189A1 (en) * | 2000-11-03 | 2005-01-27 | 3M Innovative Properties Company | Flexible abrasive product and method of making and using the same |
US6413869B1 (en) * | 2000-11-06 | 2002-07-02 | Advanced Micro Devices, Inc. | Dielectric protected chemical-mechanical polishing in integrated circuit interconnects |
US6645624B2 (en) | 2000-11-10 | 2003-11-11 | 3M Innovative Properties Company | Composite abrasive particles and method of manufacture |
US20020068454A1 (en) * | 2000-12-01 | 2002-06-06 | Applied Materials, Inc. | Method and composition for the removal of residual materials during substrate planarization |
US6875091B2 (en) | 2001-01-04 | 2005-04-05 | Lam Research Corporation | Method and apparatus for conditioning a polishing pad with sonic energy |
US6554688B2 (en) | 2001-01-04 | 2003-04-29 | Lam Research Corporation | Method and apparatus for conditioning a polishing pad with sonic energy |
US6612916B2 (en) * | 2001-01-08 | 2003-09-02 | 3M Innovative Properties Company | Article suitable for chemical mechanical planarization processes |
WO2002061810A1 (en) * | 2001-01-16 | 2002-08-08 | Cabot Microelectronics Corporation | Ammonium oxalate-containing polishing system and method |
US6612917B2 (en) | 2001-02-07 | 2003-09-02 | 3M Innovative Properties Company | Abrasive article suitable for modifying a semiconductor wafer |
US6632129B2 (en) | 2001-02-15 | 2003-10-14 | 3M Innovative Properties Company | Fixed abrasive article for use in modifying a semiconductor wafer |
US20030100246A1 (en) * | 2001-02-20 | 2003-05-29 | Kazuto Hirokawa | Polishing apparatus and dressing method |
US6726534B1 (en) | 2001-03-01 | 2004-04-27 | Cabot Microelectronics Corporation | Preequilibrium polishing method and system |
US6796883B1 (en) | 2001-03-15 | 2004-09-28 | Beaver Creek Concepts Inc | Controlled lubricated finishing |
US6752698B1 (en) | 2001-03-19 | 2004-06-22 | Lam Research Corporation | Method and apparatus for conditioning fixed-abrasive polishing pads |
US20030017797A1 (en) * | 2001-03-28 | 2003-01-23 | Kendall Philip E. | Dual cured abrasive articles |
US6540935B2 (en) | 2001-04-05 | 2003-04-01 | Samsung Electronics Co., Ltd. | Chemical/mechanical polishing slurry, and chemical mechanical polishing process and shallow trench isolation process employing the same |
US6568997B2 (en) | 2001-04-05 | 2003-05-27 | Rodel Holdings, Inc. | CMP polishing composition for semiconductor devices containing organic polymer particles |
US6767427B2 (en) * | 2001-06-07 | 2004-07-27 | Lam Research Corporation | Apparatus and method for conditioning polishing pad in a chemical mechanical planarization process |
DE10128924A1 (de) * | 2001-06-15 | 2003-01-23 | Philips Corp Intellectual Pty | Verfahren zum Umsetzen eines im wesentlichen scheibenförmigen Werkstücks sowie Vorrichtung zum Durchführen dieses Verfahrens |
US6485355B1 (en) | 2001-06-22 | 2002-11-26 | International Business Machines Corporation | Method to increase removal rate of oxide using fixed-abrasive |
DE10131668B4 (de) * | 2001-06-29 | 2006-05-18 | Infineon Technologies Ag | Verfahren zur abrasiven Bearbeitung von Oberflächen, auf Halbleiter-Wafern |
US6592742B2 (en) | 2001-07-13 | 2003-07-15 | Applied Materials Inc. | Electrochemically assisted chemical polish |
US6811470B2 (en) | 2001-07-16 | 2004-11-02 | Applied Materials Inc. | Methods and compositions for chemical mechanical polishing shallow trench isolation substrates |
US7121919B2 (en) * | 2001-08-30 | 2006-10-17 | Micron Technology, Inc. | Chemical mechanical polishing system and process |
US20030211747A1 (en) * | 2001-09-13 | 2003-11-13 | Nyacol Nano Technologies, Inc | Shallow trench isolation polishing using mixed abrasive slurries |
US7156717B2 (en) | 2001-09-20 | 2007-01-02 | Molnar Charles J | situ finishing aid control |
US7070480B2 (en) * | 2001-10-11 | 2006-07-04 | Applied Materials, Inc. | Method and apparatus for polishing substrates |
US7077880B2 (en) * | 2004-01-16 | 2006-07-18 | Dupont Air Products Nanomaterials Llc | Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization |
US6645052B2 (en) | 2001-10-26 | 2003-11-11 | Lam Research Corporation | Method and apparatus for controlling CMP pad surface finish |
US7416674B2 (en) * | 2001-11-08 | 2008-08-26 | Axsun Technologies, Inc. | Method for fabricating micro optical elements using CMP |
CN1311009C (zh) * | 2001-11-15 | 2007-04-18 | 三星电子株式会社 | 添加剂组合物、含有该添加剂组合物的淤浆组合物及使用该淤浆组合物抛光物体的方法 |
US6685540B2 (en) | 2001-11-27 | 2004-02-03 | Cabot Microelectronics Corporation | Polishing pad comprising particles with a solid core and polymeric shell |
US6821897B2 (en) * | 2001-12-05 | 2004-11-23 | Cabot Microelectronics Corporation | Method for copper CMP using polymeric complexing agents |
US6884723B2 (en) | 2001-12-21 | 2005-04-26 | Micron Technology, Inc. | Methods for planarization of group VIII metal-containing surfaces using complexing agents |
US20030119316A1 (en) * | 2001-12-21 | 2003-06-26 | Micron Technology, Inc. | Methods for planarization of group VIII metal-containing surfaces using oxidizing agents |
US6730592B2 (en) * | 2001-12-21 | 2004-05-04 | Micron Technology, Inc. | Methods for planarization of metal-containing surfaces using halogens and halide salts |
US7121926B2 (en) | 2001-12-21 | 2006-10-17 | Micron Technology, Inc. | Methods for planarization of group VIII metal-containing surfaces using a fixed abrasive article |
US7049237B2 (en) * | 2001-12-21 | 2006-05-23 | Micron Technology, Inc. | Methods for planarization of Group VIII metal-containing surfaces using oxidizing gases |
US6846232B2 (en) | 2001-12-28 | 2005-01-25 | 3M Innovative Properties Company | Backing and abrasive product made with the backing and method of making and using the backing and abrasive product |
US6702866B2 (en) * | 2002-01-10 | 2004-03-09 | Speedfam-Ipec Corporation | Homogeneous fixed abrasive polishing pad |
US6830503B1 (en) | 2002-01-11 | 2004-12-14 | Cabot Microelectronics Corporation | Catalyst/oxidizer-based CMP system for organic polymer films |
US6527622B1 (en) | 2002-01-22 | 2003-03-04 | Cabot Microelectronics Corporation | CMP method for noble metals |
US7316603B2 (en) * | 2002-01-22 | 2008-01-08 | Cabot Microelectronics Corporation | Compositions and methods for tantalum CMP |
US7097541B2 (en) | 2002-01-22 | 2006-08-29 | Cabot Microelectronics Corporation | CMP method for noble metals |
US6620029B2 (en) | 2002-01-30 | 2003-09-16 | International Business Machines Corporation | Apparatus and method for front side chemical mechanical planarization (CMP) of semiconductor workpieces |
US6841480B2 (en) * | 2002-02-04 | 2005-01-11 | Infineon Technologies Ag | Polyelectrolyte dispensing polishing pad, production thereof and method of polishing a substrate |
US7199056B2 (en) * | 2002-02-08 | 2007-04-03 | Applied Materials, Inc. | Low cost and low dishing slurry for polysilicon CMP |
US20030162398A1 (en) * | 2002-02-11 | 2003-08-28 | Small Robert J. | Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same |
US6776810B1 (en) * | 2002-02-11 | 2004-08-17 | Cabot Microelectronics Corporation | Anionic abrasive particles treated with positively charged polyelectrolytes for CMP |
US7513920B2 (en) * | 2002-02-11 | 2009-04-07 | Dupont Air Products Nanomaterials Llc | Free radical-forming activator attached to solid and used to enhance CMP formulations |
US6943114B2 (en) * | 2002-02-28 | 2005-09-13 | Infineon Technologies Ag | Integration scheme for metal gap fill, with fixed abrasive CMP |
KR100442873B1 (ko) * | 2002-02-28 | 2004-08-02 | 삼성전자주식회사 | 화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적기계적 폴리싱 방법 |
US6852020B2 (en) * | 2003-01-22 | 2005-02-08 | Raytech Innovative Solutions, Inc. | Polishing pad for use in chemical—mechanical planarization of semiconductor wafers and method of making same |
US7037184B2 (en) * | 2003-01-22 | 2006-05-02 | Raytech Innovation Solutions, Llc | Polishing pad for use in chemical-mechanical planarization of semiconductor wafers and method of making same |
US7235296B2 (en) * | 2002-03-05 | 2007-06-26 | 3M Innovative Properties Co. | Formulations for coated diamond abrasive slurries |
US6682575B2 (en) | 2002-03-05 | 2004-01-27 | Cabot Microelectronics Corporation | Methanol-containing silica-based CMP compositions |
JP4034096B2 (ja) * | 2002-03-19 | 2008-01-16 | 日本碍子株式会社 | 半導体支持装置 |
US20030209523A1 (en) * | 2002-05-09 | 2003-11-13 | Applied Materials, Inc. | Planarization by chemical polishing for ULSI applications |
US6841079B2 (en) | 2002-05-31 | 2005-01-11 | 3M Innovative Properties Company | Fluorochemical treatment for silicon articles |
US7670623B2 (en) | 2002-05-31 | 2010-03-02 | Materials Modification, Inc. | Hemostatic composition |
US6604987B1 (en) | 2002-06-06 | 2003-08-12 | Cabot Microelectronics Corporation | CMP compositions containing silver salts |
US6641630B1 (en) | 2002-06-06 | 2003-11-04 | Cabot Microelectronics Corp. | CMP compositions containing iodine and an iodine vapor-trapping agent |
US6936543B2 (en) * | 2002-06-07 | 2005-08-30 | Cabot Microelectronics Corporation | CMP method utilizing amphiphilic nonionic surfactants |
US6974777B2 (en) * | 2002-06-07 | 2005-12-13 | Cabot Microelectronics Corporation | CMP compositions for low-k dielectric materials |
US6638391B1 (en) | 2002-06-19 | 2003-10-28 | United Microelectronics Corp. | Wafer carrier assembly for a chemical mechanical polishing apparatus and a polishing method using the same |
US7091259B2 (en) * | 2002-07-03 | 2006-08-15 | 3M Innovative Properties Company | Dental fillers, pastes, and compositions prepared therefrom |
US6964601B2 (en) * | 2002-07-12 | 2005-11-15 | Raytech Innovative Solutions, Llc | Method for securing a polishing pad to a platen for use in chemical-mechanical polishing of wafers |
US6811474B2 (en) | 2002-07-19 | 2004-11-02 | Cabot Microelectronics Corporation | Polishing composition containing conducting polymer |
US7021993B2 (en) * | 2002-07-19 | 2006-04-04 | Cabot Microelectronics Corporation | Method of polishing a substrate with a polishing system containing conducting polymer |
US7044989B2 (en) * | 2002-07-26 | 2006-05-16 | 3M Innovative Properties Company | Abrasive product, method of making and using the same, and apparatus for making the same |
JP2004074330A (ja) * | 2002-08-13 | 2004-03-11 | Ebara Corp | 固定砥粒研磨工具およびその製造方法 |
US20040127045A1 (en) * | 2002-09-12 | 2004-07-01 | Gorantla Venkata R. K. | Chemical mechanical planarization of wafers or films using fixed polishing pads and a nanoparticle composition |
US7579071B2 (en) * | 2002-09-17 | 2009-08-25 | Korea Polyol Co., Ltd. | Polishing pad containing embedded liquid microelements and method of manufacturing the same |
US7063597B2 (en) | 2002-10-25 | 2006-06-20 | Applied Materials | Polishing processes for shallow trench isolation substrates |
US6803353B2 (en) | 2002-11-12 | 2004-10-12 | Atofina Chemicals, Inc. | Copper chemical mechanical polishing solutions using sulfonated amphiprotic agents |
US7560160B2 (en) * | 2002-11-25 | 2009-07-14 | Materials Modification, Inc. | Multifunctional particulate material, fluid, and composition |
JP3835799B2 (ja) * | 2002-11-26 | 2006-10-18 | 日本ミクロコーティング株式会社 | テクスチャ加工用研磨スラリー及び方法 |
US6911393B2 (en) | 2002-12-02 | 2005-06-28 | Arkema Inc. | Composition and method for copper chemical mechanical planarization |
US6908366B2 (en) * | 2003-01-10 | 2005-06-21 | 3M Innovative Properties Company | Method of using a soft subpad for chemical mechanical polishing |
KR101018942B1 (ko) | 2003-01-10 | 2011-03-02 | 쓰리엠 이노베이티브 프로퍼티즈 컴파니 | 화학 기계적 평탄화 적용을 위한 패드 구조물 |
US7071105B2 (en) | 2003-02-03 | 2006-07-04 | Cabot Microelectronics Corporation | Method of polishing a silicon-containing dielectric |
JP2004273547A (ja) * | 2003-03-05 | 2004-09-30 | Kao Corp | 研磨速度選択比向上剤 |
US7007972B1 (en) | 2003-03-10 | 2006-03-07 | Materials Modification, Inc. | Method and airbag inflation apparatus employing magnetic fluid |
US6951504B2 (en) * | 2003-03-20 | 2005-10-04 | 3M Innovative Properties Company | Abrasive article with agglomerates and method of use |
US6982501B1 (en) | 2003-05-19 | 2006-01-03 | Materials Modification, Inc. | Magnetic fluid power generator device and method for generating power |
US7200956B1 (en) | 2003-07-23 | 2007-04-10 | Materials Modification, Inc. | Magnetic fluid cushioning device for a footwear or shoe |
US20050025973A1 (en) * | 2003-07-25 | 2005-02-03 | Slutz David E. | CVD diamond-coated composite substrate containing a carbide-forming material and ceramic phases and method for making same |
US7160178B2 (en) * | 2003-08-07 | 2007-01-09 | 3M Innovative Properties Company | In situ activation of a three-dimensional fixed abrasive article |
TWI223370B (en) * | 2003-08-28 | 2004-11-01 | Powerchip Semiconductor Corp | Method of piping defect detection |
US6869336B1 (en) * | 2003-09-18 | 2005-03-22 | Novellus Systems, Inc. | Methods and compositions for chemical mechanical planarization of ruthenium |
US7084814B2 (en) * | 2003-09-23 | 2006-08-01 | Uniwill Computer Corp. | Planar inverted F antenna |
US7087529B2 (en) | 2003-10-02 | 2006-08-08 | Amcol International Corporation | Chemical-mechanical polishing (CMP) slurry and method of planarizing surfaces |
US7448389B1 (en) | 2003-10-10 | 2008-11-11 | Materials Modification, Inc. | Method and kit for inducing hypoxia in tumors through the use of a magnetic fluid |
US7427361B2 (en) * | 2003-10-10 | 2008-09-23 | Dupont Air Products Nanomaterials Llc | Particulate or particle-bound chelating agents |
US7344988B2 (en) * | 2003-10-27 | 2008-03-18 | Dupont Air Products Nanomaterials Llc | Alumina abrasive for chemical mechanical polishing |
US20050159085A1 (en) * | 2003-10-30 | 2005-07-21 | Scott Brandon S. | Method of chemically mechanically polishing substrates |
US7419911B2 (en) * | 2003-11-10 | 2008-09-02 | Ekc Technology, Inc. | Compositions and methods for rapidly removing overfilled substrates |
JP2005150235A (ja) | 2003-11-12 | 2005-06-09 | Three M Innovative Properties Co | 半導体表面保護シート及び方法 |
US7112123B2 (en) * | 2004-06-14 | 2006-09-26 | Amcol International Corporation | Chemical-mechanical polishing (CMP) slurry containing clay and CeO2 abrasive particles and method of planarizing surfaces |
US7223156B2 (en) * | 2003-11-14 | 2007-05-29 | Amcol International Corporation | Method chemical-mechanical polishing and planarizing corundum, GaAs, GaP and GaAs/GaP alloy surfaces |
JP2007514553A (ja) * | 2003-11-26 | 2007-06-07 | スリーエム イノベイティブ プロパティズ カンパニー | 工作物の研磨方法 |
US7288021B2 (en) * | 2004-01-07 | 2007-10-30 | Cabot Microelectronics Corporation | Chemical-mechanical polishing of metals in an oxidized form |
WO2005073439A1 (ja) * | 2004-02-02 | 2005-08-11 | Shin-Etsu Handotai Co., Ltd. | シリコン単結晶及びシリコンウェーハ及びそれらの製造装置並びに製造方法 |
US20050176251A1 (en) * | 2004-02-05 | 2005-08-11 | Duong Chau H. | Polishing pad with releasable slick particles |
US6951509B1 (en) * | 2004-03-09 | 2005-10-04 | 3M Innovative Properties Company | Undulated pad conditioner and method of using same |
US20050206007A1 (en) * | 2004-03-18 | 2005-09-22 | Lei Li | Structure and method for contact pads having a recessed bondable metal plug over of copper-metallized integrated circuits |
US7135122B2 (en) * | 2004-03-31 | 2006-11-14 | Freudenberg-Nok General Partnership | Polytetrafluoroethylene composites |
JP2005288645A (ja) * | 2004-04-01 | 2005-10-20 | Hitachi Maxell Ltd | 固定砥粒研削研磨用工具 |
US20050227590A1 (en) * | 2004-04-09 | 2005-10-13 | Chien-Min Sung | Fixed abrasive tools and associated methods |
US20050252547A1 (en) * | 2004-05-11 | 2005-11-17 | Applied Materials, Inc. | Methods and apparatus for liquid chemical delivery |
US7968273B2 (en) | 2004-06-08 | 2011-06-28 | Nanosys, Inc. | Methods and devices for forming nanostructure monolayers and devices including such monolayers |
US7776758B2 (en) | 2004-06-08 | 2010-08-17 | Nanosys, Inc. | Methods and devices for forming nanostructure monolayers and devices including such monolayers |
US7198549B2 (en) * | 2004-06-16 | 2007-04-03 | Cabot Microelectronics Corporation | Continuous contour polishing of a multi-material surface |
US7161247B2 (en) | 2004-07-28 | 2007-01-09 | Cabot Microelectronics Corporation | Polishing composition for noble metals |
US7514016B2 (en) * | 2004-07-30 | 2009-04-07 | Hitachi Global Storage Technologies Netherlands, Bv | Methodology of chemical mechanical nanogrinding for ultra precision finishing of workpieces |
US20070060026A1 (en) | 2005-09-09 | 2007-03-15 | Chien-Min Sung | Methods of bonding superabrasive particles in an organic matrix |
US7210988B2 (en) * | 2004-08-24 | 2007-05-01 | Applied Materials, Inc. | Method and apparatus for reduced wear polishing pad conditioning |
US20060068088A1 (en) * | 2004-09-28 | 2006-03-30 | Hae-Do Jeong | Chemical mechanical polishing pad with micro-mold and production method thereof |
US7563383B2 (en) * | 2004-10-12 | 2009-07-21 | Cabot Mircroelectronics Corporation | CMP composition with a polymer additive for polishing noble metals |
US20060088976A1 (en) * | 2004-10-22 | 2006-04-27 | Applied Materials, Inc. | Methods and compositions for chemical mechanical polishing substrates |
US7404756B2 (en) * | 2004-10-29 | 2008-07-29 | 3M Innovative Properties Company | Process for manufacturing optical and semiconductor elements |
US20060094322A1 (en) * | 2004-10-29 | 2006-05-04 | Ouderkirk Andrew J | Process for manufacturing a light emitting array |
US7531105B2 (en) * | 2004-11-05 | 2009-05-12 | Cabot Microelectronics Corporation | Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios |
US7504044B2 (en) | 2004-11-05 | 2009-03-17 | Cabot Microelectronics Corporation | Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios |
US20060096179A1 (en) * | 2004-11-05 | 2006-05-11 | Cabot Microelectronics Corporation | CMP composition containing surface-modified abrasive particles |
US7452748B1 (en) | 2004-11-08 | 2008-11-18 | Alien Technology Corporation | Strap assembly comprising functional block deposited therein and method of making same |
TWI323741B (en) * | 2004-12-16 | 2010-04-21 | K C Tech Co Ltd | Abrasive particles, polishing slurry, and producing method thereof |
US6997785B1 (en) * | 2004-12-23 | 2006-02-14 | 3M Innovative Properties Company | Wafer planarization composition and method of use |
JP4745789B2 (ja) * | 2004-12-27 | 2011-08-10 | 三ツ星ベルト株式会社 | Vリブドベルト及びvリブドベルトの製造方法 |
US7591865B2 (en) * | 2005-01-28 | 2009-09-22 | Saint-Gobain Abrasives, Inc. | Method of forming structured abrasive article |
US7449124B2 (en) * | 2005-02-25 | 2008-11-11 | 3M Innovative Properties Company | Method of polishing a wafer |
US7538035B2 (en) * | 2005-03-18 | 2009-05-26 | Hitachi Global Storage Technologies Netherlands B.V. | Lapping of gold pads in a liquid medium for work hardening the surface of the pads |
KR100641348B1 (ko) * | 2005-06-03 | 2006-11-03 | 주식회사 케이씨텍 | Cmp용 슬러리와 이의 제조 방법 및 기판의 연마 방법 |
US7169031B1 (en) | 2005-07-28 | 2007-01-30 | 3M Innovative Properties Company | Self-contained conditioning abrasive article |
US7494519B2 (en) * | 2005-07-28 | 2009-02-24 | 3M Innovative Properties Company | Abrasive agglomerate polishing method |
US20070049184A1 (en) * | 2005-08-24 | 2007-03-01 | International Business Machines Corporation | Retaining ring structure for enhanced removal rate during fixed abrasive chemical mechanical polishing |
US7438626B2 (en) * | 2005-08-31 | 2008-10-21 | Micron Technology, Inc. | Apparatus and method for removing material from microfeature workpieces |
US7618306B2 (en) * | 2005-09-22 | 2009-11-17 | 3M Innovative Properties Company | Conformable abrasive articles and methods of making and using the same |
US20070066186A1 (en) * | 2005-09-22 | 2007-03-22 | 3M Innovative Properties Company | Flexible abrasive article and methods of making and using the same |
US7803203B2 (en) | 2005-09-26 | 2010-09-28 | Cabot Microelectronics Corporation | Compositions and methods for CMP of semiconductor materials |
US7594845B2 (en) * | 2005-10-20 | 2009-09-29 | 3M Innovative Properties Company | Abrasive article and method of modifying the surface of a workpiece |
US7435162B2 (en) * | 2005-10-24 | 2008-10-14 | 3M Innovative Properties Company | Polishing fluids and methods for CMP |
TW200720493A (en) * | 2005-10-31 | 2007-06-01 | Applied Materials Inc | Electrochemical method for ecmp polishing pad conditioning |
US20090202714A1 (en) * | 2005-11-21 | 2009-08-13 | Mandzy Natalia S | Methods of Making and using Metal Oxide Nanoparticles |
US20070116423A1 (en) * | 2005-11-22 | 2007-05-24 | 3M Innovative Properties Company | Arrays of optical elements and method of manufacturing same |
US20070128991A1 (en) * | 2005-12-07 | 2007-06-07 | Yoon Il-Young | Fixed abrasive polishing pad, method of preparing the same, and chemical mechanical polishing apparatus including the same |
US7226345B1 (en) | 2005-12-09 | 2007-06-05 | The Regents Of The University Of California | CMP pad with designed surface features |
US20090042166A1 (en) * | 2005-12-29 | 2009-02-12 | Craig Bradley D | Abrasive tool including agglomerate particles and an elastomer, and related methods |
US20070158207A1 (en) * | 2006-01-06 | 2007-07-12 | Applied Materials, Inc. | Methods for electrochemical processing with pre-biased cells |
US7897061B2 (en) | 2006-02-01 | 2011-03-01 | Cabot Microelectronics Corporation | Compositions and methods for CMP of phase change alloys |
WO2007117129A1 (en) * | 2006-03-03 | 2007-10-18 | Ferronato Sandro Giovanni Gius | System for indicating the grade of an abrasive |
DE102006011113A1 (de) * | 2006-03-08 | 2007-09-13 | Tesa Ag | Thermisch vernetzte Acrylat-Hotmelts mit organischen Füllstoffen |
US20070227902A1 (en) * | 2006-03-29 | 2007-10-04 | Applied Materials, Inc. | Removal profile tuning by adjusting conditioning sweep profile on a conductive pad |
CN101966697B (zh) | 2006-04-19 | 2015-04-22 | 东洋橡胶工业株式会社 | 抛光垫的制造方法 |
US7368066B2 (en) * | 2006-05-31 | 2008-05-06 | Cabot Microelectronics Corporation | Gold CMP composition and method |
US8759216B2 (en) | 2006-06-07 | 2014-06-24 | Cabot Microelectronics Corporation | Compositions and methods for polishing silicon nitride materials |
US20070298240A1 (en) * | 2006-06-22 | 2007-12-27 | Gobena Feben T | Compressible abrasive article |
KR100755598B1 (ko) * | 2006-06-30 | 2007-09-06 | 삼성전기주식회사 | 질화물 반도체 발광소자 어레이 |
FI121654B (sv) | 2006-07-10 | 2011-02-28 | Kwh Mirka Ab Oy | Förfarande för tillverkning av en flexibel sliprondell och en flexibel sliprondell |
DE102006062872B4 (de) * | 2006-07-13 | 2012-06-14 | Peter Wolters Gmbh | Verfahren zum gleichzeitigen beidseitigen Schleifen mehrerer Halbleiterscheiben |
DE102006062871B4 (de) * | 2006-07-13 | 2012-06-21 | Peter Wolters Gmbh | Verfahren zum gleichzeitigen beidseitigen Schleifen mehrerer Halbleiterscheiben |
AR063675A1 (es) * | 2006-07-14 | 2009-02-11 | Saint Gobain Abrasives Inc | Articulo abrasivo sin respaldo y metodo para reparar medios opticos |
US20080014532A1 (en) * | 2006-07-14 | 2008-01-17 | 3M Innovative Properties Company | Laminate body, and method for manufacturing thin substrate using the laminate body |
US8174830B2 (en) * | 2008-05-06 | 2012-05-08 | Rockwell Collins, Inc. | System and method for a substrate with internal pumped liquid metal for thermal spreading and cooling |
US8166645B2 (en) | 2006-08-23 | 2012-05-01 | Rockwell Collins, Inc. | Method for providing near-hermetically coated, thermally protected integrated circuit assemblies |
US8617913B2 (en) | 2006-08-23 | 2013-12-31 | Rockwell Collins, Inc. | Alkali silicate glass based coating and method for applying |
US7915527B1 (en) | 2006-08-23 | 2011-03-29 | Rockwell Collins, Inc. | Hermetic seal and hermetic connector reinforcement and repair with low temperature glass coatings |
US8084855B2 (en) | 2006-08-23 | 2011-12-27 | Rockwell Collins, Inc. | Integrated circuit tampering protection and reverse engineering prevention coatings and methods |
US8581108B1 (en) | 2006-08-23 | 2013-11-12 | Rockwell Collins, Inc. | Method for providing near-hermetically coated integrated circuit assemblies |
US8637980B1 (en) | 2007-12-18 | 2014-01-28 | Rockwell Collins, Inc. | Adhesive applications using alkali silicate glass for electronics |
US8076185B1 (en) | 2006-08-23 | 2011-12-13 | Rockwell Collins, Inc. | Integrated circuit protection and ruggedization coatings and methods |
US8591764B2 (en) * | 2006-12-20 | 2013-11-26 | 3M Innovative Properties Company | Chemical mechanical planarization composition, system, and method of use |
US7497885B2 (en) | 2006-12-22 | 2009-03-03 | 3M Innovative Properties Company | Abrasive articles with nanoparticulate fillers and method for making and using them |
US8083820B2 (en) * | 2006-12-22 | 2011-12-27 | 3M Innovative Properties Company | Structured fixed abrasive articles including surface treated nano-ceria filler, and method for making and using the same |
WO2008085813A2 (en) * | 2007-01-03 | 2008-07-17 | Nanosys, Inc, Et Al. | Methods for nanopatterning and production of nanostructures |
US20090136785A1 (en) * | 2007-01-03 | 2009-05-28 | Nanosys, Inc. | Methods for nanopatterning and production of magnetic nanostructures |
US20080233845A1 (en) | 2007-03-21 | 2008-09-25 | 3M Innovative Properties Company | Abrasive articles, rotationally reciprocating tools, and methods |
US8323072B1 (en) | 2007-03-21 | 2012-12-04 | 3M Innovative Properties Company | Method of polishing transparent armor |
JP2010522093A (ja) * | 2007-03-21 | 2010-07-01 | スリーエム イノベイティブ プロパティズ カンパニー | 表面の欠陥を除去する方法 |
WO2009003343A1 (en) * | 2007-07-05 | 2009-01-08 | Acm Research (Shanghai) Inc. | Methods and apparatus for cleaning semiconductor wafers |
FI20075533L (fi) * | 2007-07-10 | 2009-01-11 | Kwh Mirka Ab Oy | Hiomatuote ja menetelmä tämän valmistamiseksi |
US20090017323A1 (en) * | 2007-07-13 | 2009-01-15 | 3M Innovative Properties Company | Layered body and method for manufacturing thin substrate using the layered body |
US20090017248A1 (en) * | 2007-07-13 | 2009-01-15 | 3M Innovative Properties Company | Layered body and method for manufacturing thin substrate using the layered body |
CN104708539A (zh) * | 2007-09-28 | 2015-06-17 | 宋健民 | 具有镶嵌研磨块的cmp衬垫修整器和相关方法 |
WO2009058463A1 (en) * | 2007-10-31 | 2009-05-07 | 3M Innovative Properties Company | Composition, method and process for polishing a wafer |
ITMC20070237A1 (it) * | 2007-12-12 | 2009-06-13 | Ghines Srl | Utensile abrasivo perfezionato. |
US8363189B2 (en) * | 2007-12-18 | 2013-01-29 | Rockwell Collins, Inc. | Alkali silicate glass for displays |
US8080073B2 (en) | 2007-12-20 | 2011-12-20 | 3M Innovative Properties Company | Abrasive article having a plurality of precisely-shaped abrasive composites |
EP2240298A4 (de) * | 2007-12-31 | 2014-04-30 | 3M Innovative Properties Co | Plasmabehandelte sschleifartikel und verfahren zu ihrer herstellung |
US8650886B2 (en) | 2008-09-12 | 2014-02-18 | Rockwell Collins, Inc. | Thermal spreader assembly with flexible liquid cooling loop having rigid tubing sections and flexible tubing sections |
US8616266B2 (en) | 2008-09-12 | 2013-12-31 | Rockwell Collins, Inc. | Mechanically compliant thermal spreader with an embedded cooling loop for containing and circulating electrically-conductive liquid |
US8205337B2 (en) * | 2008-09-12 | 2012-06-26 | Rockwell Collins, Inc. | Fabrication process for a flexible, thin thermal spreader |
US8017872B2 (en) * | 2008-05-06 | 2011-09-13 | Rockwell Collins, Inc. | System and method for proportional cooling with liquid metal |
US8221089B2 (en) * | 2008-09-12 | 2012-07-17 | Rockwell Collins, Inc. | Thin, solid-state mechanism for pumping electrically conductive liquids in a flexible thermal spreader |
JP2009302136A (ja) * | 2008-06-10 | 2009-12-24 | Panasonic Corp | 半導体集積回路 |
US20090307986A1 (en) * | 2008-06-12 | 2009-12-17 | Hung-Hui Huang | Polishing composition and making method thereof for polishing a substrate |
CN101827686B (zh) * | 2008-07-03 | 2013-07-17 | 旭硝子株式会社 | 研磨玻璃衬底的方法、制造玻璃衬底的方法和制造磁盘用玻璃衬底的方法 |
JP5351967B2 (ja) | 2008-08-28 | 2013-11-27 | スリーエム イノベイティブ プロパティズ カンパニー | 構造化研磨物品、その製造方法、及びウエハの平坦化における使用 |
JP2010062269A (ja) * | 2008-09-02 | 2010-03-18 | Three M Innovative Properties Co | ウェーハ積層体の製造方法、ウェーハ積層体製造装置、ウェーハ積層体、支持層剥離方法、及びウェーハの製造方法 |
US8119040B2 (en) | 2008-09-29 | 2012-02-21 | Rockwell Collins, Inc. | Glass thick film embedded passive material |
DE102009038942B4 (de) | 2008-10-22 | 2022-06-23 | Peter Wolters Gmbh | Vorrichtung zur beidseitigen Bearbeitung von flachen Werkstücken sowie Verfahren zur gleichzeitigen beidseitigen Material abtragenden Bearbeitung mehrerer Halbleiterscheiben |
DE102008059044B4 (de) * | 2008-11-26 | 2013-08-22 | Siltronic Ag | Verfahren zum Polieren einer Halbleiterscheibe mit einer verspannt-relaxierten Si1-xGex-Schicht |
US8754021B2 (en) * | 2009-02-27 | 2014-06-17 | Advanced Technology Materials, Inc. | Non-amine post-CMP composition and method of use |
US9221148B2 (en) | 2009-04-30 | 2015-12-29 | Rdc Holdings, Llc | Method and apparatus for processing sliders for disk drives, and to various processing media for the same |
US20110104989A1 (en) * | 2009-04-30 | 2011-05-05 | First Principles LLC | Dressing bar for embedding abrasive particles into substrates |
US8801497B2 (en) * | 2009-04-30 | 2014-08-12 | Rdc Holdings, Llc | Array of abrasive members with resilient support |
TWI417169B (zh) * | 2009-06-11 | 2013-12-01 | Wei En Chen | Cutting tools with the top of the complex cutting |
USD610430S1 (en) | 2009-06-18 | 2010-02-23 | 3M Innovative Properties Company | Stem for a power tool attachment |
US8173552B2 (en) * | 2009-08-04 | 2012-05-08 | Intel Corporation | Method of fabricating an identification mark utilizing a liquid film assisted by a laser |
JP2011071303A (ja) * | 2009-09-25 | 2011-04-07 | Toshiba Corp | 半導体装置の製造方法 |
DE102009057593A1 (de) * | 2009-12-09 | 2011-06-16 | Siltronic Ag | Verfahren zur Herstellung einer Halbleiterscheibe |
KR20120104344A (ko) * | 2009-12-29 | 2012-09-20 | 생-고벵 아브라시프 | 가정용 표면의 세정 방법 |
US20130059506A1 (en) * | 2010-05-11 | 2013-03-07 | 3M Innovative Properties Company | Fixed abrasive pad with surfactant for chemical mechanical planarization |
DE102010032501B4 (de) | 2010-07-28 | 2019-03-28 | Siltronic Ag | Verfahren und Vorrichtung zum Abrichten der Arbeitsschichten einer Doppelseiten-Schleifvorrichtung |
DE102010042040A1 (de) | 2010-10-06 | 2012-04-12 | Siltronic Ag | Verfahren zum Schleifen einer Halbleiterscheibe |
DE102010050900A1 (de) * | 2010-11-10 | 2012-05-10 | Esk Ceramics Gmbh & Co. Kg | Bornitrid-Agglomerate, Verfahren zu deren Herstellung und deren Verwendung |
CN102114615B (zh) * | 2010-12-21 | 2012-08-08 | 珠海市吉昌稀土有限公司 | 稀土抛光盘 |
DE102011003006B4 (de) | 2011-01-21 | 2013-02-07 | Siltronic Ag | Verfahren zur Bereitstellung jeweils einer ebenen Arbeitsschicht auf jeder der zwei Arbeitsscheiben einer Doppelseiten-Bearbeitungsvorrichtung |
JP5896992B2 (ja) * | 2011-04-25 | 2016-03-30 | バンドー化学株式会社 | 研磨フィルム |
US20120302148A1 (en) * | 2011-05-23 | 2012-11-29 | Rajeev Bajaj | Polishing pad with homogeneous body having discrete protrusions thereon |
EP2720830B1 (de) * | 2011-06-14 | 2017-07-26 | 3M Innovative Properties Company | Eigenständiger faseriger poliergegenstand |
DE102011080323A1 (de) | 2011-08-03 | 2013-02-07 | Siltronic Ag | Verfahren zum Einebnen einer Halbleiterscheibe mit verbesserter Kantenschonung |
DE102011082857B4 (de) | 2011-09-16 | 2020-02-20 | Siltronic Ag | Verfahren zur gleichzeitigen beidseitigen Material abtragenden Bearbeitung wenigstens dreier Werkstücke |
JP5712906B2 (ja) * | 2011-11-15 | 2015-05-07 | 信越化学工業株式会社 | 基板の製造方法 |
US9067298B2 (en) | 2011-11-29 | 2015-06-30 | Nexplanar Corporation | Polishing pad with grooved foundation layer and polishing surface layer |
US9067297B2 (en) | 2011-11-29 | 2015-06-30 | Nexplanar Corporation | Polishing pad with foundation layer and polishing surface layer |
DE102011089570A1 (de) | 2011-12-22 | 2013-06-27 | Siltronic Ag | Führungskäfig zum beidseitigen Schleifen von mindestens einem scheibenförmigen Werkstück zwischen zwei rotierenden Arbeitsscheiben einer Schleifvorrichtung, Verfahren zur Herstellung des Führungskäfigs und Verfahren zum gleichzeitigen beidseitigen Schleifen von scheibenförmigen Werkstücken unter Verwendung des Führungskäfigs |
EP2797715A4 (de) | 2011-12-30 | 2016-04-20 | Saint Gobain Ceramics | Geformte schleifpartikel und verfahren zu ihrer herstellung |
JP5966019B2 (ja) | 2012-01-10 | 2016-08-10 | サン−ゴバン セラミックス アンド プラスティクス,インコーポレイティド | 複雑形状を有する研磨粒子およびその形成方法 |
TWI573864B (zh) | 2012-03-14 | 2017-03-11 | 卡博特微電子公司 | 具有高移除率及低缺陷率之對氧化物及氮化物有選擇性之cmp組成物 |
US8916061B2 (en) | 2012-03-14 | 2014-12-23 | Cabot Microelectronics Corporation | CMP compositions selective for oxide and nitride with high removal rate and low defectivity |
DE102012206708A1 (de) | 2012-04-24 | 2013-10-24 | Siltronic Ag | Verfahren zum Polieren einer Halbleiterscheibe |
KR101417274B1 (ko) * | 2012-05-23 | 2014-07-09 | 삼성전자주식회사 | 연마패드 및 그 제조방법 |
CN110013795A (zh) | 2012-05-23 | 2019-07-16 | 圣戈本陶瓷及塑料股份有限公司 | 成形磨粒及其形成方法 |
US9597769B2 (en) | 2012-06-04 | 2017-03-21 | Nexplanar Corporation | Polishing pad with polishing surface layer having an aperture or opening above a transparent foundation layer |
EP2866974B1 (de) * | 2012-06-27 | 2017-07-26 | 3M Innovative Properties Company | Schleifartikel |
US9393673B2 (en) | 2012-07-06 | 2016-07-19 | 3M Innovative Properties Company | Coated abrasive article |
US9633863B2 (en) | 2012-07-11 | 2017-04-25 | Cabot Microelectronics Corporation | Compositions and methods for selective polishing of silicon nitride materials |
US9435915B1 (en) | 2012-09-28 | 2016-09-06 | Rockwell Collins, Inc. | Antiglare treatment for glass |
US9440332B2 (en) | 2012-10-15 | 2016-09-13 | Saint-Gobain Abrasives, Inc. | Abrasive particles having particular shapes and methods of forming such particles |
JP5373171B1 (ja) * | 2012-10-20 | 2013-12-18 | 株式会社ナノテム | 砥石およびそれを用いた研削・研磨装置 |
DE102013201663B4 (de) | 2012-12-04 | 2020-04-23 | Siltronic Ag | Verfahren zum Polieren einer Halbleiterscheibe |
JP6016301B2 (ja) | 2013-02-13 | 2016-10-26 | 昭和電工株式会社 | 単結晶SiC基板の表面加工方法、その製造方法及び単結晶SiC基板の表面加工用研削プレート |
MX2015012492A (es) | 2013-03-12 | 2016-04-21 | 3M Innovative Properties Co | Articulo abrasivo aglomerado. |
DE102013205448A1 (de) | 2013-03-27 | 2014-10-16 | Siltronic Ag | Verfahren zum Polieren eines Substrates aus Halbleitermaterial |
CA2907372C (en) | 2013-03-29 | 2017-12-12 | Saint-Gobain Abrasives, Inc. | Abrasive particles having particular shapes and methods of forming such particles |
US9725621B2 (en) | 2013-05-03 | 2017-08-08 | Cabot Corporation | Chemical mechanical planarization slurry composition comprising composite particles, process for removing material using said composition, CMP polishing pad and process for preparing said composition |
WO2015048011A1 (en) | 2013-09-25 | 2015-04-02 | 3M Innovative Properties Company | Multi-layered polishing pads |
CN105517758B (zh) * | 2013-09-25 | 2020-03-31 | 3M创新有限公司 | 复合陶瓷研磨抛光液 |
WO2015050781A1 (en) * | 2013-10-04 | 2015-04-09 | 3M Innovative Properties Company | Bonded abrasive articles and methods |
WO2015057562A1 (en) * | 2013-10-18 | 2015-04-23 | 3M Innovative Properties Company | Coated abrasive article and method of making the same |
JP6561058B2 (ja) | 2013-12-09 | 2019-08-14 | スリーエム イノベイティブ プロパティズ カンパニー | 集塊性研磨粒子、その粒子を含む研磨物品、及びその製造方法 |
US9982166B2 (en) | 2013-12-20 | 2018-05-29 | Cabot Corporation | Metal oxide-polymer composite particles for chemical mechanical planarization |
BR112016015029B1 (pt) | 2013-12-31 | 2021-12-14 | Saint-Gobain Abrasifs | Artigo abrasivo incluindo partículas abrasivas moldadas |
US9771507B2 (en) | 2014-01-31 | 2017-09-26 | Saint-Gobain Ceramics & Plastics, Inc. | Shaped abrasive particle including dopant material and method of forming same |
WO2015153601A1 (en) | 2014-04-03 | 2015-10-08 | 3M Innovative Properties Company | Polishing pads and systems and methods of making and using the same |
JP6358740B2 (ja) * | 2014-04-08 | 2018-07-18 | 山口精研工業株式会社 | 研磨用組成物 |
JP6358739B2 (ja) * | 2014-04-08 | 2018-07-18 | 山口精研工業株式会社 | 研磨用組成物 |
MX2016013465A (es) | 2014-04-14 | 2017-02-15 | Saint-Gobain Ceram & Plastics Inc | Articulo abrasivo que incluye particulas abrasivas conformadas. |
CN106376234B (zh) | 2014-05-02 | 2019-11-05 | 3M创新有限公司 | 间断的结构化磨料制品以及抛光工件的方法 |
JP6611414B2 (ja) * | 2014-05-27 | 2019-11-27 | スリーエム イノベイティブ プロパティズ カンパニー | 塗装表面の仕上げ方法及び研磨材料 |
US9149904B1 (en) | 2014-06-13 | 2015-10-06 | Seagate Technology Llc | Platen for wafer polishing having diamond-ceramic composites |
CN104308760B (zh) * | 2014-10-13 | 2017-02-01 | 天津市职业大学 | 一种采用纳米聚集结构磨料的固定磨料抛光布 |
DE102014220888B4 (de) | 2014-10-15 | 2019-02-14 | Siltronic Ag | Vorrichtung und Verfahren zum doppelseitigen Polieren von scheibenförmigen Werkstücken |
US9914864B2 (en) | 2014-12-23 | 2018-03-13 | Saint-Gobain Ceramics & Plastics, Inc. | Shaped abrasive particles and method of forming same |
TWI634200B (zh) | 2015-03-31 | 2018-09-01 | 聖高拜磨料有限公司 | 固定磨料物品及其形成方法 |
CN107636109A (zh) | 2015-03-31 | 2018-01-26 | 圣戈班磨料磨具有限公司 | 固定磨料制品和其形成方法 |
TWI603813B (zh) * | 2015-04-20 | 2017-11-01 | 中國砂輪企業股份有限公司 | 研磨工具及其製造方法 |
TWI609742B (zh) * | 2015-04-20 | 2018-01-01 | 中國砂輪企業股份有限公司 | 研磨工具 |
EP3307483B1 (de) | 2015-06-11 | 2020-06-17 | Saint-Gobain Ceramics&Plastics, Inc. | Schleifartikel mit geformten schleifpartikeln |
JP6964588B2 (ja) * | 2015-09-08 | 2021-11-10 | スリーエム イノベイティブ プロパティズ カンパニー | 砥粒凝集体を伴う砥粒回転ツール |
KR102596800B1 (ko) | 2015-09-08 | 2023-10-31 | 쓰리엠 이노베이티브 프로퍼티즈 컴파니 | 가요성 연마 회전 공구 |
TWI769988B (zh) | 2015-10-07 | 2022-07-11 | 美商3M新設資產公司 | 拋光墊與系統及其製造與使用方法 |
US11431100B2 (en) * | 2016-03-25 | 2022-08-30 | Commscope Technologies Llc | Antennas having lenses formed of lightweight dielectric materials and related dielectric materials |
CN113140915A (zh) | 2016-03-25 | 2021-07-20 | 康普技术有限责任公司 | 具有由轻质介电材料形成的透镜和相关介电材料的天线 |
CN109415615A (zh) | 2016-05-10 | 2019-03-01 | 圣戈本陶瓷及塑料股份有限公司 | 磨料颗粒及其形成方法 |
WO2017197002A1 (en) | 2016-05-10 | 2017-11-16 | Saint-Gobain Ceramics & Plastics, Inc. | Abrasive particles and methods of forming same |
US11235436B2 (en) | 2016-07-08 | 2022-02-01 | Saint-Gobain Abrasives, Inc. | Abrasive articles and methods of forming the same |
EP4349896A3 (de) | 2016-09-29 | 2024-06-12 | Saint-Gobain Abrasives, Inc. | Feste schleifartikel und verfahren zur formung davon |
US10563105B2 (en) | 2017-01-31 | 2020-02-18 | Saint-Gobain Ceramics & Plastics, Inc. | Abrasive article including shaped abrasive particles |
KR102370056B1 (ko) | 2017-02-24 | 2022-03-03 | 일루미나, 인코포레이티드 | 탄산칼슘 슬러리 |
EP3642293A4 (de) | 2017-06-21 | 2021-03-17 | Saint-Gobain Ceramics&Plastics, Inc. | Teilchenförmige materialien und verfahren zur herstellung davon |
US12048980B2 (en) * | 2017-08-25 | 2024-07-30 | 3M Innovative Properties Company | Surface projection polishing pad |
US11527835B2 (en) | 2017-09-15 | 2022-12-13 | Commscope Technologies Llc | Methods of preparing a composite dielectric material |
CN107718729A (zh) * | 2017-11-10 | 2018-02-23 | 江苏瑞和磨料磨具有限公司 | 一种柔软耐水强力磨砂布 |
CN111433310A (zh) * | 2017-11-30 | 2020-07-17 | 圣戈班磨料磨具公司 | 磨料制品及其形成方法 |
US20210122959A1 (en) * | 2018-05-10 | 2021-04-29 | 3M Innovative Properties Company | Abrasive articles including soft shaped abrasive particles |
CN109321204A (zh) * | 2018-10-24 | 2019-02-12 | 河南省力量钻石股份有限公司 | 一种多颗粒附聚型磨粒体、制备方法及其应用 |
CN109434675B (zh) * | 2018-12-20 | 2024-07-23 | 东莞金太阳研磨股份有限公司 | 一种精抛棉及其制备方法 |
TR201903909A2 (tr) * | 2019-03-15 | 2020-10-21 | Cukurova Kimya Enduestrisi A S | Aşındırıcı elemanlar için bir reçine ve bunun için bir üretim yöntemi. |
CN114867582B (zh) | 2019-12-27 | 2024-10-18 | 圣戈本陶瓷及塑料股份有限公司 | 磨料制品及其形成方法 |
DE102020104238A1 (de) | 2020-02-18 | 2021-08-19 | Berliner Glas GmbH | Verfahren und Poliervorrichtung zur Bearbeitung eines plattenförmigen Bauteils, und plattenförmiges Bauteil, insbesondere elektrostatische Haltevorrichtung oder Immersions-Wafertafel |
US11806829B2 (en) * | 2020-06-19 | 2023-11-07 | Applied Materials, Inc. | Advanced polishing pads and related polishing pad manufacturing methods |
CN111879585B (zh) * | 2020-07-27 | 2023-08-01 | 北京市永康药业有限公司 | 一种检测用药品自动研磨装置 |
US11434312B2 (en) | 2020-12-15 | 2022-09-06 | Canon Kabushiki Kaisha | Photocurable composition for forming cured layers with high thermal stability |
CN113929466B (zh) * | 2021-12-16 | 2022-02-25 | 山东金鸿新材料股份有限公司 | 一种铝-碳化硼复合材料的制备方法 |
CN114406911A (zh) * | 2021-12-31 | 2022-04-29 | 苏州赛尔科技有限公司 | 一种小尺寸mis封装材料切割用树脂超薄切割刀及制备方法 |
CN114605922B (zh) * | 2022-03-18 | 2023-05-09 | 北京通美晶体技术股份有限公司 | 一种快速抛光的化学抛光液及其制备方法 |
CN115026729B (zh) * | 2022-06-28 | 2023-06-20 | 东风柳州汽车有限公司 | 一种用于规圆精修珩磨头的加工方法 |
Family Cites Families (113)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US1910444A (en) * | 1931-02-13 | 1933-05-23 | Carborundum Co | Process of making abrasive materials |
US2115897A (en) * | 1935-05-15 | 1938-05-03 | Carborundum Co | Abrasive article |
US2286208A (en) * | 1940-12-03 | 1942-06-16 | Carborundum Co | Granular coated article and its manufacture |
US2485295A (en) * | 1947-09-17 | 1949-10-18 | Adolph J Larson | Pack of abrasive coated sheets |
US2667435A (en) * | 1951-09-21 | 1954-01-26 | Westinghouse Electric Corp | Low temperature fabrication of molybdenum and alloys thereof |
US2952951A (en) * | 1952-07-28 | 1960-09-20 | Simpson Harry Arthur | Abrasive or like materials and articles |
US2755607A (en) * | 1953-06-01 | 1956-07-24 | Norton Co | Coated abrasives |
US3188265A (en) * | 1957-11-12 | 1965-06-08 | Minnesota Mining & Mfg | Packaging films |
US2888785A (en) * | 1958-06-30 | 1959-06-02 | Thelma E Kellican | Eraser cleaner |
US3041156A (en) * | 1959-07-22 | 1962-06-26 | Norton Co | Phenolic resin bonded grinding wheels |
US3324608A (en) * | 1965-01-27 | 1967-06-13 | Thompson Proc Co Inc | Facing assembly for lens grinding tools and the like |
US3605349A (en) * | 1969-05-08 | 1971-09-20 | Frederick B Anthon | Abrasive finishing article |
US3594865A (en) * | 1969-07-10 | 1971-07-27 | American Velcro Inc | Apparatus for molding plastic shapes in molding recesses formed in moving endless wire dies |
US3849949A (en) * | 1972-10-10 | 1974-11-26 | Minnesota Mining & Mfg | Roll of pressure sensitive adhesive, adhesive coated abrasive discs and dispenser |
US3916584A (en) * | 1973-03-22 | 1975-11-04 | Minnesota Mining & Mfg | Spheroidal composite particle and method of making |
US3875703A (en) * | 1973-12-26 | 1975-04-08 | Joseph V Clemente | Flexible sanding disc unit |
US3911562A (en) * | 1974-01-14 | 1975-10-14 | Signetics Corp | Method of chemical polishing of planar silicon structures having filled grooves therein |
US4138228A (en) * | 1977-02-02 | 1979-02-06 | Ralf Hoehn | Abrasive of a microporous polymer matrix with inorganic particles thereon |
US4314827A (en) * | 1979-06-29 | 1982-02-09 | Minnesota Mining And Manufacturing Company | Non-fused aluminum oxide-based abrasive mineral |
US4663890A (en) * | 1982-05-18 | 1987-05-12 | Gmn Georg Muller Nurnberg Gmbh | Method for machining workpieces of brittle hard material into wafers |
US4509581A (en) * | 1982-05-20 | 1985-04-09 | Wirtz Manufacturing Company, Inc. | Machine for continuously casting battery grids |
US5527368C1 (en) | 1983-03-11 | 2001-05-08 | Norton Co | Coated abrasives with rapidly curable adhesives |
US4563388A (en) * | 1983-03-28 | 1986-01-07 | Minnesota Mining And Manufacturing Company | Polyolefin substrate coated with acrylic-type normally tacky and pressure-sensitive adhesive and a method of making same |
US4623364A (en) * | 1984-03-23 | 1986-11-18 | Norton Company | Abrasive material and method for preparing the same |
US4642126A (en) * | 1985-02-11 | 1987-02-10 | Norton Company | Coated abrasives with rapidly curable adhesives and controllable curvature |
CA1254238A (en) * | 1985-04-30 | 1989-05-16 | Alvin P. Gerk | Process for durable sol-gel produced alumina-based ceramics, abrasive grain and abrasive products |
US4652275A (en) * | 1985-08-07 | 1987-03-24 | Minnesota Mining And Manufacturing Company | Erodable agglomerates and abrasive products containing the same |
US4652274A (en) * | 1985-08-07 | 1987-03-24 | Minnesota Mining And Manufacturing Company | Coated abrasive product having radiation curable binder |
US4944836A (en) * | 1985-10-28 | 1990-07-31 | International Business Machines Corporation | Chem-mech polishing method for producing coplanar metal/insulator films on a substrate |
US4671851A (en) * | 1985-10-28 | 1987-06-09 | International Business Machines Corporation | Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique |
US4773920B1 (en) * | 1985-12-16 | 1995-05-02 | Minnesota Mining & Mfg | Coated abrasive suitable for use as a lapping material. |
US4749617A (en) * | 1985-12-18 | 1988-06-07 | Minnesota Mining And Manufacturing Company | Composite article containing rigid layers |
US4770671A (en) * | 1985-12-30 | 1988-09-13 | Minnesota Mining And Manufacturing Company | Abrasive grits formed of ceramic containing oxides of aluminum and yttrium, method of making and using the same and products made therewith |
US4644703A (en) * | 1986-03-13 | 1987-02-24 | Norton Company | Plural layered coated abrasive |
US4927432A (en) * | 1986-03-25 | 1990-05-22 | Rodel, Inc. | Pad material for grinding, lapping and polishing |
US4751138A (en) * | 1986-08-11 | 1988-06-14 | Minnesota Mining And Manufacturing Company | Coated abrasive having radiation curable binder |
US4799939A (en) * | 1987-02-26 | 1989-01-24 | Minnesota Mining And Manufacturing Company | Erodable agglomerates and abrasive products containing the same |
US4735632A (en) * | 1987-04-02 | 1988-04-05 | Minnesota Mining And Manufacturing Company | Coated abrasive binder containing ternary photoinitiator system |
US4881951A (en) * | 1987-05-27 | 1989-11-21 | Minnesota Mining And Manufacturing Co. | Abrasive grits formed of ceramic containing oxides of aluminum and rare earth metal, method of making and products made therewith |
US4933234A (en) * | 1987-08-13 | 1990-06-12 | Minnesota Mining And Manufacturing Company | Primed polymeric surfaces for cyanoacrylate adhesives |
US4906523A (en) * | 1987-09-24 | 1990-03-06 | Minnesota Mining And Manufacturing Company | Primer for surfaces containing inorganic oxide |
JP2707264B2 (ja) * | 1987-12-28 | 1998-01-28 | ハイ・コントロール・リミテッド | 研磨シートおよびその製造方法 |
US4930266A (en) * | 1988-02-26 | 1990-06-05 | Minnesota Mining And Manufacturing Company | Abrasive sheeting having individually positioned abrasive granules |
US4974373A (en) * | 1988-03-14 | 1990-12-04 | Tokyo Magnetic Printing Co., Ltd. | Abrasive tools |
US4885332A (en) * | 1988-04-11 | 1989-12-05 | Minnesota Mining And Manufacturing Company | Photocurable abrasion resistant coatings comprising silicon dioxide dispersions |
US5104929A (en) * | 1988-04-11 | 1992-04-14 | Minnesota Mining And Manufacturing Company | Abrasion resistant coatings comprising silicon dioxide dispersions |
US5254194A (en) * | 1988-05-13 | 1993-10-19 | Minnesota Mining And Manufacturing Company | Coated abrasive sheet material with loop material for attachment incorporated therein |
CH675250A5 (de) * | 1988-06-17 | 1990-09-14 | Lonza Ag | |
US4879258A (en) * | 1988-08-31 | 1989-11-07 | Texas Instruments Incorporated | Integrated circuit planarization by mechanical polishing |
US5011508A (en) * | 1988-10-14 | 1991-04-30 | Minnesota Mining And Manufacturing Company | Shelling-resistant abrasive grain, a method of making the same, and abrasive products |
US4903440A (en) * | 1988-11-23 | 1990-02-27 | Minnesota Mining And Manufacturing Company | Abrasive product having binder comprising an aminoplast resin |
US4959265A (en) * | 1989-04-17 | 1990-09-25 | Minnesota Mining And Manufacturing Company | Pressure-sensitive adhesive tape fastener for releasably attaching an object to a fabric |
US5014468A (en) * | 1989-05-05 | 1991-05-14 | Norton Company | Patterned coated abrasive for fine surface finishing |
US5061294A (en) * | 1989-05-15 | 1991-10-29 | Minnesota Mining And Manufacturing Company | Abrasive article with conductive, doped, conjugated, polymer coat and method of making same |
US5011513A (en) * | 1989-05-31 | 1991-04-30 | Norton Company | Single step, radiation curable ophthalmic fining pad |
US4997461A (en) * | 1989-09-11 | 1991-03-05 | Norton Company | Nitrified bonded sol gel sintered aluminous abrasive bodies |
US5141790A (en) * | 1989-11-20 | 1992-08-25 | Minnesota Mining And Manufacturing Company | Repositionable pressure-sensitive adhesive tape |
US5199227A (en) * | 1989-12-20 | 1993-04-06 | Minnesota Mining And Manufacturing Company | Surface finishing tape |
US5177908A (en) * | 1990-01-22 | 1993-01-12 | Micron Technology, Inc. | Polishing pad |
US5020283A (en) * | 1990-01-22 | 1991-06-04 | Micron Technology, Inc. | Polishing pad with uniform abrasion |
US5104421B1 (en) * | 1990-03-23 | 1993-11-16 | Fujimi Abrasives Co.,Ltd. | Polishing method of goods and abrasive pad therefor |
US5085671A (en) * | 1990-05-02 | 1992-02-04 | Minnesota Mining And Manufacturing Company | Method of coating alumina particles with refractory material, abrasive particles made by the method and abrasive products containing the same |
US5174795A (en) * | 1990-05-21 | 1992-12-29 | Wiand Ronald C | Flexible abrasive pad with ramp edge surface |
US5607488A (en) | 1990-05-21 | 1997-03-04 | Wiand; Ronald C. | Molded abrasive article and process |
US5137542A (en) * | 1990-08-08 | 1992-08-11 | Minnesota Mining And Manufacturing Company | Abrasive printed with an electrically conductive ink |
US5370718A (en) * | 1990-08-22 | 1994-12-06 | Hitachi Maxell, Ltd. | Abrasive tape |
US5077870A (en) * | 1990-09-21 | 1992-01-07 | Minnesota Mining And Manufacturing Company | Mushroom-type hook strip for a mechanical fastener |
US5152917B1 (en) * | 1991-02-06 | 1998-01-13 | Minnesota Mining & Mfg | Structured abrasive article |
US5107626A (en) * | 1991-02-06 | 1992-04-28 | Minnesota Mining And Manufacturing Company | Method of providing a patterned surface on a substrate |
US5378251A (en) * | 1991-02-06 | 1995-01-03 | Minnesota Mining And Manufacturing Company | Abrasive articles and methods of making and using same |
US5236472A (en) * | 1991-02-22 | 1993-08-17 | Minnesota Mining And Manufacturing Company | Abrasive product having a binder comprising an aminoplast binder |
JPH05177523A (ja) * | 1991-06-06 | 1993-07-20 | Commiss Energ Atom | 張設された微小研磨剤小板、および改良されたウエハー支持ヘッドを備えた研磨装置 |
US5197999A (en) * | 1991-09-30 | 1993-03-30 | National Semiconductor Corporation | Polishing pad for planarization |
US5320706A (en) * | 1991-10-15 | 1994-06-14 | Texas Instruments Incorporated | Removing slurry residue from semiconductor wafer planarization |
US5219462A (en) * | 1992-01-13 | 1993-06-15 | Minnesota Mining And Manufacturing Company | Abrasive article having abrasive composite members positioned in recesses |
US5437754A (en) * | 1992-01-13 | 1995-08-01 | Minnesota Mining And Manufacturing Company | Abrasive article having precise lateral spacing between abrasive composite members |
US5368618A (en) * | 1992-01-22 | 1994-11-29 | Minnesota Mining And Manufacturing Company | Method of making a coated abrasive article |
US5256170A (en) * | 1992-01-22 | 1993-10-26 | Minnesota Mining And Manufacturing Company | Coated abrasive article and method of making same |
US5264010A (en) * | 1992-04-27 | 1993-11-23 | Rodel, Inc. | Compositions and methods for polishing and planarizing surfaces |
US5445996A (en) * | 1992-05-26 | 1995-08-29 | Kabushiki Kaisha Toshiba | Method for planarizing a semiconductor device having a amorphous layer |
US5203884A (en) * | 1992-06-04 | 1993-04-20 | Minnesota Mining And Manufacturing Company | Abrasive article having vanadium oxide incorporated therein |
US5213591A (en) * | 1992-07-28 | 1993-05-25 | Ahmet Celikkaya | Abrasive grain, method of making same and abrasive products |
MY114512A (en) * | 1992-08-19 | 2002-11-30 | Rodel Inc | Polymeric substrate with polymeric microelements |
US5307593A (en) * | 1992-08-31 | 1994-05-03 | Minnesota Mining And Manufacturing Company | Method of texturing rigid memory disks using an abrasive article |
US5232875A (en) * | 1992-10-15 | 1993-08-03 | Micron Technology, Inc. | Method and apparatus for improving planarity of chemical-mechanical planarization operations |
JP3649442B2 (ja) * | 1992-12-17 | 2005-05-18 | ミネソタ・マイニング・アンド・マニュファクチュアリング・カンパニー | 低減粘度スラリー、それから作製される研磨用品、および該用品の製造方法 |
US5342419A (en) * | 1992-12-31 | 1994-08-30 | Minnesota Mining And Manufacturing Company | Abrasive composites having a controlled rate of erosion, articles incorporating same, and methods of making and using same |
US5435816A (en) * | 1993-01-14 | 1995-07-25 | Minnesota Mining And Manufacturing Company | Method of making an abrasive article |
US5424224A (en) * | 1993-01-19 | 1995-06-13 | Texas Instruments Incorporated | Method of surface protection of a semiconductor wafer during polishing |
CA2113318A1 (en) * | 1993-01-28 | 1994-07-29 | Robert J. Jantschek | Abrasive attachment system for rotative abrading applications |
JP2966235B2 (ja) * | 1993-06-04 | 1999-10-25 | 古舘 忠夫 | 可塑性柔軟砥石 |
GB9309972D0 (en) | 1993-05-14 | 1993-06-30 | De Beers Ind Diamond | Tool insert |
JP2622069B2 (ja) * | 1993-06-30 | 1997-06-18 | 三菱マテリアル株式会社 | 研磨布のドレッシング装置 |
US5549962A (en) * | 1993-06-30 | 1996-08-27 | Minnesota Mining And Manufacturing Company | Precisely shaped particles and method of making the same |
US5378252A (en) * | 1993-09-03 | 1995-01-03 | Minnesota Mining And Manufacturing Company | Abrasive articles |
US5489235A (en) * | 1993-09-13 | 1996-02-06 | Minnesota Mining And Manufacturing Company | Abrasive article and method of making same |
US5454844A (en) * | 1993-10-29 | 1995-10-03 | Minnesota Mining And Manufacturing Company | Abrasive article, a process of making same, and a method of using same to finish a workpiece surface |
US5453312A (en) * | 1993-10-29 | 1995-09-26 | Minnesota Mining And Manufacturing Company | Abrasive article, a process for its manufacture, and a method of using it to reduce a workpiece surface |
US5441598A (en) * | 1993-12-16 | 1995-08-15 | Motorola, Inc. | Polishing pad for chemical-mechanical polishing of a semiconductor substrate |
US5391210A (en) * | 1993-12-16 | 1995-02-21 | Minnesota Mining And Manufacturing Company | Abrasive article |
US5417725A (en) * | 1994-02-01 | 1995-05-23 | Graves; Gordon C. | Penetration and fixture freeing agent |
US5422316A (en) * | 1994-03-18 | 1995-06-06 | Memc Electronic Materials, Inc. | Semiconductor wafer polisher and method |
USD366365S (en) | 1994-05-11 | 1996-01-23 | Minnesota Mining And Manufacturing Company | Coated abrasive sheet article |
US5607341A (en) | 1994-08-08 | 1997-03-04 | Leach; Michael A. | Method and structure for polishing a wafer during manufacture of integrated circuits |
US5643044A (en) | 1994-11-01 | 1997-07-01 | Lund; Douglas E. | Automatic chemical and mechanical polishing system for semiconductor wafers |
US5525100A (en) * | 1994-11-09 | 1996-06-11 | Norton Company | Abrasive products |
JP2616736B2 (ja) | 1995-01-25 | 1997-06-04 | 日本電気株式会社 | ウエーハ研磨装置 |
JP3305557B2 (ja) | 1995-04-10 | 2002-07-22 | 大日本印刷株式会社 | 研磨テープ、その製造方法および研磨テープ用塗工剤 |
US5609517A (en) | 1995-11-20 | 1997-03-11 | International Business Machines Corporation | Composite polishing pad |
US5645736A (en) | 1995-12-29 | 1997-07-08 | Symbios Logic Inc. | Method for polishing a wafer |
US5624303A (en) | 1996-01-22 | 1997-04-29 | Micron Technology, Inc. | Polishing pad and a method for making a polishing pad with covalently bonded particles |
US5645682A (en) | 1996-05-28 | 1997-07-08 | Micron Technology, Inc. | Apparatus and method for conditioning a planarizing substrate used in chemical-mechanical planarization of semiconductor wafers |
US5782675A (en) | 1996-10-21 | 1998-07-21 | Micron Technology, Inc. | Apparatus and method for refurbishing fixed-abrasive polishing pads used in chemical-mechanical planarization of semiconductor wafers |
-
1996
- 1996-08-08 US US08/694,014 patent/US5958794A/en not_active Expired - Lifetime
- 1996-09-19 EP EP96933835A patent/EP0852063B1/de not_active Expired - Lifetime
- 1996-09-19 IL IL12352096A patent/IL123520A/en not_active IP Right Cessation
- 1996-09-19 WO PCT/US1996/015089 patent/WO1997011484A1/en active IP Right Grant
- 1996-09-19 CN CN96197135A patent/CN1099127C/zh not_active Expired - Lifetime
- 1996-09-19 KR KR10-1998-0702143A patent/KR100456208B1/ko not_active IP Right Cessation
- 1996-09-19 MX MX9802098A patent/MX9802098A/es unknown
- 1996-09-19 CA CA002231159A patent/CA2231159A1/en not_active Abandoned
- 1996-09-19 AU AU72413/96A patent/AU7241396A/en not_active Abandoned
- 1996-09-19 JP JP9512915A patent/JPH11512874A/ja not_active Withdrawn
- 1996-09-19 DE DE69633339T patent/DE69633339T2/de not_active Expired - Lifetime
- 1996-09-23 MY MYPI96003915A patent/MY120676A/en unknown
-
2005
- 2005-04-18 JP JP2005120129A patent/JP4515316B2/ja not_active Expired - Lifetime
-
2008
- 2008-11-05 JP JP2008284507A patent/JP2009076927A/ja not_active Withdrawn
Also Published As
Publication number | Publication date |
---|---|
JPH11512874A (ja) | 1999-11-02 |
MX9802098A (es) | 1998-05-31 |
JP4515316B2 (ja) | 2010-07-28 |
CA2231159A1 (en) | 1997-03-27 |
US5958794A (en) | 1999-09-28 |
JP2005260261A (ja) | 2005-09-22 |
KR19990063679A (ko) | 1999-07-26 |
MY120676A (en) | 2005-11-30 |
DE69633339T2 (de) | 2005-09-22 |
CN1197543A (zh) | 1998-10-28 |
DE69633339D1 (de) | 2004-10-14 |
AU7241396A (en) | 1997-04-09 |
EP0852063B1 (de) | 2004-09-08 |
IL123520A0 (en) | 1998-10-30 |
KR100456208B1 (ko) | 2005-01-24 |
WO1997011484A1 (en) | 1997-03-27 |
JP2009076927A (ja) | 2009-04-09 |
CN1099127C (zh) | 2003-01-15 |
EP0852063A1 (de) | 1998-07-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
EP0852063B1 (de) | Verfahren zum verändern einer freiliegenden oberfläche eines halbleiterwafers | |
EP1015175B1 (de) | Schleifgegenstände mit fluorhaltigem mittel zur modifizierung der oberfläche einer halbleiterscheibe | |
AU734883B2 (en) | Method of planarizing the upper surface of a semiconductor wafer | |
US6194317B1 (en) | Method of planarizing the upper surface of a semiconductor wafer | |
JP6899219B2 (ja) | 複数の研磨要素の異なるセットを有する研磨材 | |
KR100674052B1 (ko) | 유리 및 유리 세라믹 작업편의 연마용으로 적합한 연마용품 | |
US8092707B2 (en) | Compositions and methods for modifying a surface suited for semiconductor fabrication | |
AU727191B2 (en) | Abrasive article for providing a clear surface finish on glass | |
KR100571448B1 (ko) | 유리한 미세 조직을 갖는 연마 패드 | |
CA2469808C (en) | Method of making an abrasive product | |
US5989111A (en) | Method and article for the production of optical quality surfaces on glass | |
EP0734309B1 (de) | Schleifgegenstand | |
JP2004338088A (ja) | 研磨用品、その製造方法、およびワークピース表面を仕上げるためのその使用方法 | |
JP2001512373A (ja) | 軟鋼ワークピースを研磨するのに適する構造化研磨製品 | |
KR20090091302A (ko) | 나노미립자 충전재를 갖는 연마 용품 및 그 제조 및 사용 방법 | |
JPH05253852A (ja) | 研磨コンポジット部材間において精確な横方向間隔を有する研磨用品 | |
JP2002522237A (ja) | エンボス加工した隔離層を具備する研磨物品とその製造および使用法 | |
EP1489652A2 (de) | Verfahren zum Verändern einer Oberfläche eines Halbleiterwafers | |
TW309631B (en) | Method of modifying an exposed surface of a semiconductor wafer |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
FF | Patent granted | ||
KB | Patent renewed | ||
KB | Patent renewed | ||
KB | Patent renewed | ||
MM9K | Patent not in force due to non-payment of renewal fees |