KR19990063679A - 반도체 웨이퍼의 노출 표면을 개질시키는 방법 - Google Patents

반도체 웨이퍼의 노출 표면을 개질시키는 방법 Download PDF

Info

Publication number
KR19990063679A
KR19990063679A KR1019980702143A KR19980702143A KR19990063679A KR 19990063679 A KR19990063679 A KR 19990063679A KR 1019980702143 A KR1019980702143 A KR 1019980702143A KR 19980702143 A KR19980702143 A KR 19980702143A KR 19990063679 A KR19990063679 A KR 19990063679A
Authority
KR
South Korea
Prior art keywords
abrasive
abrasive article
particles
binder
wafer
Prior art date
Application number
KR1019980702143A
Other languages
English (en)
Other versions
KR100456208B1 (ko
Inventor
제이. 브룩스부트 웨슬리
알. 큘러 스코트
호 구옥-룬
에이. 가이사키 데이비드
알. 케셀 카알
피. 크룬 토마스
케이. 크란쯔 헤더
피. 메스너 로버트
제이. 웹 리차드
피. 윌리암스 쥴리아
Original Assignee
스프레이그 로버트 월터
미네소타마이닝 앤드 매뉴팩춰링 캄파니
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 스프레이그 로버트 월터, 미네소타마이닝 앤드 매뉴팩춰링 캄파니 filed Critical 스프레이그 로버트 월터
Publication of KR19990063679A publication Critical patent/KR19990063679A/ko
Application granted granted Critical
Publication of KR100456208B1 publication Critical patent/KR100456208B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B53/00Devices or means for dressing or conditioning abrasive surfaces
    • B24B53/017Devices or means for dressing, cleaning or otherwise conditioning lapping tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B21/00Machines or devices using grinding or polishing belts; Accessories therefor
    • B24B21/04Machines or devices using grinding or polishing belts; Accessories therefor for grinding plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B7/00Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor
    • B24B7/20Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground
    • B24B7/22Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain
    • B24B7/228Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain for grinding thin, brittle parts, e.g. semiconductors, wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D11/00Constructional features of flexible abrasive materials; Special features in the manufacture of such materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D3/00Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents
    • B24D3/02Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents the constituent being used as bonding agent
    • B24D3/20Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents the constituent being used as bonding agent and being essentially organic
    • B24D3/28Resins or natural or synthetic macromolecular compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Polishing Bodies And Polishing Tools (AREA)
  • Grinding And Polishing Of Tertiary Curved Surfaces And Surfaces With Complex Shapes (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

반도체 웨이퍼의 노출 표면을 개질시키는 방법은 (a)복수의 연마 입자 및 소정 패턴의 형태로 배열된 결합제를 함유하는 3차원 직물 고착화된 연마 물품으로 상기 노출 표면을 코팅하는 단계와, (b) 상기 웨이퍼의 상기 표면을 개질시키기 위해 상기 웨이퍼 및 상기 고착된 연마 물품을 상대적으로 이동시키는 단계를 포함한다.

Description

반도체 웨이퍼의 노출 표면을 개질시키는 방법
집적회로의 제조 과정에 있어서, 반도체 웨이퍼는 통상적으로 증착 단계, 패터닝 단계 및 에칭 단계를 포함하는 다수의 처리 단계에 놓이게 된다. 반도체 웨이퍼를 제조하는 방법에 대한 추가의 세부내용은 Annals of International Institution for Production Engineering Research 의 Volume 39/2/1900, 621∼635페이지에 Tonshoff, H. K.; Scheiden, W. V.; Inasaki, I.; Koning. W.; Spur, G. 에 의해 저술된 "Abrasive Machining of Silicon" 이라는 명칭의 논문에 개시되어 있다. 각 처리 단계에서, 소정 레벨의 표면 "평면화", "균일성" 및/또는 "거칠기" 를 달성하는 것이 바람직한 경우가 있다. 또한, 피트 및 스크래치와 같은 표면 결함을 최소화시키기는 것이 바람직하다. 이러한 표면 비균일성은 최종 반도체 장치의 성능에 영향을 주거나 및/또는 후속 처리 단계 동안 문제를 야기시킬 것이다.
표면 비균일성을 감소시키기 위해 채택된 한가지 방법은 용액에 복수의 약결합 연마 입자가 분산되어 있는 슬러리 및 폴리싱 패드로 웨이퍼 표면을 처리하는 것이다. 그러나, 이와 같이 슬러리를 사용하는 방법은 그 처리가 요구된 웨이퍼 토포그래피를 달성하기 위해 세밀하게 모니터링되어야만 한다는 첫 번째 문제점과, 이러한 슬러리가 다수의 입자를 발생하고, 이 입자들이 후속 웨이퍼 처리시에 제거 및 추출되어야만 한다는 두 번째 문제점을 갖는다.
본 발명은 반도체 웨이퍼의 노출 표면을 개질시키는 방법에 관한 것이다.
도1은 표면 개질 이전의 반도체 웨이퍼의 일부분에 대한 확대 단면도이다.
도2는 표면 개질 이후의 반도체 웨이퍼의 일부분에 대한 확대 단면도이다.
도3은 반도체 웨이퍼의 표면을 개질시키기 위한 한 장치의 부분 측면도이다.
도4는 본 발명의 처리에 유용한 3차원 직물 고착된 연마 물품의 일부분에 대한 확대 단면도이다.
도5는 본 발명의 처리에 유용한 또 다른 3차원 직물 고착된 연마 물품의 일부분에 대한 확대 단면도이다.
도6은 본 발명의 처리에 유용한 3차원 직물 고착된 연마 물품의 일부분에 대한 평면도이다.
도7은 본 발명의 처리에 유용한 3차원 직물 고착된 연마 물품의 일부분에 대한 사시도이다.
도8은 본 발명의 처리에 유용한 또 다른 3차원 직물 고착된 연마 물품의 일부분이 단면도로 도시된 사시도이다.
도9 내지 도12는 본 발명의 처리에 유용한 추가의 3차원 직물 고착된 연마 물품의 일부분에 대한 확대 단면도이다.
도13은 기준 평면을 나타내고 있는 반도체 웨이퍼의 확대 사시도이다.
도14는 기준 평면을 나타내고 있는 반도체 웨이퍼의 확대 사시도이다.
도15는 본 발명의 처리에 유용한 3차원 직물 고착된 연마 물품을 형성하기 위한 한 처리의 개략 표현도이다.
도16은 본 발명의 처리에 유용한 3차원 직물 고착된 연마 물품을 형성하기 위한 제2 처리의 개략 표현도이다.
도17은 본 발명의 처리에 유용한 3차원 직물 고착된 연마 물품의 일부분에 대한 확대 단면도이다.
제1 양태에 있어, 본 발명은 (a)복수의 연마 입자 및 소정 패턴의 형태로 결합제를 포함하는 3차원 직물 고착화된 연마 물품(a three-dimensional, textured, fixed, abrasive article)으로 표면을 코팅하는 단계와, (b)상기 웨이퍼 표면을 개질시키기 위해 웨이퍼와 고착 연마 물품을 상대적으로 이동시키는 단계를 포함하는 반도체 웨이퍼의 노출 표면을 개질시키는 방법을 특징으로 한다.
상기의 3차원 직물 고착화된 연마 물품은 표면 조각 및/또는 반도체 표면의 최외각 부분을 제거하기 위해 상기의 단계(b)와 동시에 또는 상기의 단계(b)의 1회 또는 그 이상의 사용 후에 "상태조절(conditioned)"될 수도 있으나 단계 (b)와 동시에 사용되는 것이 바람직하다.
바람직한 실시예에서, 고착화된 연마 물품은 서브 패드에 고정된다. 웨이퍼 표면과 연마 입자간의 접촉 압력(즉, 총 웨이퍼 배면 압력)은 적어도 부분적으로 특정 연마 물품에 좌우된다. 그러나, 일반적으로, 접촉 압력은 약 10psi를 초과하지 않는 것이 바람직하다. 접촉 단계는 용액의 존재시에 발생되는 것이 바람직하다. 적합한 용액은 적어도 약 5의 pH(예를들어, 약 5∼8 또는 약 8∼13pH)를 갖는다. 반도체 웨이퍼는 바람직한 용액에 담궈진다.
반도체 웨이퍼는 블랭크 웨이퍼(blank wafer:즉, 금속화된 영역 및 절연 영역과 같은 토포그패픽컬 특징을 추가하기 위한 목적의 처리 이전의 웨이퍼) 또는 처리된 웨이퍼(즉, 반도체 웨이퍼에 토포그래픽컬 특징을 추가하기 위한 하나 또는 그 이상의 처리 단계에 놓인 후의 웨이퍼)의 형태로 존재될 것이다. "처리된 웨이퍼"라는 용어는 반도체 웨이퍼의 전체 노출 표면이 동일 물질(예를들어 실리콘 이산화물)로 구성되는 "블랭킷" 웨이퍼(blanket wafer)를 포함하며, 아울러 "블랭킷" 웨이퍼로 제한되지는 않는다. 본 발명에 따른 방법이 유용한 한 영역은 반도체 웨이퍼의 노출 표면이 예를 들어 실리콘 이산화물 함유 영역과 같은 하나 또는 그 이상의 금속산화물을 함유하는 영역을 포함하는 곳이다.
일반적으로 처리 이전의 반도체 표면 보다 더 "평면화"가 우수하고 및/또는 더 균일하며 및/또는 더 적은 "거칠기" 상태에 있는 표면을 획득하기 위해 반도체 웨이퍼 표면을 개질시키는 것이 요구된다. 요구되는 "평면화", "거칠기" 및/또는 "균일성"의 특정 정도는 웨이퍼가 받게될 임의의 후속 처리 단계의 특성 뿐만 아니라 개개의 웨이퍼 및 이 웨이퍼가 사용될 응용 분야에 따라 변화될 것이다. 그러나, 일반적으로 "평면화", "거칠기" 및/또는 "균일성"의 정도를 측정하는 여러 가지의 바람직한 방법이 존재한다.
한가지 바람직한 방법은 웨이퍼 표면의 Ra값("거칠기"의 측정치를 제공)을 측정하는 것이다. 웨이퍼 표면은 약 20Å 이하, 더욱 바람직하게는 약 15Å 이하, 가장 바람직하게는 약 10Å 이하의 Ra값을 얻을 수 있도록 개질되는 것이 바람직하다.
평균 절단율(cut rate)은 연마 물품으로 처리되는 특정 웨이퍼 표면의 토포그래피 및 조성물에 좌우된다. 금속산화물 함유 표면(예를들어, 실리콘 이산화물 함유 표면)의 경우, 적어도 약 500, 1,000, 1,500 또는 2,000Å/분의 평균 절단율이 성공적으로 획득된다.
연마 입자의 크기는 부분적으로 연마 입자의 특정 조성물 및 처리 동안 사용된 용액에 좌우된다. 그러나, 일반적으로 약 5㎛ 이하의 평균 입경을 갖는 연마 입자가 바람직하다. 더욱 바람직하게는 1㎛ 이하, 특히 0.5㎛ 이하의 평균 입경을 갖는 연마 입자가 바람직하다.
반도체 웨이퍼의 표면(특히, 웨이퍼 표면이 실리콘 이산화물 함유 표면과 같은 금속산화물 함유 표면인 곳)에 손상을 입히는 것을 방지하기 위해, 연마 입자는 약 8이하의 모우스 경도값(Mohs hardness)을 가질 것이다. 바람직한 연마 입자의 예로는 산화세륨과 같은 금속 산화물 입자가 포함된다. 연마 입자는 충진제 입자와 함께 사용될 수 있다. 바람직한 충진제 입자의 예로는 탄산염(예를들어, 탄산칼슘), 규산염(예를들어, 규산마그네슘, 규산알루미늄, 규산칼슘, 및 이들의 혼합물), 및 이들의 혼합물이 포함된다. 또한, 플라스틱 충진제 입자도 사용될 수 있다.
본 발명에 따른 방법에 사용하기 위한 바람직한 연마 입자는 열경화성 유기 중합체 수지의 형태로 결합제를 포함한다. 바람직한 열경화성 유기 중합체 수지의 예로는 아크릴산염 및 메타아크릴산염 중합체 수지가 포함된다. 다른 유형의 적합한 결합제는 유기 중합체 수지내에 콜로이드 금속산화물 입자를 포함하는 세라머 결합제(ceramer binder)이다.
결합제는 가소제가 존재하지 않을 때의 동일 연마 입자에 비해 연마 입자의 침식성(erodibility)을 증가시키기 위해 가소제를 충분한 양으로 포함하는 것이 바람직하다. 결합제는 가소제와 수지의 중량 합을 기초로 약 25중량%의 가소제를 포함하는 것이 바람직하다(약 40∼70중량%의 가소제를 포함하는 것이 더욱 바람직하다). 바람직한 가소제는 프탈산염 에스테르 및 그 유도체이다.
바람직한 연마 물품의 일례는 연마 입자와 결합제를 연마 코팅의 형태로 포함하는 표면을 갖는 백킹(backing)을 특징으로 한다. 백킹은 중합체막인 것이 바람직하다. 백킹은 연마 코팅과 백킹간의 접착을 향상시키기 위해 프라이머(primer)와 함께 제공되는 것이 바람직하다.
바람직한 연마 물품의 다른 예는 침식성을 갖는 것이다. 또한, 소정 패턴의 형태로 배열된 복수의 연마 복합물을 포함하는 연마 물품 또한 바람직하다. 적어도 일부의 복합물은 정밀하게 성형된 연마 복합물이다. 모든 복합물은 실질적으로 동일한 높이를 갖는 것이 바람직하다. 복합물 높이는 약 250㎛ 이하인 것이 바람직하다. 더욱이, 연마 물품은 평방 센티미터의 표면적당 약 1,200개의 복합물을 포함하는 것이 바람직하다.
거의 모든 연마 복합물은 실질적으로 동일 형상을 갖는 것이 바람직하다. 대표적인 형상의 예로는 정육면체, 원통형, 프리즘형, 장방형, 피라미드형, 원추형, 사절두 원추형(truncated conical), 십자형(cross), 평평한 상표면을 갖는 포스트형, 반구형, 및 이들의 조합을 포함한다.
연마 복합물은 서로 이격되는 것이 바람직하다. 예를들어, 이 연마 복합물은 서로 이격 연장된 리지의 형태(한쌍의 복합물 사이에 채널을 형성하는 것처럼)로 제공될 것이다. 연마 물품이 연마 복합물을 코팅의 형태로 포함하는 표면을 갖는 백킹 표면을 특징으로 하는 곳에서, 각각의 복합물은 백킹에 대해 실질적으로 동일 배향성을 갖는 것이 바람직하다.
제2 양태에서, 본 발명은 (a)복수의 정밀하게 성형된 연마 복합물과 결합제를 함유하는 3차원 직물 고착된 연마 물품으로 웨이퍼 표면을 코팅하는 단계와, (b)상기 웨이퍼 표면을 개질시키기 위해 웨이퍼 및 고착된 연마 물품을 상대적으로 이동시키는 단계를 포함하는 반도체 웨이퍼의 노출 표면을 개질시키는 방법을 특징으로 한다.
제3 양태에서, 본 발명은 (a)가소제가 없는 경우의 동일 연마 표면에 비해 연마 표면의 침식성을 증가시키기에 충분한 양으로 가소제와 수지를 포함하는 결합제 및 복수의 연마 입자를 함유하는 3차원 직물 고착된 연마 물품으로 웨이퍼 표면을 코팅하는 단계와, (b)웨이퍼 표면을 개질시키기 위해 고착된 연마 물품과 웨이퍼를 상대적으로 이동시키는 단계를 포함하며, 상기 결합제가 가소제와 수지의 중량합을 기초로 적어도 25중량%(더욱 바람직하게는 약 40∼75중량%)의 가소제를 포함하는 것이 바람직한 반도체 웨이퍼의 노출 표면을 개질시키는 방법을 특징으로 한다.
제4 양태에서, 본 발명은 (a)복수의 연마 입자와 소정 패턴의 형태로 배열된 결합제를 함유하는 3차원 직물 침식성 고착된 연마 물품(a three-dimensional, textured, erodible, fixed abrasive article)으로 웨이퍼 표면을 코팅하는 단계와, (b)웨이퍼의 표면을 개질시키기 위해 웨이퍼와 고착된 연마 물품을 상대적으로 이동시키는 단계를 포함하는 반도체 웨이퍼의 노출 표면을 개질시키는 방법을 특징으로 한다.
본 명세서에 걸쳐 다음의 정의가 적용된다:
"고착된" 연마 물품은 평면화 처리 동안에 발생될 수도 있다는 것을 제외하고는 부착되지 않은 연마 입자가 거의 없는 완전한 연마 물품이다.
"3차원" 연마 물품은 평면화 동안의 입자의 일부를 제거함으로써 평면화 기능을 수행할 수 있는 추가의 연마 입자를 노출시키도록 그 두께의 적어도 일부분을 관통하여 연장하는 다수의 연마 입자를 갖는 연마 물품이다.
"직물" 연마 물품은 오목부와 볼록부를 갖고 적어도 볼록부가 연마 입자 및 결합제를 포함하고 있는 연마 물품이다.
"침식성" 연마 물품은 제어된 방식으로 사용 조건하에서 브레이크 다운하는 연마 물품이다.
"연마 단괴(abrasive agglomerate)"는 단일 입자 덩어리의 형태로 함께 결합된 복수의 연마 입자를 지칭한다.
"연마 복합물"은 연마 입자와 결합제를 포함하는 직물 3차원 연마 물품을 집단적으로 제공하는 복수의 성형체중의 하나를 지칭한다.
"정밀하게 성형된 연마 복합물"은 복합물이 주물에서 제거된 후에 남게되는 주물 기공(mold cavity)의 반대모양(inverse)인 주물된 형상을 갖는 연마 복합물을 지칭한다. 복합물은 미국 특허 번호 5,152,917호에 개시된 바와 같이 연마 물품이 사용되기 전에 형성체의 노출 표면을 지나 돌출하는 연마 입자가 거의 없는 것이 바람직하다.
본 발명은 제조 동안의 각종 단계에서 반도체 웨이퍼의 표면 특성을 향상시키기 위한 용이하게 제어가능하고 저비용이 소요되는 방법을 제공한다. 연마 물품이 비교적 내구성이 강해지도록 고안되므로, 단일 연마 물품은 여러 연속 처리동작에 사용될 것이다.
본 발명의 다른 특징, 장점 및 구성은 본 발명의 바람직한 실시예와 도면에 대한 다음의 설명을 통해 더 명백히 이해될 것이다.
반도체 웨이퍼 구조
도1은 본 발명에 따른 처리에 사용하기 적합한 대표적인 반도체 웨이퍼(10)의 간략화된 도면이다. 도면을 간략화시키기 위해 예를들어 도핑된 지역, 활성 장치, 에피택셜층, 캐리어 및 전계 산화물 층과 같은 널리 알려진 특징부는 생략되어 있다. 웨이퍼(10)는 전면(2A) 및 후면(2B)을 갖는 반도체 베이스(1)를 갖는다. 반도체 베이스는 단결정 실리콘, 갈륨 아세나이드 및 본 기술분야에 공지된 다른 반도체 물질과 같은 어떠한 적합한 물질로도 구성가능하다. 전면(2A) 위에는 유전층(3)이 존재하며, 이 유전층(3)은 통상적으로 실리콘 이산화물을 포함하고 있다. 다른 적합한 유전층 또한 고려될 수 있다.
유전층(3)은 전면(8) 및 후면(7)을 갖는다. 유전층(3)의 전면 위에는 다수의 이산적인 제1 금속 인터컨넥트(4:예를들어, 금속 전도체 블록)이 존재한다. 이러한 제1 금속 인터컨넥트(4)의 각각은 측벽(9) 및 첨두벽(11)을 갖는다. 각각의 제1 금속 인터컨넥트(4)는 예를들어 알루미늄, 구리, 알루미늄 구리 합금, 텅스템 등으로 구성될 수 있다. 이러한 금속 인터컨넥트는 통상적으로 유전층(3) 상에 금속의 연속층을 번저 증착함으로써 구성된다. 이 금속은 그리고나서 에칭되며, 금속 인터컨넥트의 요구된 패턴을 형성하기 위해 여분의 금속이 제거된다. 그 후, 제1 절연층(5)은 각각의 제1 금속 인터컨넥트(4)의 상표면(11)에, 제1 금속 인터컨넥트(4) 및 유천층(3)의 전면(8) 위에 도포된다. 제1 절연층(5)은 통상적으로 BPSG(붕소인규산염 글래스), PSG(인규산염 글래스) 또는 그 화합물과 같은 금속 산화물이다. 이 결과의 절연층(5)은 전면(6)을 가지며, 이 전면(6)은 요구된 바와 같은 "평면화" 또는 "균일성"이 될 수 없을 수도 있다.
회로의 추가층이 포토그래피 처리에 의해 도포되기 전에, 요구된 정도의 "평면화" 및/또는 "균일성" 을 획득하기 위해 제1 절연층의 표면(6)을 처리하는 것이 요구되며, 개개의 웨이퍼, 이 웨이퍼가 사용될 응용 분야, 및 웨이퍼가 처리될 임의의 후속 처리 단계의 특성을 포함하는 많은 요소에 의해 표면 처리 정도가 좌우된다. 간략화시키기 위해, 본 명세서의 나머지 부분에 걸쳐 , 이 처리는 "평면화"로서 지칭될 것이다. 도2는 평면화(반도체 웨이퍼(20)로서 도2에 도시된) 후의 도1에 도시된 웨이퍼를 도시한다. 평면화의 결과, 절연층(5)의 전면(22)은 후속 포토그래피 처리가 새로운 회로 설계를 작성하기 위해 사용될 때 임계 치수 특징이 해소될 수 있도록 충분하게 평면형이 되어야만 한다. 이러한 임계 치수 특징은 회로 설계를 형성한다.
도1에 도시된 웨이퍼에 대한 평면화는 제1 절연층(5)을 발생시킨다: 그러나, 다른 층은 웨이퍼 제조 처리의 과정에서 평면화될 것이다. 실제로, 각각의 추가 절연 금속층이 금속 인터컨넥트 상에 도포된 후, 평면화가 요구될 것이다. 실제로, 이것은 1곳일 수도 있고 10곳 일수도 있으며, 또는 10개 층 이상의 회로, 금속 인터컨넥트 및 금속 산화물층일 수도 있다. 또한, 블랭크 웨이퍼는 우수하게 평면화되도록 요구될 것이다.
반도체 웨이퍼 파라미터
반도체 웨이퍼가 충분하게 평면화되었는 지를 판정하기 위한 종래의 기술을 사용하여 평가될 수 있는 여러 가지의 널리 알려진 성질이 있다. 이러한 성질은 평평도(TIR(Total Indicated Runout)의 단위로 측정됨), 표면 다듬질(평균 거칠기(Ra)의 단위로 측정됨), 및 디싱(dishing:평면화율의 단위로 측정됨)을 포함한다. 웨이퍼 표면상의 결함의 수와 유형 또한 평가될 수 있다.
TIR(Total Indicated Runout)
TIR 은 웨이퍼의 특정 지역 내에서의 웨이퍼의 "평평도"의 측정치이다. 웨이퍼의 전면 상에 회로 패턴을 작성하기 위해 포토그래피가 사용되므로, 웨이퍼 표면의 평평도는 회로 패턴을 작성하기 위해 사용된 전자기 복사선을 발생하는 기기의 포커스의 깊이 이하가 된다는 점이 중요하다. 반도체내의 특정 지역 또는 영역은 단일 노출 단계에서 노출된다. 그러므로, 2개의 상이한 지역이 2개의 상이한 노출 단계에 대응하므로, 반도체 웨이퍼의 한 지역은 반도체 웨이퍼의 다른 지역에 대해 상이한 평평도를 가질 것이다. 그러므로, 반도체 웨이퍼의 평평도를 측정함에 있어, 이러한 측정은 특정 지역 위에서 취해진다.
도1은 평면화 이전의 반도체 웨이퍼(250)의 지역을 도시한다. 웨이퍼(250)의 구조는 도1에 도시된 웨이퍼(10)의 구조와 유사하다. 특히, 반도체 웨이퍼(250)는 전면(253)과 후면(252)을 갖는 반도체 베이스(251)를 포함한다. 반도체 베이스(251)의 전면(253) 위에는 전면(255)을 갖는 유전층(254)이 존재한다. 유전충(254)의 전면(255) 위에는 복수의 금속 인터컨넥트(256,257,258)가 존재한다. 금속 인터컨넥트(256,257,258)의 위에 및 그 사이에 절연층(272)이 도포된다. 절연층(272)을 도포한 결과, 반도체 웨이퍼(250)의 최외각 표면은 요구된 바와 같은 "평면화" 및/또는 "균일성" 이 되지 않을 것이다.
TIR로 나타내지는 바와 같은 "평면화" 및/또는 "균일성"의 정도를 상정하는 한가지 유용한 방법은 도13 및 도14에 도시된 바와 같이 일련의 가상적인 기준 평면을 통해 결정하는 것이다. 도13에는 반도체 베이스(251)의 후면(252)으로부터 형성되는 제1 기준 평면(271)이 도시되어 있다. 이곳에서는 최외각 부분, 즉 반도체 웨이퍼(250)의 최외각 표면(273)의 최고 지점(270)을 교차 또는 접하는 제1 가상 평면(262)이 존재한다. 최저 지점(259)은 반도체 웨이퍼의 최외각 부분(273) 상의 최저 영역이다. 평면화 이전에, 제1 가상 평면(262)과 최저 지점(259)간의 거리는 통상적으로 약 0.5㎛ 이상이다. 일부 경우에, 제1 가상 평면(262)과 최저 지점(259)간의 거리(즉, TIR)는 0.5㎛ 이상이고, 간혹 0.8㎛ 이상 또는 심지어 1 내지 2㎛인 경우도 있다. 이 거리의 실제값은 부분적으로는 반도체 웨이퍼의 설계 및 제조에 좌우된다.
도14는 평면화 이후의 도13에 도시된 반도체를 도시한다(그러므로, 도2에 도시된 웨이퍼와 유사하다). 평면화된 반도체 웨이퍼(277)는 전면(253)과 후면(252)을 갖는 반도체 베이스(251)를 포함한다. 반도체 베이스(251)의 전면(253) 위에는 전면(255)을 갖는 유전층(254)이 존재한다. 유전층(254)의 전면(255) 위에는 복수의 금속 인터컨넥트(265,264,267)가 존재한다. 이들 금속 인터컨넥트 사이에는 절연층(263)이 존재한다. 평면화의 결과, 반도체 웨이퍼(277)의 최외각 표면(275)(고지점(276) 및 저지점(278)을 포함하는)은 수평적으로 더욱 균일하게 된다.
평면화의 범위는 도14에 도시된 기준 평면의 사용을 통해 상정될 수 있다. 반도체 베이스(251)의 후면(252)로부터 형성되는 제1 기준 평면(271)이 존재한다. 제2 가상 평면(268)은 최외각 부분, 즉 반도체 웨이퍼(277)의 최외각 표면(275)의 최고 지점(276)에 교차 또는 접한다. 최저 지점(278)은 반도체 웨이퍼(277)의 최외각 부분(275) 상의 최저 영역이다. 평면화의 결과, 웨이퍼의 특정 지역에 대해서는 이 지역 위의 최저 지점(278)과 제2 가상 평면(268)간의 거리(즉, TIR)가 약 5,000Å 미만인 것이 바람직하며, 약 1500Å 이하인 것이 더욱 바람직하다. 특수한 TIR 값은 개개의 웨이퍼, 이 웨이퍼가 사용될 응용분야, 및 이 웨이퍼를 처리하는 후속 처리 단계의 성질에 좌우된다. 금속 산화물 함유 웨이퍼의 평균 TIR은 일반적으로 약 1.0∼1.2㎛의 범위에서 약 2500Å 이상이다. 평면화 후, 평균 TIR은 약 2500Å 미만, 더욱 바람직하게는 약 2000Å 미만, 가장 바람직하게는 약 1500Å 미만이다. "평균 TIR"이라는 용어는 특정 영역에 걸쳐 얻어진 10개의 TIR 판독치를 서로 더하여 10으로 나눔으로써 얻어진 TIR을 의미한다.
TIR이 평가되는 지역은 3×3㎟, 5×5㎟, 15×15㎟ 및 30×30㎟의 면적을 갖는 것이 바람직하다. TIR값은 Tencor로부터 상업적으로 이용할 수 있는 예를들어 "Model P-2 Profilometer" 와 같은 프로필로미터를 사용하여 용이하게 측정된다.
표면 다듬질
웨이퍼의 표면 다듬질 또한 측정될 것이다. 널리 알려진 정량적인 값 Ra는 표면 다듬질의 측정치를 제공한다.
Ra는 통상적으로 미국 아리조나주의 턱슨에 소재한 Wyko Corp 에서 시판하는 Wyko TOPO-3D 인터페로미터와 같은 인터페로미터 또는 TENCOR 프로필로미터를 사용하여 측정된다. 평면화 후, 평면화된 표면은 약 20Å 미만, 더욱 바람직하게는 15Å 미만, 가장 바람직하게는 10Å 미만의 Ra값을 갖는다. "평균" 이라는 용어는 적어도 10개의 Ra 판독치를 구하고 이들 Ra값을 평균하여 구한 Ra 값을 의미한다.
디싱
다른 중요한 파라미터는 디싱이다. 도2를 참조하면, 디싱은 제1 금속 인터컨넥트(4)의 사이의 영역에서 제1 절연층의 일부가 제거되고 그 결과 제1 절연층(5)의 전체 높이(23)가 감소되는 곳의 현상을 지칭한다. 본 기술분야에서 널리 알려진 바와 같이, 디싱의 양은 요구된 지역에서 제거된 물질의 양을 요구되지 않은 지역에서 제거된 재료의 양에 대해 비교하는 평면화율에 의해 표시된다.
평면화율을 측정하기 위해 2개의 기구가 사용된다. 프로필로미터는 평면화 전후 모두의 측정에 사용된다. 선택적인 간섭/흡수 측정은 평면화 전후의 금속 인터컨넥트 사이의 영역에서의 산화물층의 두께를 측정하기 위해 사용된다. 각각의 영역으로부터 제거되는 양이 결정되고 그 비율이 계산된다.
평면화율에 대한 특수한 값은 개개의 웨이퍼, 이 웨이퍼가 사용될 응용분야, 및 이 웨이퍼가 처리될 임의의 후속 처리 단계의 성질에 좌우될 것이다. 일반적으로, 평면화율은 2이하가 되어야만 한다. 통상적으로, 적합한 비율은 약 1.0∼2.0, 바람직하게는 1.0∼1.6, 더욱 바람직하게는 약 1.0∼1.4, 및 가장 바람직하게는 1.0∼1.2 이다. 하나의 평면화율이 디싱이 발생하지 않도록 하는데 효과적인 것으로 판명되었기 때문에 통상적으로 하나의 평면화율이 바람직하다.
결함
반도체 웨이퍼가 충분하게 평면화되었는 지를 판정하기 위한 다른 중요한 파라미터는 평면화에 후속하여 처리된 웨이퍼 표면에 잔류하는 결함의 수이다. 한가지 유형의 결함은 웨이퍼 표면내의 바람직하지 않은 함몰, 혹은 "피트" 로서 본 산업 분야에 알려져 있다. 다른 결함은 "디그(dig)" 혹은 "스키드(skid)" 로서 알려져 있고, 이는 서로 인접해 있는 바람직하지 않은 일련의 조악한 스크래치를 나타낸다.
결함의 수와 유형은 레이저광 산란을 포함하는 공지의 기술을 사용하여 판정될 것이다. 일반적으로, 결함의 수를 감축시키도록 요구된다.
장치
도3은 본 발명에 따른 처리에 유용한 반도체 웨이퍼를 평면화하기 위한 매우 간단한 장치를 도시하고 있다. 이러한 유형의 장치 및 다수의 변형예와 다른 유형의 장치가 패드와 약결합 연마 슬러리를 폴리싱하는데 함께 사용하기 위해 본 기술분야에 널리 공지되어 있다. 적합한 상업적으로 이용가능한 장치의 일례로는 미국의 아리조나주의 피닉스에 소재한 IPEC/WESTECH 사에서 시판하는 CMO 머신이 있다.
도3에 도시된 바와 같이, 본 장치(30)는 모터(도시 생략)에 접속되는 헤드 유닛(31)을 포함한다. 처크(32)는 헤드 유닛(31)으로 부터 연장하고, 이러한 처크의 일례로는 짐발 처크(gimbal chuck)가 있다. 처크(32)는 상이한 힘을 수용할 수 있고 선회하도록 설계되어 연마 물품이 요구된 표면 다듬질 및 표면 상의 평평도를 여전히 제공할 수 있는 것이 바람직하다.
척크(31)의 단부에는 웨이퍼 홀더(33)가 존재한다. 웨이퍼 홀더(33)의 용도는 반도체 웨이퍼(34)를 헤드 유닛(31)에 고정시키는데 도움을 주고, 또한 반도체 웨이퍼가 평면화동안 이동되는 것을 방지한다. 웨이퍼 홀더는 반도체 웨이퍼를 수용하도록 설계되며, 원형, 타원형, 직사각형, 정사각형, 팔각형, 육각형, 오각형 등이 될 것이다.
일부 경우에, 웨이퍼 홀더는 멈춤 링(retaining ring)과 웨이퍼 지지 패드의 두 부분으로 구성된다. 멈춤 링(옵션임)은 일반적으로 반도체 웨이퍼의 원주 둘레에 끼워지는 일반적으로 원형의 장치가 가능하다. 웨이퍼 지지 패드는 하나 또는 그 이상의 구성요소, 예를들어 폴리우레탄 폼으로 제조될 것이다.
도3에 도시된 실시예에서, 웨이퍼 홀더(33)는 링 부분(33a)에서 반도체 웨이퍼(34)의 옆을 따라 연장한다. 링 부분(33a)은 별도의 부품일 수도 있고 홀더(33)와 일체로 형성될 수도 있다. 일부 경우에, 웨이퍼 홀더(33)는 웨이퍼 홀더(33)가 연마 코팅(42)에 접하거나 접촉하지 않도록 반도체 웨이퍼(34)를 지나쳐서 연장하지는 않을 것이다. 다른 예에서, 웨이퍼 홀더는 웨이퍼 홀더가 연마 코팅의 특징에 영향을 줄 수도 있는 경우에 연마 코팅에 접하거나 접촉하지 않도록 반도체 웨이퍼(34)를 지나 연장하지 않을 것이다. 예를들어, 웨이퍼 홀더(33)는 연마 코팅을 "상태조절"할 것이고, 평면화 동안 연마 코팅의 최외각 부분을 제거할 것이다.
웨이퍼 홀더 또는 멈춤 링은 연마 물품이 웨이퍼에 대한 평면화의 요구된 정도에 영향을 줄 수 있는 임의의 물질을 제외하여 구성될 수 있다. 적합한 물질의 예로는 중합체 물질을 포함한다. 예를들어, 웨이퍼 홀더 또는 멈춤 링은 미립자 충진된 고화된 열경화 수지 또는 고화된 열경화 수지가 주입된 기질과 같은 복합 물질로 구성될 것이다. 미립자 충진제가 웨이퍼 표면과 접촉하는 경우, 이들 충진제는 처리되는 표면을 형성하는 물질 보다 더욱 연성이어야만 한다. 금속 산화물 표면(예를들어, 실리콘 이산화물 표면)의 경우, 미립자 충진제는 약 6 미만의 모우스 경도를 가져야만 하는 것이 바람직하다. 이러한 용도에 적합한 충진제의 예로는 탈크, 집섬, 탄산칼슘염, 플루오라이트 애퍼타이트 등과 같은 물질을 포함한다. 금속 산화물 함유 표면의 경우에 적합한 것은 예를 들어 폴리에스테르, 폴리카보네이트, 폴리아미드, 폴리올레핀, 페놀 수지 등으로 구성되는 입자와 같은 중합체 충진제 입자이다.
미립자 충진된 복합물에 사용하기 위해 적합한 열가소성 수지의 예로는 페놀 수지, 에폭시 수지, 아크릴 수지, 요소 포름알데히드 수지, 멜라닌 포름알데히드 수지 등을 포함한다. 또한, 클로쓰 또는 비직물 기질에 열경화성 수지를 주입하고 이 열경화성 수지를 고화시켜 고화된 열경화성 수지가 주입된 기질을 형성하기가 용이하다. 이러한 클로쓰의 예로는 면 클로쓰, 나일론 클로쓰, 및 폴리에스테르 클로쓰를 포함한다. 상기 기질은 또한 종이 기질과 같은 비직물 기질일 수도 있다.
웨이퍼 홀더에 적합한 상업적으로 이용가능한 예로는 Rodel 에 의해 "G-10", "NTA" 및 "0500-RB" 의 상표명으로 시판되는 웨이퍼 홀더를 포함한다.
도3을 참고하면, 웨이퍼 홀더(33)는 통상적으로 약 10∼500㎜, 바람직하게는 약 15∼250㎜, 더욱 바람직하게는 약 20∼300㎜의 직경을 가질 것이다. 웨이퍼 홀더(33)는 하나 또는 그 이상의 반도체 웨이퍼를 포함할 것이다. 일부 예에서는 웨이퍼 홀더당 1∼40개의 반도체 웨이퍼가 포함될 것이다.
웨이퍼 홀더(33)가 회전하는 속도는 특정 장치, 평면화 조건, 연마 물품, 및 요구된 평면화 기준에 좌우될 것이다. 그러나, 일반적으로, 웨이퍼 홀더(33)는 약 2∼1,000rpm, 통상적으로는 약 5∼500rpm, 바람직하게는 약 10∼300rpm, 더욱 바람직하게는 약 30∼150rpm 사이에서 회전한다. 웨이퍼 홀더가 지나치게 저속으로 또는 지나치게 고속으로 회전하는 경우, 요구된 절단율이 회득되지 않을 것이다.
웨이퍼 홀더(33)는 원 형태, 나선형 형태, 비균일한 형태, 도8과 같은 타원형 형태, 코르크나사 형태(corkscrew), 또는 불규칙 움직임 형태로 회전할 것이다. 바람직한 모드는 웨이퍼 홀더로 하여금 원형태로 회전하도록 하는 것이다. 이와 달리, 웨이퍼 홀더(33)가 회전할 때, 웨이퍼 홀더는 발진 또는 진동할 것이다.
연마 물품은 통상적으로 약 10∼200㎝, 바람직하게는 약 20∼150㎝, 더욱 바람직하게는 약 25∼100㎝의 직경을 가질 것이다. 연마 물품은 약 5∼10,000rpm, 통상적으로 약 10∼1000rpm, 및 바람직하게는 10∼250rpm 으로 회전할 것이다. 반도체 웨이퍼와 고착된 연마 물품 모두가 동일 방향으로 회전하는 것이 바람직하다. 그러나, 반도체 웨이퍼 및 연마 물품은 반대 방향으로도 회전할 수 있다.
평면화될 외표면(36)을 갖는 반도체 웨이퍼(34)는 이 웨이퍼가 예를들어 기계적 수단(예를들어, 클램핑), 진공 또는 접착 수단(예를들어, 감압성 접착제 또는 왁스)을 사용하여 웨이퍼 홀더에 대해 거의 균일하게 유지되도록 웨이퍼 홀더(33)에 고정된다. 반도체 웨이퍼(34)와 웨이퍼 홀더(33)간의 계면(35)은 요구된 정도의 평면화가 획득되도록 하기 위해 비교적 평평하고 균일해야만 하는 것이 바람직하다.
장치(30)는 또한 연마제(42)를 갖는 연마 물품(39)을 유지하는 베이스 유닛(41)을 갖는다. 베이스 유닛(41)은 일반적으로 금속과 같은 강성의 물질로 구성된다. 서브 패드(40)는 베이스 유닛(41)에 연결되고, 연마 물품(39)에 부착된다. 일반적으로, 서브 패드는 평면화 동안 고착된 연마 물품이 전체 반도체 웨이퍼 표면을 평면화할 수 있도록 탄성을 가져야만 된다. 서브 패드는 폴리우레탄 폼과 같은 유연한 물질로 구성되는 것이 바람직하다.
바람직한 실시예는, 복수의 연마 입자와 결합제를 소정 패턴의 형태로 포함하는 3차원 직물 연마 코팅이 배치되는 백킹을 갖는 고착된 연마 물품과, 고착된 연마 물품의 백킹과 일반적으로 동축 연장하는 서브 패드를 포함한다. 서브 패드는, 약 100MPa 미만의 영의 계수 및 약 60%의 압축시에 잔여 응력을 갖는 적어도 하나의 탄성 구성요소와, 상기 탄성 구성요소와 고착된 연마 물품간에 개재되어 일반적으로 동축 연장하는 적어도 하나의 강성 구성요소를 포함하며, 상기 강성 구성요소가 상기 탄성 구성요소보다 큰 영의 계수를 가져 적어도 약 100MPa인 것을 특징으로 한다. 적합한 서브 패드 구성은 미국 특허 출원 번호 08/694,357에 개시되어 있다.
연마 물품을 서브 패드에 접착시키기 위해 사용된 수단은 평면화 동안 평평하고 강성을 갖는 연마 물품을 유지하는 것이 바람직하다. 바람직한 부착 수단은 감압성 접착제(예를들어, 필름 또는 테이프의 형태)이다. 이 용도에 적합한 감압성 접착제의 대표적인 예로는 라텍스 크렙(latex crepe), 수지, 아크릴 중합체 및 공중합체(예를들어, 폴리부틸아크릴산염 및 다른 폴리아크릴산염 에스테르), 비닐 에테르(예를들어, 폴리비닐 n-부틸 에테르), 알키드 접착제, 고무 접착제(예를들어, 천연 고무, 합성 고무, 염소작용된 고무(chlorinated rubber)), 및 그 혼합물을 포함한다. 한가지 바람직한 감압성 접착제는 이소옥틸아크릴산염:아크릴산 공중합체이다. 감압성 접착제는 종래의 기술을 사용하여 연마 물품의 후면측 상에 적층 또는 코팅되는 것이 바람직하다.
한가지 바람직한 실시예에서, 연마 물품은 한 표면상에서 연마 코팅을 지지하는 백킹을 갖고 백킹 표면의 반대 표면에 감압성 접착제 코팅을 갖는 코팅된 연마 물품이며, 상기 감압성 연마 코팅은 2개의 층을 포함하는데, (ⅰ)제1 층은 감압성 접착제 코팅을 포함하고, 코팅된 연마 백킹의 후면과 직접 접촉하는 내표면과 그 반대의 외표면을 가지며, (ⅱ)제2 층은 상기 제1 층의 외표면 상에 위치하고, 상기 제1 층위에 거의 규일하게 분산되고 상기 제1 층으로부터 돌출하는 입자의 덩어리를 포함하며, 상기 입자 덩어리의 적어도 최외각 영역은 감압성 접착제가 없다. 이러한 유형의 감압성 접착제 코팅은 미국 특허 번호 5,141,790에 더욱 상세히 개시되어 있다.
많은 예에서, 연마 물품은 통상 25㎝ 이상, 종종 36㎝ 이상 및 간혹 50㎝ 이상의 직경을 갖는 디스크의 형태이다. 이러한 큰 직경의 디스크를 가지고는 서브 패드 상에 연마 디스크를 정확하게 위치시키는 것이 용이하지 않다. 층을 이루는 이 감압성 접착제 구성은 적절한 위치가 발견될 때까지 디스크로 하여금 슬라이드하도록 하고 추가의 압력이 가해져 연마 디스크가 서브 패드에 고정되도록 하는 수단을 제공한다.
연마 물품은 또한 후크 및 루프형 부착 시스템을 사용하여 서브 패드에 고정될 것이다. 루프 직물이 연마 물품의 후면 상에 존재되고, 후크가 서브 패드 상에 존재될 것이다. 이와 달리, 후크가 연마 물품의 후면 상에 존재될 것이고, 루프가 서브 패드 상에 존재될 수도 있다. 후크 및 루프형 부착 시스템은 미국 특허 번호 4,609,581; 5,254,194; 5,505,747 및 PCT WO95/19242에 더욱 상세히 개시되어 있다.
도3을 참고하면, 저장기(37)는 튜빙(38:tubing)을 통해 반도체 웨이퍼와 연마 코팅(42) 사이의 계면내로 펌핑되는 액상 매질(43:더 상세히 하술됨)을 유지한다. 평면화 동안 연마 물품과 반도체 웨이퍼 사이의 계면으로의 액상 매질의 지속적인 흐름이 존재하는 것이 바람직하다. 액상 매질 흐름율은 통상적으로 약 10∼500㎖/분, 바람직하게는 약 25∼250㎖/분의 범위를 갖는다.
동작 조건
평면화 동작은 웨이퍼 표면에 결함을 형성할 수도 있는 오염물의 존재를 최소화시키기 위해 클린룸에서 시행되는 것이 바람직하다. 예를들어, 평면화는 클래스 10,000, 클래스 1,000 또는 클래스 100 클린룸에서 시행될 것이다.
평면화 처리에 영향을 주는 변수는 웨이퍼 표면과 고착된 연마 물품간의 적합한 접촉 압력의 선택, 액상 매질의 유형, 웨이퍼 표면과 고착된 연마 물품간의 상대 속도 및 상대 움직임, 및 액상 매질의 흐름율을 포함한다. 이러한 변수들은 상호 좌우되고, 평면화되는 개개의 웨이퍼 표면에 의거하여 선택된다.
일반적으로, 단일 반도체 웨이퍼에 대한 다수의 평면화 단계가 존재할 수 있기 때문에, 반도체 웨이퍼 산업은 평면화 처리가 절연층의 상대적으로 높은 제거율을 초래할 것이라고 예측하고 있다. 절연층이 실리콘 이산화물와 같은 금속 산화물인 경우, 절단율은 분당 적어도 100Å, 바람직하게는 적어도 분당 500Å, 더욱 바람직하게는 분당 1000Å, 가장 바람직하게는 분당 1500Å이 되어야만 한다. 일부 경우에, 절단율은 분당 적어도 2000Å 및 심지어는 3000Å 또는 4000Å이 되어야 바람직할 것이다. 평면화 동안 제거되는 물질이 규산염보다 더 단단하고 및/또는 화학적으로 내구성이 강한 경우, 절단율은 더 낮아지게 될 것이다. 이와 반대로, 평면화 동안 제거되는 물질이 규산염보다 더 연성인 경우, 절단율은 더 높아지게 될 것이다. 그러나, 일반적으로 높은 절단율을 갖는 것이 바람직하기는 하지만, 절단율은 웨이퍼 표면의 요구된 토포그래피에 손상을 입히지 않도록 선택되어야만 한다.
평면화 동안, 일반적으로 연마 물품이 전체 웨이퍼 표면에 걸쳐 일정한 절단율을 제공하는 것이 바람직하다. 또한, 일반적으로 연마 물품이 우수한 처리 제어를 달성하기 위해 연속적인 평면화 단계 사이에서 일정한 절단율을 제공하는 것이 바람직하다.
연마 물품과 반도체 웨이퍼간의 계면 압력(즉, 접촉 압력)은 바람직하게는 약 20psi 이하, 더욱 바람직하게는 약 10psi 이하, 더더욱 바람직하게는 8psi 이하, 및 가장 바람직하게는 5psi 심지어 3psi 정도로 낮다. 본 발명에 따른 방법에 사용된 고착된 연마 물품은 낮은 계면 압력에서 여전히 우수한 절단율을 제공하는 것으로 판명되었다. 더 낮은 압력이 평면화를 향상시키고 디싱을 감소시키며 더 적은 중량의 평면화 장치의 사용이 가능하기 때문에, 더 낮은 압력이 요구된다. 또한, 평면화 처리에서의 둘 또는 그 이상의 처리 조건이 사용될 것이다. 예를들어, 제1 처리부는 제2 처리부보다 더 높은 계면 압력을 포함한다. 웨이퍼 및/또는 연마 입자의 회전 속도 또한 평면화 처리 동안 변화될 것이다.
평면화 처리는 웨이퍼에 역효과를 주거나 손상을 입히지 않고서 요구된 평면화를 제공하기 위해 평면화되는 웨이퍼 표면의 조성물에 의거하여 선택되는 액상 매질의 존재시에 시행되는 것이 바람직하다.
일부 경우에, 액상 매질은 화학적 기계적 폴리싱 처리를 통해, 고착된 연마 물품과 함께 평면화에 기여한다. 일례로서, SiO2의 화학적 폴리싱은 액상 매질내의 기본 화합물이 SiO2와 반응하여 실리콘 수산화물의 표면층을 형성할 때 이루어 진다. 기계적 처리는 연마 물품이 표면으로부터 금속 수산화물을 제거할 때 이루어 진다.
화학적 기계적 폴리싱 처리는 여러 이유로 바람직하다. 물질이 기계적 처리에 의해서만 제거되는 경우, 연마 물품은 반도체 웨이퍼의 표면내로 조악한 스크래치를 입히는 경향이 있다. 물질이 화학적인 처리에 의해서만 제거되는 경우, 물질의 제거는 등방성으로 이루어져 평면화가 발생하지 않을 것이다.
액상 매질의 pH는 성능에 영향을 줄 것이고, 화학적 조성물 및 웨이퍼 표면의 토포그래피를 포함하여 평면화되는 웨이퍼 표면의 성질에 기초하여 선택된다. 예를들어, 웨이퍼 표면이 금속 산화물(예를들어, 실리콘 이산화물)을 함유하는 곳과 같은 일부 경우에, 액상 매질은 5이상의 pH, 바람직하게는 6이상의 pH, 더욱 바람직하게는 10 이상의 pH를 갖는 수성 매질이 될 것이다. 일부 경우, pH는 10.5∼14.0, 바람직하게는 10.5∼12.5의 범위를 가질 것이다. 금속 산화물 함유 웨이퍼 표면에 대해 적합한 액상 매질의 예는 포타슘 수산화물, 나트륨 수산화물, 암모니아 수산화물, 리튬 수산화물, 마그네슘 수산화물, 칼슘 수산화물, 바륨 수산화물과 같은 수산화물 화합물과 아민 등과 같은 기본 화합물을 함유하는 수성 용액을 포함한다. 기본 액상 매질은 예를들어 포타슘 수산화물과 리튬 수산화물의 혼합물과 같은 하나 이상의 기본 물질을 함유할 수도 있다. 금속 수산화물 함유 액상 매질의 예로는 탈이온수 또는 증류수에 포타슘 수산화물 농도가 약 0.1∼0.5%의 범위(예를들어, 약 0.25%)로 존재하는 포타슘 수산화물 용액이 있다.
다른 경우, 또한 금속 산화물 함유 웨이퍼 표면에 유용한 pH는 적어도 약 4.5, 통상적으로는 적어도 약 5, 바람직하게는 적어도 약 6이다. 예를들어, 액상 매질은 증류수 또는 탈이온수가 가능하며, 통상적으로 약 6∼8 범위의 pH를 갖는다.
액상 매질은 또한 화학적 에칭 물질을 포함할 것이다. 어떠한 이론에 의해 규정될 필요없이, 화학적 식각제는 반도체 웨이퍼의 최외각 표면을 "공격"하고 이 최외각 표면과 반응하는 것이 가능하다. 본 발명의 연마 물품은 반도체 웨이퍼의 최외각 표면에 형성된 결과적인 물질을 제거한다. 화학적 식각제의 예로는 강산(예를들어, 황산, 플루오르화수소산 등) 및 산화제(예를들어, 과산화물)를 포함한다.
리튬 매질은 또한 고착된 연마 물품의 표면을 브레이크 다운시켜 평면화 동안 물품의 침식성을 증가시킬 수 있다. 예를들어, 연마 물품이 수용성 결합제 또는 우드 펄드와 같은 수민감성 충진제를 함유하는 연마 코팅을 포함하는 곳에서, 수분 함유 액상 매질은 물에 용해되거나 또는 연마 코팅내로 흡수되어 침식성을 향상시키는 결과를 발생할 것이다.
액상 매질은 또한 계면활성제, 습윤제, 완충제, 녹 방지제, 윤활제, 소프 등과 같은 첨가제를 포함할 수 있다. 이러한 첨가제는 그 밑의 반도체 웨이퍼 표면에 손상을 주지 않고서 요구된 장점을 제공하기 위해 선택된다. 예를들어, 윤활제는 평면화 동안 고착된 연마 물품과 반도체 웨이퍼 표면 사이의 마찰력을 감소시키기 위한 목적으로 액상 매질에 포함될 것이다. 이 윤활제는 평면화 이전에 액상 매질에 포함되거나, 또는 평면화 동안의 제2 스트림의 형태로 제공될 것이다. 적합한 윤활제의 예로는 지방산(예를들어, 아연 스테아르산염, 칼슘 스테아르산염 및 리튬 스테아르산염), 흑연, 운모, 몰리브덴 이황화물, 탈크, 폴리아미드, 붕소 질산염, 황화물, 왁스, 글리콜, 에테르, 글리세린, 실리콘 화합물, 폴리비닐 아세트산염, 폴리비닐 알콜, 에틸렌 산화물 중합체(예를들어, Union Carbide Corp. 에서 "Polyox"라는 상품명으로 시판하는 중합체), 및 그 화합물의 금속염을 포함한다.
무기 미립자 또한 액상 매질에 포함될 수 있다. 이러한 무기 미립자는 절단율에 도움을 줄 것이다. 이러한 무기 미립자의 예로는 실리카, 지르코니아, 탄산칼슘, 크로미아, 산화세륨, 세륨염(예를들어, 질화세륨), 가네트(garnet), 규산염, 및 이산화티타늄을 포함한다. 이러한 무기 미립자의 평균 입경은 약 1,000Å 미만, 바람직하게는 약 500Å 미만, 더욱 바람직하게는 약 250Å 미만이어야만 된다.
미립자 함유 액정 매질의 예에는 (a)증류수 또는 탈이온수가 바람직한 물내의 평균 입경이 약 1.0㎛ 미만인 콜로이드 산화세륨 입자, (b)증류수, 산화세륨 입자 및 포타슘 수산화물, (c)증류수, 산화세륨 입자 및 암모니아 산화물을 함유하는 매질을 포함한다.
미립자가 액상 매질에 첨가될 수도 있지만, 바람직한 액상 매질은 예를들어 약결합 연마 입자와 같은 무기 미립자가 거의 없다. 액상 매질은 1중량% 미만, 바람직하게는 0.1중량% 미만, 더욱 바람직하게는 0중량%의 무기 미립자를 함유한다.
액상 매질의 양은 표면으로부터 금속 수산화물 침전물의 제거에 도움을 주기에 충분한 것이 바람직하다. 여러 경우에, 기본 액상 매질 및/또는 화학적 식각제로부터 충분한 용액이 존재한다. 그러나, 일부 경우에, 기본 액상 매질에 첨가하여 평면화 계면에 존재하는 다른 용액을 갖도록 하는 것이 바람직하다. 이러한 제2 용액은 기본 액상 매질로부터의 용액과 동일할 수도 있고 상이할 수도 있다.
평면화 처리가 완료된 후, 반도체 웨이퍼는 통상적으로 본 기술분야에 공지된 과정을 통해 세척된다. 예를들어, 연마 물품은 연마 입자가 없는 폼 패드로 대체될 수 있고, 웨이퍼와 패드는 바람직하지 않은 표면 조각을 제거하기 위해 세척 매질의 제공시에 서로에 대해 이동될 수 있다. 세척 매질은 웨이퍼 표면에 거의 손상을 입히지 않고서 조각을 제거할 수 있도록 선택된다. 적합한 세척 매질의 예는 수도물, 증류수, 탈이온수, 유기 용매 등을 포함한다. 이들 세척 매질은 필요에 따라 세척 처리에 도움을 주기 위해 소프 또는 다른 첨가제를 포함할 수도 있다.
예를들어 평면화 처리 이전에 폴리싱 패드와 약결합 연마 슬러리가 사용되는 경우와 같은 여러 경우에, 후속 웨이퍼를 평면화할시에 윤기를 제거하고 일정한 성능을 유지하기 위해 폴리싱 패드를 "상태조절"할 필요가 있다. 그러나, 고착화된 연마 물품을 사용함으로써 별도의 상태조절 단계가 생략될 수 있어 시간 및 비용 모두를 절감할 수 있다.
그럼에도 불구하고, "닳은 연마 입자"를 를 제거하고 및/또는 바람직하지 않은 파편을 제거하여 평면화된 표면의 품질 및 고착된 연마 물품의 절단 성능을 향상시키기 위해 평면화 단계에 후속하여 고착된 연마 물품의 표면을 상태조절하는 것이 바람직한 환경도 존재한다. 이러한 환경에서, 고착된 연마 물품의 표면은 다이아몬드 상태조절 공구, 브러시, 결합된 연마제, 코팅된 연마제, 금속 막대, 워터젯 등으로 연마 표면을 접촉시키는 단계를 포함하는 널리 공지된 종래의 기술에 따라 상태조절될 것이다. 다른 기술은 레이저 또는 코로나 에너지(예를들어, 영국의 Sherman Treaters, Ltd 에서 시판하는 셔만 코로나 처리 장치를 사용하여)에 대한 노출을 포함한다.
상태조절 동작은 연마 물품이 평면화 장치 상에 잔류하는 동안 발행할 수 있다. 이와 달리, 연마 물품은 제거되어 상태조절된 후 다시 장치에 장착될 수도 있다. 일부 경우에, 반도체 웨이퍼는 평면화 후의 웨이퍼 홀더로부터 제거될 수 있으며, 웨이퍼 홀더는 연마 물품을 상태조절하기 위해 사용된다. 상태조절 툴 또한 웨이퍼 홀더 상에 위치되거나 연마 물품을 상태조절하기 위해 웨이퍼 홀더 대신에 설치될 수 있다.
연마 물품은 예를들어 연마 물품이 노출될 때 상태조절 툴에 접촉하게 되도록 평면화 장치를 상태조절 툴에 적합시킴으로써, 또는 웨이퍼 홀더가 평면화 동안 연마 물품을 필수적인 동시에 지속적으로 상태조절하도록 평면화 동안 웨이퍼 홀더가 연마 물품에 접촉하여 상태조절하도록 웨이퍼 홀더를 배열함으로써, 평면화 동안 상태조절될 것이다.
연마 물품
A. 일반 구조
본 발명의 처리는 3차원 직물 고착된 연마 물품으로 반도체 웨이퍼의 표면을 개질시키는 단계를 포함한다. 연마 물품은 예를들어 적어도 2회, 바람직하게는 적어도 5회, 더욱 바람직하게는 적어도 20회, 가장 바람직하게는 적어도 30회의 연마 처리를 완료할 수 있는 내구성이 강한 것이 바람직하다. 연마 물품은 바람직하게는 우수한 절단율을 제공하여야만 한다. 또한, 연마 물품은 수용가능한 평평도, 표면 다듬질 및 최소 디싱을 갖는 반도체 웨이퍼를 제공할 수 있는 것이 바람직하다. 물질, 요구된 직물, 및 연마 물품을 구성하기 위해 사용된 처리 모두는 이러한 기준이 충족되는 지에 대해 영향을 준다. 연마 물품 구조가 반도체 웨이퍼 평면화에 유용한 지의 여부를 판정하기 위한 한가지 수단은 아래에 개략 설명된 반도체 검사 과정에 따라 연마 물품 구조를 검사하는 것이다.
고착된 연마 물품은 백킹을 포함할 것이다. 이러한 물품의 일례는 도4에 도시되어 있다. 일반적으로, 연마 입자는 백킹에 결합된 연마 복합물 및/또는 연마 코팅을 형성하기 위해 결합제에 분산된다. 연마 물품(50)은 전면(58)을 갖는 백킹(59)을 포함한다. 연마 코팅(57)은 백킹(59)의 전면(58)에 결합된다. 연마 코팅(57)은 3차원이고, 복수의 연마 복합물(54)을 포함한다. 본 특정 실시예에서, 연마 복합제(54)는 피라미드형이다. 이러한 연마 복합제에서는 인접 연마 복합제와의 사이에 홈부 또는 밸리(53)가 존재한다. 이러한 연마 복합제는 제2 행의 연마 복합제가 제1 행과 오프셋되어 있는 것으로 도시된 하나 이상의 피라미드 연마 복합제의 행이 존재한다. 연마 복합제(54)는 결합제(55)내에 분산된 복수의 연마 입자(56)를 포함한다. 연마 복합제(54)의 최외각 지점(51)은 평면화 동안 반도체 웨이퍼와 접촉한다.
선택적으로, 고착된 연마 물품은, 직물 연마 표면(602)을 갖는 직물 3차원 연마 몸체를 포함하고 이 직물 연마 표면(602)이 결합제(603)에 연마 입자(601)가 분산되어 있는 복수의 피라미드형 연마 복합물(604)로 구성된 일체 구조에 의해 제공되는 성형된 연마 물품(600)을 도시하고 있는 도17에 예시된 바와 같이 별도의 백킹을 가질 필요가 없다.
본 명세서에 개시된 본 발명의 방법에 사용된 연마 물품에서, 연마 물품은 적어도 연마 물품의 일부 두께에 걸쳐 다수의 연마 입자가 존재하도록 "3차원"이다. 3차원 성질은 평면화 처리의 기계적 폴리싱 특징을 달성하기에 충분한 연마 입자가 존재하므로 내구성이 큰 연마 물품을 제공한다. 평면화 동안, 닳거나 사용된 연마 입자는 연마 물품으로부터 추방되도록 이론화되어 있어, 새로운 연마 입자를 노출시킨다. 추방된 연마 입자는 기기 유형, 동작 조건, 연마 물품의 선택 및 침식성의 정도에 좌우되어 액정 매질내에 분산될 것이다.
연마 물품은 또한 이 연마 물품과 관련된 "직물"을 갖는다. 즉, "직물된" 연마 물품이 된다. 이 점은 피라미드형 복합물이 돌출부가 되고 이 피라미드의 사이의 밸리가 홈부가 되는 도4 및 도17에 도시되고 전술된 연마 물품을 참고하여 알 수 있다. 어떠한 이론에 구속되지 않고서도, 이러한 직물은 다음과 같은 여러 기능 및 장점을 제공하는 것으로 이론화된다.
제1 기능은 본 명세서에 개시된 본 발명의 방법을 실행할 때 홈부가 전체 반도체 웨이퍼 표면에 걸쳐 액상 매질을 분포시키는데 도움을 주는 채널로서 작용할 것이라는 점이다. 홈부의 제2 기능은 닳은 연마 입자 및 반도체 웨이퍼 표면으로부터의 다른 파편을 제거하는데 도움을 주는 채널로서 작용한다는 점이다. 이 제2 기능은 또한 2개의 반도체 웨이퍼의 평면화 사이에 연마 물품을 상태조절하기 위한 필요성을 최소화시키는데 도움을 준다.
제3 기능은 "스틱션(stiction)"으로서 본 기술분야에 알려진 현상으로부터 연마 물품을 보호하는 기능이다. 연마 코팅이 직물된 것보다 더 매끄러운 경우, 덜 매끄러운 연마 코팅은 반도체 웨이퍼 표면에 들러 붙거나(stick) 또는 박히는(lodge) 경향을 갖는다. 스틱션은 연마 물품이 반도체 웨이퍼를 기계적으로 평면화시킬 수 없게 하는 결과를 야기한다. 스틱션은 또한 채널 평면화를 방지, 즉 액상 매질이 반도체 웨이퍼의 최외각 표면과 상호작용하는 것을 방지한다. 직물된 표면의 홈부에 의해 야기된 연마 코팅의 불연속성으로 인해, 스틱션은 최소화된다.
제4 기능은 돌출된 연마 코팅부 상에 더 높은 단위 압력을 가함으로써 연마 입자를 연마 표면에서 추출하고 새로운 연마 입자를 노출시키는데 도움을 줄 수 있다는 성능이다.
연마 물품은 또한 침식성, 즉 사용할 때에 제어가능하게 닳을 수 있는 것이 바람직하다. 침식성은 이러한 침식성으로 인해 추출된 연마 입자가 연마 물품으로부터 제거되어 새로운 연마 입자가 노출되는 결과를 발생하므로 이러한 침식성이 요구된다. 연마 물품이 3차원인 것이 바람직하기 때문에, 새로운 연마 입자의 충분한 공급이 보장된다. 연마 코팅이 침식성이 아닌 경우, 추출된 연마 입자는 연마 물품으로부터 적절하게 제거되지 않을 수도 있고, 이러한 경우 새로운 연마 입자가 노출되지 않을 것이다. 연마 코팅이 과도한 침식성을 갖는 경우, 연마 입자는 너무 빨리 추출되고, 이로 인해 연마 물품이 요구된 제품 수명보다 더 짧게 된다.
침식성의 정도는 또한 표면 직물, 연마 코팅 조성물, 평면화 조건 및 반도체 웨이퍼의 조성물의 함수이다. 연마 제품에 침식성을 부여하는 여러 방법이 있으며, 이 방법은 하술될 것이다.
본 발명의 연마 물품은 형상이 원형, 예를들어 연마 디스크의 형태인 것이 바람직하다. 원형 연마 디스크의 외곽 에지는 매끄러운 것이 바람직하며, 이와 달리 스캘럽(scallop) 형상이 될 수도 있다. 연마 물품은 또한 타원형의 형태, 또는 삼각형, 정방형, 장방형 등과 같은 다각형 형상이 될 수도 있다.
연마 물품은 다른 실시예에서는 연마 기술분야에서 통상적으로 연마 테이프 롤로서 지칭되는 롤의 형태로 제공될 것이다. 연마 테이프 롤은 크기면에서 약 10∼100㎜ 폭, 통상적으로 25∼500㎜ 폭의 범위를 가질 것이다. 또한, 연마 테이프 롤은 길이면에서 약 100∼500,000㎜, 통상적으로는 약 1,000∼100,000㎜의 범위를 가질 수 있다.
일반적으로, 연마 테이프 롤은 요구된 평면화 기준을 획득하도록 인덱스될 것이다. 인덱싱은 2개의 별도의 반도체 웨이퍼의 평면화 사이에서 발생할 것이다. 이와 달리, 인덱싱은 하나의 반도체 웨이퍼의 평면화 동안 발생할 수도 있다. 후자의 경우, 인덱싱 속도는 요구된 평면화 기준을 획득하도록 설정될 것이다. 종래의 연마 테이프의 인덱싱은 본 기술분야에 널리 공지되어 있다.
연마 물품은 또한 이음매없는 연마 벨트의 형태로 제공될 수 있다. 이러한 이음매없는 연마 벨트는 절편(splice)이 없을 수도 있고 절편을 포함할 수도 있다. 통상적으로, 이음매없는 연마 벨트는 적어도 하나의 아이들러 롤(idler roll) 및 접촉 롤 또는 접촉 플래튼(platen)에 걸쳐 횡단할 것이다. 접촉 롤 또는 접촉 플래튼은 연마 물품이 평면화 동안 반도체 웨이퍼와 접촉할 때 이 연마 물품의 후면을 지지한다.
3차원 직물된 고착된 연마 물품을 제공하는 한가지 방법은 일반적으로 다음과 같다. 결합제 선구물질(binder precursor)과 복수의 연마 그레인의 혼합물을 함유하는 슬러리는 직물된 표면의 요구된 형상의 반대모양이 되는 기공을 갖는 제조 툴 상에 도포된다. 백킹은 슬러리가 백킹의 표면을 습윤시키도록 제조 툴의 노출된 표면과 접촉하게 된다. 그러므로, 결합제는 적어도 부분적으로는 고화, 경화 또는 겔화될 수 있다. 그후, 연마 물품은 제조 툴로부터 제거되고, 이전의 단계에서 완전히 경화되지 않았다면 완전히 경화된다. 이와 달리, 슬러리는 백킹의 표면상에 도포될 수 있고, 그 후 제조 툴이 백킹 상의 슬러리와 접촉하게 될 수 있다. 연마 코팅은 백킹 상에 복수의 연마 "복합물"을 포함한다.
3차원 직물 고착된 연마 물품을 제공하는 다른 방법은 일반적으로 다음과 같다. 직물된 표면의 요구된 형상에 전반적으로 대응하는 외형을 갖는 백킹이 제공된다. 그리고나서, 결합제 선구물질 내의 연마 입자의 슬러리가 백킹의 외형 표면상에 코팅되고, 경화된 연마 코팅이 백킹의 외형에 전반적으로 대응하는 직물된 표면을 갖도록 하는 방식으로 경화된다. 이 방법의 한 특징에서, 양각된(embossed) 백킹이 연마 물품을 구성하도록 제공된다.
성능을 향상시키기 위해 또는 성능을 변경시키기 위해 3차원 직물 고착된 연마 물품에 대해 다른 변형이 이루어질 것이다. 예를들어, 연마 물품은 사용 전후 또는 사용 동안 유체의 통과를 허용하기 위해 연마층 및/또는 백킹을 관통하는 개구를 제공하도록 관통될 것이다.
이러한 연마 물품의 성분을 설명한다.
1. 백킹
연마 물품은 연마 코팅으로 제공된 백킹의 형태로 될 것이다. 반도체 웨이퍼 평면화를 위한 연마 물품의 바람직한 백킹은 두께가 매우 균일하다. 백킹의 두께가 충분히 균일하지 못한 경우, 평면화 후의 웨이퍼 표면과 웨이퍼 두께에서의 더 큰 변이가 발생할 것이다. 이러한 용도로 가요성 백킹과 더 강성인 백킹 모두를 포함한 다양한 백킹 물질이 적합하다.
대표적인 가요성 연마 백킹의 예는 중합체 필름, 초벌된 중합체 필름(primed polymer film), 금속박(metal foil), 클로쓰, 종이, 불카나이즈드 파이버(vulcanized fiber), 비직물과 이들의 가공물, 및 이들의 조합을 포함한다. 백킹의 한가지 바람직한 유형은 중합체 필름이다. 이러한 중합체 필름의 예는 폴리에스테르 필름, 폴리에스테르와 공중합체 필름, 미세기공형성된 폴리에스테르 필름, 폴리이미드 필름, 폴리아미드 필름, 폴리비닐 알콜 필름, 폴리프로필렌 필름, 폴리에틸렌 필름 등을 포함한다. 중합체 필름 백킹의 두께는 일반적으로 약 20∼1000㎛, 바람직하게는 50∼500㎛, 더욱 바람직하게는 약 60∼200㎛의 범위를 갖는다.
또한 중합체 필름 백킹과 연마 코팅간에 우수한 부착이 이루어져야만 한다. 여러 경우에, 중합체 필름 백킹의 코팅 표면은 부착을 향상시키기 위해 초벌된다. 프라이머는 표면 변경 또는 화학적 유형의 프라이머의 적용을 수반할 수 있다. 표면 변경의 예는 코로나 처리, UV 처리, 전자빔 처리, 불꽃 처리 및 표면적을 증가시키기 위한 스커핑(scuffing)을 포함한다. 화학적 유형의 프라이머의 예는 미국 특허 번호 3,188,265에 개시된 바와 같은 에텔렌 아크릴산 공중합체, 미국 특허 번호 4,906,523에 교시된 바와 같은 콜로이드 분산제, 미국 특허 번호 4,749,617에 개시된 바와 같은 아지리다인형(aziridine-type) 물질, 및 미국 특허 번호 4,563,388과 4,933,234에 교시된 바와 같은 복사선 이식 프라이머(radiation grafted primer)를 포함한다.
더 강성의 백킹의 예는 금속판, 세라믹판 등을 포함한다. 적합한 백킹의 다른 예는 미국 특허 번호 5,417,726에 개시되어 있다. 백킹은 또한 PCT 출원 WO93/12911에 개시된 바와 같이 중합체 물질내에 가라 앉은 파이버를 강화시킬뿐만 아니라 서로 적층된 2 또는 그 이상의 백킹으로 구성될 수 있다.
또한, 양각된 중합체 필름(예를들어, 폴리에스테르, 폴리우레탄, 폴리카보네이트, 폴리아미드, 폴리프로필렌, 또는 폴리에틸렌 필름) 형태의 백킹 또는 양각된 셀룰로스 백킹이 적합하다. 양각된 물질은 백킹을 형성하기 위해 양각되지 않은 물질에 적층될 수도 있다. 양각 패턴은 어떠한 직물이 될 수도 있다. 예를들어, 패턴은 6각형 어레이, 리지, 격자, 구체, 피라미드, 사절두 피라미드, 원추형, 육면체, 블록, 도로 등의 형태로 될 수 있다.
백킹은 또한 물리적인 성질을 개질시키기 위한 처리 장치를 포함할 것이다. 이러한 처리 코트는 연마 기술분야에 널리 공지되어 있으며, 아크릴산염계 처리 코트, 페놀산 처리 코트, 및 페놀산/라텍스 처리 코트를 포함한다.
백킹은 또한 예를들어 폴리우레탄 폼과 같은 중합체 폼과 같은 폼 백킹이 될 것이다.
감압성 접착제는 백킹의 비연마 측면에 적층될 것이다. 또한, 폼 기질이 백킹에 적층될 수 있다.
일부 경우에, 백킹의 후면 또는 후표면은 마찰 코팅을 포함할 것이다. 이 마찰 코팅은 마찰 코팅 결합제에 의해 백킹에 결합된 복수의 마찰 입자를 포함할 것이다. 마찰 입자는 요구된 마찰계수를 형성하기 위해 적합한 물질이 될 것이다. 적합한 마찰 입자의 예는 무기 입자 및 유기 입자 모두, 즉 연마 입자 및/또는 충진제 입자를 포함한다. 이와 달리, 마찰 코팅은 우레탄 코팅 또는 거칠은 유기 코팅과 같은 코팅을 포함할 것이다.
2. 연마 입자
연마 물품은 연마 입자와 결합제를 함유한다. 연마 입자는 결합제내에 균일하게 분산될 수도 있고 또는 이와 달리 비균일적으로 분산될 수도 있다. 일반적으로, 연마 입자가 균일하게 분산되어 그 결과의 연마 코팅이 더 일정한 절단 성능을 제공하는 것이 바람직하다.
반도체 웨이퍼 평면화를 위해, 미세 연마 입자가 선호된다. 연자 입자의 평균 입경은 약 0.001∼50㎛, 통상적으로는 0.01∼10㎛의 범위를 가질 것이다. 연마 입자의 입경은 통상적으로 연마 입자의 최장 치수로 측정된다. 거의 모든 경우에, 입경의 범위 또는 분포가 존재할 것이다. 일부 경우에, 입경 분포는 엄격하게 제어되어 이 결과의 연마 물품이 평면화 후의 웨이퍼 상에 매우 일정한 표면 다듬질을 제공하는 것이 바람직하다.
평면화가 금속 산화물 함유 층(예를들어, 실리콘 산화물 함유 층) 상에서 발생하는 경우, 연마 입자는 약 1㎛ 미만, 더욱 바람직하게는 약 0.5㎛ 미만인 것이 바람직하다. 일부 경우에, 입경 분포는 연마 입자가 없거나 또는 2㎛ 이상, 바람직하게는 1㎛ 이하의 입경을 갖는 연마 입자가 거의 없는 것이 바람직하다. 이러한 매우 작은 입경에서, 연마 입자는 입자간 인력에의해 집합하는 경향을 나타낼 것이다. 그러므로, 이러한 "집합체(aggregate)"는 약 1 또는 2㎛ 이상, 및 심지어는 5 또는 10㎛ 의 큰 입경을 가질 것이다. 평균 집합체 입경이 약 2㎛ 미만이 되도록 이러한 집합체를 분쇄하는 것이 바람직하다. 그러나, 일부 경우에, 집합체를 분쇄하는 것이 용이하지 않을 수도 있다. 일부 경우, 매우 작은 연마 입자가 분산되어 존재한다. 즉, 연마 입자가 결합제 선구물질에 첨가되기 전에 물과 같은 용액내에 분산된다. 용액은 기본, 중성 또는 산성중의 하나가 될 것이며, 계면활성제와 같은 표면 처리 물질을 포함할 것이다.
연마 입자는 단일의 입자 덩어리를 형성하기 위해 서로 결합된 복수의 개개 연마 입자를 포함하는 연마 단괴(abrasive agglomerate)의 형태로 될 수도 있다. 연마 단괴는 불규칙적으로 성형되거나 소정 형상을 가질 수도 있다. 연마 단괴는 연마 입자를 함께 결합하기 위해 유기 결합제 또는 무기 결합제를 이용할 것이다. 연마 단괴의 예는 미국 특허 번호 4,652,275, 4,799,939 및 5,500,273에 상세히 개시되어 있다. 연마 단괴는 약 100㎛ 미만의 입경을 갖는 것이 바람직하며, 더욱 바람직하게는 약 50㎛ 미만, 더더욱 바람직하게는 25㎛ 미만의 입경을 갖는다. 연마 단괴내의 개개의 연마 입자는 약 10㎛ 미만의 평균 입경을 갖는 것이 바람직할 것이다.
연마 입자는 이들의 물리적인 성질이 액상 매질에 노출시에 거의 저하되지 않도록 액상 매질에 대해 저항성을 갖는 것이 바람직하다. 적합한 연마 입자는 통상적으로 무기 연마 입자이다. 무기 연마 입자는 "강성의" 무기 연마 입자(즉, 8 또는 그 이상의 모우스 경도를 갖는 연마 입자) 및 "연성의" 무기 연마 입자(즉, 8 미만의 모우스 경도를 갖는 연마 입자)로 세분될 수 있다.
적합한 연마 입자의 예는 용융된 알루미늄 산화물, 열처리된 알루미늄 산화물, 화이트 퓨즈드 알루미늄 산화물(white fused aluminum oxide), 블랙 실리콘 카바이드, 그린 실리콘 카바이드, 티타늄 디보라이드, 탄화붕소, 질화규소, 탄화텅스텐, 탄화티타늄, 다이아몬드, 큐빅 보론 니트라이드, 헥사고날 보론 니트라이드, 가네트, 용융된 알루미나 지르코니아, 알루미늄계 졸 겔 유도된 연마 입자 등을 포함한다. 알루미늄계 졸 겔 유도된 연마 입자의 예는 미국 특허 번호 4,314,827; 4,623,364; 4,744,802; 4,770,671 및 4,881,951에 개시되어 있다. 다이아몬드 및 큐빅 보론 니트라이드 연마 입자는 단결정체 또는 다결정체가 될 것이다.
적합한 무기 연마 입자의 다른 예는 실리카, 산화철, 크로미아, 산화세륨, 지르코니아, 티타니아, 산화주석, 감마 알루미나 등을 포함한다. 금속 산화물 함유 웨이퍼 표면(예를들어, 실리콘 이산화물 함유 표면)의 평면화를 위해, 연마 입자는 8 미만의 모우스 경도를 갖는 것이 바람직하다. 이러한 입자는 연마 물품에 적절하게 포함되었을 때 평면화 동안의 웨이퍼 상에 대한 요구된 절단율 및 요구된 표면 다듬질을 제공한다. 일부 더 강성의 연마 입자는 산화물 함유 웨이퍼 표면에 대해 바람직하지 않게 조악한 표면 다듬질을 제공할 수 있고, 이로써 당업자의 능력 내에서 이루어질 수 있도록 적절한 연마 물질의 선택시에 주의가 요망되어야만 한다.
금속 산화물 함유 표면(예를들어, 실리콘 이산화물 함유 표면)의 경우, 산화세륨 연마 입자가 유용하다. 산화세륨 연마 입자는 Rhone Poulenc; 미국 커네티컷주에 소재한 Sheelton; 미국 뉴욕에 소재한 Transelco; 일본의 Fujimi; 미국 뉴저지주 페어필드에 소재한 Molycorp; 미국 매사츄세츠 샤베톤시에 소재한 American Rar Ox; 미국 일리아노주에 소재한 Nanophase 로부터 상업적으로 이용할 수 있다.
산화세륨 연마 입자는 본질적으로 변형제 또는 도팬트(즉, 다른 금속 산화물)가 없어야 할 것이다. 이와 달리, 산화세륨 연마 입자는 변형제 및/또는 도팬트(예를들어, 다른 금속 산화물)을 포함할 수도 있다. 일부 경우에, 이러한 금속 산화물은 산화세륨과 반응할 것이다. 2개 또는 그 이상의 산화물 변형제의 조합과 함께 산화세륨을 사용하기가 용이하다. 이러한 금속 산화물은 반응 제품을 형성하기 위해 산화세륨과 반응할 것이다. 통상적으로, 산화세륨 입자가 다른 금속 산화물을 포함하는 경우, 산화세륨의 상(phase)이 주도적인 상이 되는 것이 바람직하다.
지르코니아 연마 입자는 또한 본 발명에 사용된 연마 제품에 사용될 수 있다. 지르코니아 연마 입자는 용융 또는 소결 처리로 구성될 것이다. 또한, 지르코니아는 정방정계 형태, 단사정계 형태 또는 정방정계와 단사정계 형태의 조합으로 존재될 것이다. 산화세륨 입자의 경우에서와 같이, 지르코니아 입자는 변형제 또는 도팬트가 본질적으로 없을 수도 있고, 이러한 물질들을 포함할 수도 있다. 적합한 금속 산화물은 산화세륨 자체뿐만 아니라 산화세륨의 경우에서 전술된 것들을 포함한다. 한가지 바람직한 금속 산화물 변형제는 산화이트륨이다. 통상적으로, 지르코니아 입자가 또 다른 금속 산화물을 포함하는 경우, 일반적으로 지르코니아 상이 주도적인 상이 되는 것이 바람직할 것이다.
연마 물품은 또한 2개 또는 그 이상의 상이한 유형의 연마 입자를 포함할 수도 있다. 이 혼합물은 "강성의" 무기 연마 입자 및 "연성의" 무기 연마 입자의 혼합물 또는 2개의 "연성의" 연마 입자의 혼합물을 포함한다. 2개 또는 그 이상의 상이한 연마 입자의 혼합물에서, 개개의 연마 입자는 동일한 평균 입경을 갖거나 또는 상이한 평균 입경을 가질 것이다.
연마 물품은 또한 이 입자와 관련된 형상을 갖는다. 이러한 형상의 예는 막대형, 삼각형, 피라미드, 원추형, 고체 구형, 기공의 구형 등을 포함한다. 이와 달리, 연마 입자는 예를들어 블록 또는 바늘과 같이 불규칙적으로 형상될 수도 있다. 일부 경우, 연마 입자의 표면을 개질시키는 첨가제를 포함하는 것이 바람직하다. 이러한 첨가제는 결합제 선구물질내의 연마 입자의 분산도를 향상시키고 및/또는 결합제 선구물질 및/또는 결합제에 대한 부착을 향상시킬 것이다. 표면 처리는 또한 그 결과의 연마 입자의 절단 특성을 변경 및 향상시킬 것이다. 표면 처리는 또한 연마 물품을 제조하기 위해 사용된 슬러리의 점성을 상당히 낮추고, 이로써 더 용이한 제조 처리를 제공할 수 있을 것이다. 더 낮은 점성은 더 높은 백분율의 연마 입자가 슬러리에 포함되도록 한다. 표면 처리의 또 다른 가능한 장점은 연마 물품의 제조 동안 연마 입자의 단괴를 최소화시킬 수 있다는 점이다.
연마 입자는 연마 입자 중량만을 기초로 약 25중량%까지의 양으로 표면 처리와 함께 처리될 것이다. 연마 입자는 표면 처리와 함께 처리되며, 그 양은 연마 입자 중량만을 기초로 약 0.5∼10중량%의 범위를 갖는다.
적합한 표면 개질 첨가제의 예는 습윤제(또한 간혹 계면활성제로도 지칭됨) 및 커플링제(coupling agent)를 포함한다. 커플링제는 결합제와 연마 입자간의 회합 다리(association bridge)를 제공할 것이다. 커플링제는 또한 결합제와 충진제 입자간의 회합 다리를 제공할 것이다(존재하는 정도까지). 적합한 커플링제의 예는 실란, 티탄산염 및 지르코알루미네이트를 포함한다. 상업적으로 이용가능한 커플링제의 예는 OSI 로부터의 "A174" 및 "A1230"을 포함한다. 상업적인 분산제의 예는 Kenrich Petrochemicals 에서 시판하는 "KR-TTS" 라는 상표명의 이소프로필 트리이소스테로일 티타네이트가 있다.
연마 물품을 구성하기 위해 커플링제를 슬러리내로 통합시키기 위한 각종의 수단이 존재한다. 예를들어, 커플링제는 결합제 선구물질에 직접적으로 첨가될 것이다. 연마 입자는 약 0∼30중량%의 커플링제, 바람직하게는 0.1∼25중량%의 커플링제를 포함할 것이다. 또 다른 모드에서, 커플링제는 결합 물품내에 통합되기 전에 연마 입자의 표면에 도포될 것이다. 연마 입자는 연마 입자와 커플링제의 총중량을 기초로 약 3중량%까지의 커플링제를 포함할 것이다.
또한 연마 입자를 하나 이상의 커플링제로 처리하거나 또는 연마 입자에 대해서는 제1 커플링제를 이용하고 충진제 입자에 대해서는 제1 커플링제와 상이한 제2 커플링제를 이용하기가 용이해진다(하술됨).
산화세륨이 연마 입자로서 사용되는 경우, 산화세륨은 결합제 선구물질내로 첨가되기 전에 커플링제로 처리될 것이다. 이러한 커플링제의 예는 이소프로필 트리이소스테올릴 티타네이트이다.
계면활성제 또한 첨가제로서 사용될 것이다. 계면활성제의 예는 금속 알코옥사이드, 폴리알킬렌 산화물, 긴사슬 패티산의 염 등을 포함한다. 계면활성제는 계면활성제가 연마 입자 및 결합제 선구물질 모두와 양립할 수 있는 한 양이온, 음이온, 양쪽성 또는 비이온이 될 것이다. 바람직한 계면활성제의 예는 미국 커넥티컷의 월링포드에 소재한 Byk Chemie 에서 시판하는 "Disperbyk 111" 라는 상표명의 음이온 분산제 및 미국 델라웨어주의 윌밍톤에 소재한 ICI Chemicals 에서 시판하는 "Hypermer KD2"라는 상표명의 폴리에틸렌 산화물계 분산제를 포함한다. 일부 경우에, 표면 처리는 연마 입자의 제조 처리 동안 연마 입자 제조업체에 의해 가해진다. 예를들어, 표면 처리는 연마 입자를 구성하기 위해 분쇄 및/또는 걸러냄/분류 처리 동안 가해질 것이다.
연마 입자는 그 결과의 연마 그레인의 연마 특성을 변경시키기 위해 표면 코팅을 포함할 것이다. 이러한 표면 코팅의 적합한 예는 예를들어 미국 특허 번호 5,011,508; 1,910,444; 3,041,156; 5,009,675; 4,997,461; 5,213,591; 5,085,671; 및 5,042,991에 개시되어 있다. 이러한 연마 입자는 또한 다중 코팅을 포함할 수 있다.
3. 충진제 입자
연마 입자는 각종 이유로 충진제 입자를 옵션으로 포함할 수 있다. 충진제는 연마 입자의 침식성을 변경시킬 것이다. 적절한 충진제 및 양을 갖는 일부 예에서, 충진제는 연마 물품의 침식성을 감소시킬 것이다. 이와 반대로, 적절한 충진제 및 양을 갖는 일부 예에서, 충진제는 연마 물품의 침식성을 증가시킬 것이다. 충진제는 또한 연마 물품의 비용을 감소시키고 슬러리의 레올로지(rheology)를 변경시키며 및/또는 연마 물품의 연마 특성을 변경시키도록 선택될 것이다. 충진제는 요구된 평면화 기준에 악영향을 끼치지 않도록 선택되어야만 한다.
충진제는 웨이퍼 표면을 상당한 정도로 연마시키지 않는 미립자 물질이며, 그러므로, 물질이 "충진제"로서의 자격을 갖고 있는 지의 여부는 처리되는 특정 웨이퍼 표면에 좌우될 것이다. 그러므로, 물질이 한 웨이퍼 표면에 관련해서는 충진제로서 작용하도록 하고 다른 웨이퍼 표면에 관련해서는 연마 입자로서 작용하도록 하는 것이 가능하다.
충진제 입자는 일반적으로 0.1∼50㎛, 통상적으로는 1∼30㎛의 평균 입경 범위를 갖는다. 또한, 충진제는 통상적으로 5 미만, 더욱 바람직하게는 4 미만, 가장 바람직하게는 약 3 미만의 모우스 경도를 갖지만, 이 경도 범위를 벗어나는 충진제도 유용할 것이다. 본 발명에 유용한 충진제의 예는 금속 탄산염(탄산칼슘(초크(chalk), 방해석, 이회토(marl), 트래버틴(travertine), 대리석 및 석회석), 탄산칼슘마그네슘, 탄산나트륨, 탄산마그네슘 등); 실리카(석영, 글래스 비드, 글래스 버블 및 글래스 파이버 등); 실리케이트(활석, 점토(몬트모릴로나이트(montmorillonite) 등), 장석, 운모, 칼슘 실리케이트, 칼슘 메타실리케이트, 나트륨 알루미노실리케이트, 나트륨 실리케이트 등); 금속 황산염(황산칼슘, 황산바륨, 황산나트륨, 황산알루미늄나트륨, 황산알루미늄 등); 석고, 질석, 목분(wood flour), 알루미늄 트리히드레이트, 카본 블랙, 특정 금속 산화물(산화칼슘(석회) 등), 알루미나, 산화주석(예를들어, 스태닉 옥사이드), 이산화티타늄, 금속 아황산염(아황산칼슘 등), 열가소성 입자(예를들어, 폴리카보네이트, 롤리에테르이미드, 폴리에스테르, 폴리에틸렌, 폴리술폰, 폴리스티렌, 아크릴로니트릴-부타디엔-스티렌 블록 공중합체, 폴리프로필렌, 아세트 중합체, 폴리우레탄, 나일론 입자); 및 열경화 입자(페놀 버블, 페놀 비드, 폴리우레탄 폼 입자, 페놀 수지, 아미노플라스트 수지, 우레탄 수지, 에폭시 수지, 멜라민-포름알데히드, 아크릴산염 수지, 아크릴레이티드 이소시아누레이트 수진, 우레아-포름알데히드 수지, 이소시아누레이트 수지, 아크릴레이티드 우레탄 수지, 아크릴레이티드 에폭시 수지 등)을 포함한다. 충진제는 또한 할로겐화물 염과 같은 염을 포함할 수 있다. 할로겐화물 염의 예는 나트륨 클로라이드, 포타슘 크리올라이트, 나트륨 크리올라이트, 암모니아 크리올라이트, 포타슘 테트라플루오로보레이트, 나트륨 테트라플루오로보레이트, 실리콘 플루오라이드, 포타슘 클로라이드, 마그네슘 클로라이드를 포함한다. 금속 충진제의 예는 주석, 납, 비스무스, 코발트, 안티몬, 카드뮴, 철, 티타늄을 포함한다. 다른 이종 충진제는 황, 유기 황화합물, 흑연, 질화붕소 및 금속 설파이드를 포함한다. 전술된 충진제의 예는 일부 유용한 충진제를 대표적으로 나타낸 것으로, 모든 유용한 충진제를 포함하는 것을 의미하지는 않는다.
하나의 유용한 충진제는 탄산칼슘이다. 다른 유용한 충진제는 마그네슘 실리케이트, 알루미늄 실리케이트 및 칼슘 실리케이트와 같은 실리케이트를 포함한다. 탄산칼슘의 평균 입경은 약 0.01∼15㎛, 바람직하게는 약 0.05∼10㎛의 범위를 가질 것이다. 일부 경우에, 더 넓은 입경 분포를 획득하기 위해 2 또는 그 이상의 상이한 입경의 탄산칼슘 충진제의 혼합을 사용하는 것이 바람직하다.
충진제는 연마 입자의 경우에서 설명된 바와 같은 표면 처리 장치에 제공될 것이다. 탄산칼슘 충진제 입자를 위한 계면활성제의 예는 ICI Chemical Inc. 에서 시판하는 "LPI" 가 있다.
산화세륨 연마 입자 및 하나 또는 그 이상의 충진제 입자를 포함하는 연마 복합물은 금속 산화물 함유(예를들어, 실리콘 이산화물 함유) 웨이퍼 표면을 개질시키기 위해 유용하다. 산화세륨 연마 입자는 평면화 이후에 반도체 웨이퍼에 대한 요구된 표면 다듬질을 제공하기 위해 약 0.02∼2㎛의 평균 입경을 갖는 것이 바람직하다.
금속 산화물 함유(예를들어 실리콘 이산화물 함유) 웨이퍼 표면을 개질시키기에 유용한 한가지 연마 조성물은 약 0.5㎛의 평균 입경을 갖는 산화세륨 연마 입자 및 약 0.1∼10㎛ 사이의 크기 분포를 갖고 평균 입경이 5㎛ 이상인 탄산칼슘을 함유한다. 산화세륨 입자 및 탄산 칼슘 입자의 전체적인 입경 분포는 비교적 광범위한 분포 및 결합제내의 높은 입자 밀도의 결과를 낳을 것이다.
본 발명에 따른 금속 산화물 함유(예를들어, 실리콘 이산화물 함유) 웨이퍼 표면을 개질시키기에 유용한 제2 조성물은 지르코니아 연마 입자 및 탄칼슘과 같은 충진제를 함유한다. 다른 예에서, 연마 입자는 지르코니아와 산화세륨 연마 입자의 혼합물 및 선택적으로 탄산칼슘과 같은 충진제를 함유할 것이다. 이와 유사하게, 연마 입자는 지르코니아와 실리카 연마 입자의 혼합물 및 선택적으로 탄산칼슘과 같은 충진제를 함유할 것이다. 이와 달리, 연마 입자는 지르코니아 연마 입자, 산화세륨 염 및 선택적으로 탄산칼슘과 같은 충진제의 혼합물을 함유할 것이다. 또한, 연마 입자는 지르코니아 연마 입자, 실리카 착화작용제(sillica complexing agent), 및 선택적으로 탄산칼슘과 같은 충진제의 혼합물을 함유할 것이다.
4. 결합제
유기 수지
본 발명의 연마 입자를 위한 결합제는 유기 결합제 선구물질로 구성되는 것이 바람직하다. 결합제 선구물질은 코팅가능하게 되도록 충분하게 유동할 수 있고 그 후 고화되는 상을 갖는다. 고화는 경화(예를들어, 중합화 및/또는 교차결합) 및/또는 건조(예를들어, 액체를 추출) 또는 단순하게 냉각에 의해 달성될 수 있다. 선구물질은 유기용매계, 수계 또는 고용 성분이 100%(예를들어, 거의 용매가 없는)인 조성물이 될 수 있다. 열가소성 물질, 열경화성 물질 및 그 조성물은 결합제 선구물질로서 사용될 수 있다.
여러 예에서, 연마 코팅은 연마 입자와 결합제 선구물질의 혼합물을 함유하는 슬러리로 구성된다. 연마 코팅은 약 1∼90부의 연마 입자와 10∼99부의 결합제 사이의 중량으로 함유할 수 있다. 연마 코팅은 약 30∼85부의 연마 입자와 약 15∼70부의 결합제를 함유하는 것이 바람직하다. 연마 코팅은 약 40∼70부의 연마 입자와 약 30∼60부의 결합제 선구물질을 함유하는 것이 더욱 바람직하다.
결합제 선구물질은 경화가능한 유기 물질(즉, 열 및/또는 전자빔, 자외선, 가시광 등과 같은 다른 에너지원에 노출시에 또는 화학적 촉매, 습기 등의 첨가시에 시간이 지남에 따라 중합화 및/또는 교차결합이 가능한 물질)이 바람직하다. 결합제 선구물질의 예는 알킬레이티드 우레아-포름알데히드 수지, 멜라민-포름알데히드 수지 및 알킬레이티드 벤조구안아민-포름알데히드 수지 등의 아미노 수지(예를들어, 아미노플라스트 수지), 비닐 아크릴산염, 아크릴레이티드 에폭시, 아크릴레이티드 우레탄, 아크릴레이티드 폴리에스테르, 아크릴레이티드 아크릴릭, 아크릴레이티드 폴리에테르, 비닐 에테르, 아크릴레이티드 오일 및 아크릴레이티드 실리콘 등의 아크릴산염 수지(아크릴산염 및 메타아크릴산염을 포함), 우레탄 알키드 수지 등의 알키드 수지, 폴리에스테르 수지, 반응성 우레탄 수지, 리졸 및 노볼락 수지 등의 페놀 수지, 페놀/라텍스 수지, 비스페놀 에폭시 수지 등의 에폭시 수지, 이소시안산염, 이소시아누레이트, 폴리실록산 수지(알킬알콕시실란 수지를 포함하는), 반응성 비닐 수지 등을 포함한다. 이들 수지들은 단량체, 소중합체, 중합체 또는 이들의 조성물의 형태가 될 것이다.
2가지 유형의 페놀 수지(리졸 및 노볼락)의 모두가 유용하다. 리졸 페놀 수지는 1과 동일하거나 그 이상의 페놀에 대한 포름알데히드의 분자비, 통상적으로 1.5:1.0 내지 3.0:1.0 사이의 분자비를 갖는다. 노볼락 수지는 1:1 미만의 포름알데히드 대 페놀의 분자비를 갖는다. 상업적으로 이용가능한 페놀 수지의 예는 Occidental Chemicals Corp. 에서 제조한 상표명 "Durez" 및 "Varcum"; Monsanto 에서 제조된 "Resinox"; Ashland Chemical Co. 에서 제조한 "Arofene" 및 Ashland Chemical Co. 에서 제조한 "Arotap" 이 포함된다.
결합제 선구물질로서 적합한 라텍스 수지의 예는 아크릴로니트릴-부타디엔 에멀젼, 아크릴 에멀젼, 부타디엔 에멀젼, 부타디엔-스티렌 에멀젼 및 그 조성물을 포함한다. 이러한 라텍스 수지는 Romh and Hass Company 에서 제조한 "Rhoplex" 및 "Acrylsol"과, Air products & Chemical Inc. 에서 제조한 "Flexcryl" 및 "Voltac" 과, Reichold Chemical Co. 에서 제조한 "Synthemal" 및 "Tylac" 과, B.F. Goodrich 에서 제조한 "Hycar" 및 "Goodrite"와, Goodyear tire and Rubber Co. 에서 제조한 "Chemigum" 과, ICI 에서 제조한 "Neocryl" 과, BASF 에서 제조한 "Butafon" 과, Union Carbide 에서 제조한 "Res" 를 포함하는 각종의 상표명의 상이한 소스로부터 상업적으로 이용가능하다. 또한, 결합제 선구물질을 형성하기 위해 페놀 수지 또는 다른 열경화성 수지를 라텍스 수지와 혼합하는 것이 용이하다.
결합제 선구물질은 또한 예를들어 미국 특허 번호 5,486,219에 개시된 바와 같은 우레아-포름알데히드 수지가 될 수도 있다.
유용한 에폭시 수지는 옥시란 링을 갖는 것에 의해 특징되며, 링 개구에 의해 중합화된다. 이러한 수지는 단량체 에폭시 수지 및 소중합체 에폭시 수지를 포함한다. 이러한 수지는 이들의 백본 및 치환기의 성질이 현저하게 변할 수 있다. 예를들어, 백본은 에폭시 수지에서 정상적으로 발견되는 종래의 백본이 될 것이고, 치환기는 실온에서 옥시란 링과 반응하는 활성 하이드로겐 원자가 없는 기가 될 것이다. 수용가능한 에폭시 치환기의 대표적인 예는 할로겐, 에스테르기, 에테르기, 술폰산염기, 실록산기, 니트로기 및 인산염기를 포함한다. 바람직한 에폭시 수지의 예는 2,2-비스(4-(2,3-에폭시프로폭시)-페닐)프로판 (비스페놀 A의 디글리시딜 에테르) 및 Shell Chemical Co. 에서 제조한 상표명 "Epon 828", "Epon 1004" 및 "Epon 1001F" 와, Dow Chemical Co. 에서 제조한 "DER-331", "DER-322" 및 "DER-334"를 포함한다. 다른 적합한 에폭시 수지는 페놀 포름알데히드 노볼락의 글리시딜 에테르(예를들어, DOW Chemical Co. 에서 제조한 "DEN-431" 및 "DEN-428")를 포함한다.
에틸렌계 불포화된 결합제 선구물질의 예는 펜던트 알파,베타 불포화된 카보닐기를 갖는 아미노플라스트 단량체 또는 소중합체, 에틸렌계 불포화된 단량체 또는 소중합체, 아크릴레이티드 이소시아누레이트 단량체, 아크릴레이티드 우레탄 소중합체, 아크릴레이티드 에폭시 단량체 또는 소중합체, 에틸렌계 불포화된 단량체 또는 희석제, 아크릴산염 분산제 및 그 혼합물을 포함한다.
아미노플라스트 결합제 선구물질은 분자 또는 소중합체당 적어도 하나의 펜던트 알파,베타-불포환된 카보닐기를 갖는다. 이러한 물질은 미국 특허 번호 4,903,440 및 5,236,472에 상세히 개시되어 있다.
에틸렌계 불포화된 단량체 또는 소중합체는 단일작용기, 2중작용기, 3중작용기, 4중작용기 또는 그 이상의 작용기가 되고, 아크릴산염 및 메타아크릴산염계 단량체를 포함할 것이다. 에틸렌계 불포환된 결합제 선구물질은 탄소, 수소 및 산소와 선택적으로 질소와 할로겐의 원자를 포함하는 단량체 및 중합체 화합물을 포함한다. 산소 또는 질소 원자 혹은 이들 모두는 일반적으로 에테르, 에스테르, 우레탄, 아미드 및 우레아기에 존재한다. 적합한 에테르계 불포환된 화합물은 약 4,000 의 분자량을 갖는 것이 바람직하며, 지방족 모노하이드록시기 또는 지방족 폴리하이드록시기를 함유하는 화합물과 아크릴산, 메타아크릴산, 이타콘산, 크로톤산, 이소크로톤산, 말레이산 등의 불포화된 카르복실산의 반응으로 구성되는 것이 바람직하다. 에틸렌계 불포환된 단량체의 대표적인 예는 메틸 메타아크릴산염, 에틸 메타아크릴산염, 스티렌, 디비닐벤젠, 하이드록시 에틸 아크릴산염, 하이드록시 에틸 메타아크릴상염, 하이드록시 프로필 아크릴산염, 하이드록시 프록시 메타아크릴산염, 하이드록시 불틸 아크릴산염, 하이드록시 부틸 메타아크릴산염, 비닐 톨루엔, 에틸렌 글리콜 디아크릴산염, 폴리에틸렌 글리콜 디아크릴산염, 에틸렌 클리콜 디메타아크릴산염, 헥산디올 디아크릴산염, 트리에틸렌 글리콜 디아크릴산염, 트리메틸올프로판 트리아크릴산염, 글리세롤 트리아크릴산염, 펜타에리드리톨 트리아크릴산염, 펜타에리드리톨 트리메타아크릴산염, 펜타에리드리톨 테트라아크릴산염 및 펜타에리드리톨 테트라메타아크릴산염을 포함한다. 다른 에틸렌계 불포화된 물질은 모노알킬, 폴리알릴 및 폴리메탈릴 에스테르와, 디알릴 프탈산염, 디알릴 아디프산염 및 N,N-디알릴아디파마이드 등의 카르복실산의 아미드를 포함한다. 다른 질소 함유 화합물은 트리(2-아크릴-옥시에틸)이소시안우레이트, 1,3,5-트리(2-메틸아크릴에틸)-s-트리아진, 아크릴아미드, 메틸아크릴아미드, N-메틸-아크릴아미드, N,N-디메틸아크릴아미드, N--비닐-피롤리돈 및 N-비닐-피페리돈을 포함한다.
사용될 수 있는 적합한 단작용기 아크릴산염 및 메타아크릴산염(예를들어, 2작용기 또는 3작용기 아크릴산염 및 메타아크릴산염 단량체 또는 페놀 수지나 에폭시 수지와의 조성물에서)의 예는 라우릴 아크릴산염, 옥틸 아크릴산염, 1(2-에톡시에톡시) 에틸아크릴산염, 테트라하이드로퓨퓨릴 메타아크릴산염, 시클로헥실 아크릴산염, 스테아릴 아크릴산염, 2-페녹시에틸 아크릴산염, 이소옥틸 아크릴산염, 이소보르닐 아크릴산염, 이소데실 아크릴산염, 폴리에틸렌 글리콜 모노아크릴산염 및 폴리프로필렌 글리콜 모노아크릴산염을 포함한다.
예를들어 미국 특허 번호 4,751,138에 개시된 바와 같은 아크릴산염 수지와 에폭시 수지의 혼합물을 포함하는 결합제 선구물질을 형성할 수 있다.
적어도 하나의 펜던트 아크릴산염기를 갖는 이소시안산염 유도체와 적어도 하나의 펜던트 아크릴산염기를 갖는 이소시안산염 유도체는 미국 특허 번호 4,652,274에 상세히 개시되어 있다. 바람직한 이소시안산염 물질은 트리스(하이드록시 에틸)이소시안산염의 트리아크릴산염이다.
아크릴레이티드 우레탄은 히드록시 종결된 이소시안산염 연장된 폴리에스테르 또는 폴리에테르의 디아크릴산염 에스테르이다. 상업적으로 이용가능한 아크릴레이티드 우레탄의 예는 Morton Chemical 에서 제조한 상표명 "UVITHANE 782"과, 미국 조지아주에 소재한 UCB Radcure Specialties, Smyrna 에서 제조한 "CMD 6600", "CMD 8400" 및 "CMD 8805"과, 미국 뉴저지주의 호보켄에 소재한 Henkel Corp.에서 제조한 "PHOTOMER" 수지(예를들어, PHOTOMER 6010)와, UCB Radcure Specialties 에서 제조한 "EBECRYL 220"(분자량 1000의 6중작용기 방향족 우레탄 아크릴산염), "EBECRYL 284"(1,6-헥산디올 디아크릴산염과 희석된 1200 분자량의 지방족 우레탄 디아크릴산염), "EBECRYL 4827"(1600 분자량의 방향족 우레탄 디아크릴산염), "EBECRYL 4830"(테트라에틸렌 글리콜 이아크릴산염과 희석된 1200분자량의 지방족 우레탄 디아크릴산염), "EBECRYL 6602"(트리메틸올프로판 에톡시 트리아크릴산염과 희석된 1300분자량의 3중작용기 방향족 우레탄 아크릴산염) 및 "EBECRYL 840"(1000분자량의 지방족 우레탄 디아크릴산염)과, 미국 펜실베니아주의 엑스톤에 소재한 Sartomer Co.에서 제조한 "SARTOMER" 수지(예를들어, SARTOMER 9635, 9745, 9655, 963-B80, 966-A80 등)을 포함한다.
아크릴레이티드 에폭시는 비스페놀 A 에폭시 수지의 디아크릴산염 에스테르와 같은 에폭시 수지의 디아크릴산염 에스테르이다. 상업적으로 이용가능한 아크릴레이티드 에폭시의 예는 USB Radcure Specialties 에서 제조한 상표명 "CMD 3500", "CMD 3600" 및 "CMD 3700"으로 판매되는 것을 포함한다.
결합제 선구물질은 또한 아크릴레이티드 폴리에스테르 수지를 포함할 것이다. 아크릴레이티드 폴리에스테르는 아크릴산과 이염기산/지방족 디올계 폴리에스테르와의 반응 생성물이다. 상업적으로 이용가능한 아크릴레이티드 폴리에스테르의 예는 Henkel Corp.에서 제조한 상표명 "PHOTOMER 5007"(2000 분자량의 6중작용기 아크릴산염) 및 "PHOTOMER 5018"(1000 분자량의 4중작용기 테트라아크릴산염)과, UCB Radcure Specialties 에서 제조한 "EBECRYL 80"(분자량 1000의 4중작용기 변형된 폴리에스테르 아크릴산염), "EBECRYL 450"(지방산 변형된 폴리에스테르 헥사아크릴산염) 및 "EBECRYL 830"(1500 분자량의 6중작용기 폴리에스테르 아크릴산염)을 포함한다.
에틸렌계 불포화된 희석제 또는 단량체의 예는 미국 특허 번호 5,236,472 및 미국 특허 출원 번호 08/474,289에 개시되어 있다. 일부 경우, 이러한 에틸렌계 불포환된 희석제는 이들이 물과 양립될 수 있기 때문에 유용하다. 추가의 반응성 희석제는 미국 특허 번호 5,178,646에 개시되어 있다.
결합제 선구물질은 또한 아크릴산염계 분산제를 포함할 수 있다. 아크릴산염계 분산제는 분산재(dispersing agent)의 도움으로 물에 부유된 다수의 아크릴산염계 방울(droplet)을 포함한다. 각각의 아크릴산염계 방울은 적어도 하나의 아크릴산염 작용기, 통상적으로 2개 및 간혹 3개 또는 4개의 아크릴산염 작용기를 포함한다. 경화과정 동안, 중합화와 교차결합은 3개의 아크릴산염 작용기측에서 발생한다. 아크릴산염 작용기에 의한 아크리릴산염계 방울은 항상 물속에서 용해되지 않고 물과 분리된 상으로 존재한다. 그러나, 분산재는 아크릴산염계 방울이 물속에서 분산 또는 부유될 수 있도록 첨가된다. 분산재의 도움으로, 아크릴산염계 방울은 물속에서 상을 형성한다. 아크릴산염계 방울은 물속에서 균일하게 분산 또는 부유되는 것이 바람직하다.
분산재는 유화제가 될 것이다. 유화제에는 3개의 부류, 즉 양이온화, 음이온화 및 비이온화의 세가지 부류가 있다. 양이온 유화제의 예는 4차 암모니아 염을 포함한다. 음이온 유화제의 예는 트리에틸아민 및 술포네이티드 물질을 포함한다. 비이온 유화제의 예는 "Triton X-100" 이라는 상표명으로 상업적으로 판매되는 노닐-페놀 에톡시산염을 포함한다. 유화제의 농도는 통상적으로 약 0.01∼15%의 아크릴산염계 분산, 이중에서도 약 0.1∼2%의 아크릴산염께 분산 범위를 갖는다.
이와 달리, 아크릴산염계 단량체는 자체 유화될 수 있어 보조의 분산재에 대한 필요성을 제거한다. 예를들어, 아크릴산염계 단량체는 물과 결합할 때 아크릴산염계 방울로 자체 융화하는 극성 원자단기를 가질 것이다. 그러므로, 이들 아크릴산염계 방울은 물속에서 부유된다. 아크릴산염 분산제와 관련한 추가의 세부사항은 미국 특허 번호 5,378,252에 개시되어 있다.
일반적으로, 연마 물품은 연마 물품의 수명 동안 거의 일정한 절단율을 제공한다. 일부 경우, 처리 결과로서, 결합제는 연마 코팅의 외표면으로 이동하는 경향이 있고, 이 결과 결합제의 막이 연마 물품의 외표면상에 결합제의 막을 형성한다. 어떠한 이론에 구속되지 않고서도, 이 결합제막은 연마 물품의 초기 절단 성능을 방해할 것으로 판단된다. 새로운 연마 물품이 반도체 웨이퍼를 평면화시키기 위해 최초로 사용될 때, 연마 물품은 이 외곽 결합제막이 닳아 없어진 후의 연마 물품 절단율에 비해 더 낮은 초기 절단율을 가질 것이다. 이러한 문제의 한가지 해결책은 상태조절이 추가의 단계를 필요로 하기 때문에 상태조절이 항상 바람직한 것은 아니라 하더라도 새로운 연마 물품이 반도체 웨이퍼를 평면화하기 위해 사용되기 전에 새로운 연마 물품을 상태조절하는 것이다. 이 결합제막이 최소화되도록 슬러리를 형성하는 것이 바람직하다. 어떠한 이론에 구애됨이 없이, 경화 동안의 결합제 이동을 최소화시키기 위한 한가지 방안은 결합제 내의 연마 입자 및/또는 충진제의 높은 체적 농도를 갖도록 하는 것이다. 이러한 높은 체적 농도는 결합제가 존재하지 않고서도 연마 입자 및/또는 충진제만의 최소 기공이 존재하도록 결합제내의 연마 입자 및/또는 충진제의 팩킹에 좌우된다. 이러한 높은 체적 농도는 경화 동안에 결합제가 이동할 통로를 최소화시킬 것이다. 연마 입자 및/또는 충진제의 이러한 높은 팩킹 밀도를 획득하기 위한 한가지 수단은 연마 입자 및/또는 충진제의 광범위한 입경 분포를 사용하는 것이다. 광범위한 입경 분포에서, 더 작은 입자가 더 큰 크기의 입자 사이에 충진될 것이다. 그러나, 더 큰 입자는 반도체 웨이퍼 표면상의 바람직하지 않은 조악한 스크래치를 생성하지 않아야만 한다.
어떠한 이론에 구애됨이 없이, 경화 동안의 결합제 이동을 최소화시키기 위한 다른 방안은 결합제 선구물질이 슬러리내에 포함되기 전에 부분적으로 다중화되는 결합제 선구물질을 사용하는 것이다. 부분적으로 다중화된 결합제 선구물질은 더 낮은 이동도를 가질 것이며, 이에 따라 결합제 선구물질의 완전한 중합화 또는 경화 동안 덜 이동해야만 한다. 부분적으로 중합화될 수 있는 이러한 결합제 선구물질중의 하나는 아크릴산염 단량체이다. 그러나, 결합제 선구물질은 슬러리에 포함될 때 그 결과의 슬러리 점도가 지나치게 높아지도록 중합화되어서는 안된다.
부분적으로 중합화될 수 있는 아크릴산염 단량체의 예는 이소옥틸 아크릴산염이다. 부분적으로 중합화된 에틸렌계 불포화된 단량체와 다른 에틸렌계 불포화된 단량체 및/또는 응축 경화가능한 결합제의 조성물 또한 사용될 수 있다. 부분적으로 중합화된 에틸렌계 불포화된 단량체에 관한 추가의 세부사항은 미국 특허 번호 5,256,170에 개시되어 있다.
열가소성 결합제 또한 사용될 수 있다. 적합한 열가소성 결합제의 예는 폴리아미드(나일론), 폴리에틸렌, 폴리프로필렌, 폴리에스테르, 폴리우레탄, 폴리에테르이미드, 폴리술폰, 폴리스티렌, 아크릴오니트릴-부타디엔-스티렌 블록 공중합체, 아세탈 중합체, 폴리비닐 클로라이드 및 이들의 조성물을 포함한다.
열경화성 수지와 추가 혼합될 가수용해성 결합제 선구물질을 사용할 수도 있다. 결합제 선구물질의 양과 종류는 특정 평면화 동작을 위한 적절한 정도의 침식성을 갖는 연마 표면을 획득하기 위해 선택된다. 가수용해성 결합제 선구물질의 예는 폴리비닐 알콜, 히드 글루, 및 가수용해성 셀룰로즈 에테르(예를들어, 히드록시프로필메틸 셀룰로즈, 메틸 셀룰로즈 및 히드록시에틸메틸 셀룰로즈)를 포함한다. 이러한 종류의 화합물에 대한 추가의 세부사항은 미국 특허 번호 4,255,164에 개시되어 있다.
다음의 설명은 결합제의 제조시에 유기 수지에 첨가될 물질에 관한 것이다.
가소제
연마 코팅은 선택적으로 가소제를 포함할 수 있다. 일반적으로, 가소제의 첨가는 연마 물품의 침식성을 증가시키고 전체적인 결합제 강도를 연성화시킬 것이다. 일부 예에서, 가소제는 결합제 선구물질에 대한 희석제로서 작용할 것이다. 가소제는 상 분리를 최소화시키기 위해 결합제와 양립할 수 있는 것이 바람직하다. 적합한 가소제의 예는 폴리에틸렌 클리콜, 폴리비닐 클로라이드, 디부틸 프탈산염, 알킬 벤질 프탈산염, 폴리비닐 아세트산염, 폴리비닐 알콜, 셀룰로즈 에스테르, 프탈산염, 실리콘 오일(예를들어, 미국 특허 번호 5,453,312에 개시된 바와 같은), 아디프산염 및 세바케이트(sebacate) 에스테르, 폴리올, 폴리올 유도체, t-부틸페닐 디페닐 인산염, 트리크레실 인산염, 캐스토 오일 및 이들의 조성물 등을 포함한다. 바람직한 가소제는 프탈산염 유도체이다.
가소제의 양은 수지에 가소제를 합한 총량을 기초로 적어도 25중량%, 바람직하게는 약 40∼75중량%이다. 요구된 정도의 침식성을 획득하기 위해 특정 중량% 가 선택된다. 예를들어, 가소제의 양은 너무 많아 그 결과의 연마 물품이 지나치게 침식가능하게 되도록 하여서는 안된다. 또한, 너무 많은 가소제가 존재하는 경우, 이러한 구성을 특징으로 하는 폴리에스테르막과 관련된 프라이머와 연마 물품내의 연마 코팅 사이에 부착이 약해지게 될 것이다.
어떠한 이론에 구애됨이 없이, 가수용해성 또는 가수팽창성(water-swellable) 가소제는 반도체 웨이퍼 평면화 동안 연마 코팅의 외부에 적어도 부분적으로 도달할 것이다. 이러한 상태가 발생할 때, 연마 코팅의 전체 침식성이 증가할 것으로 가정된다. 그러므로, 일부 경우에, 가수용해성 또는 가수팽창성 가소제를 사용하는 것이 바람직하다.
개시제
에틸렌계 불포환된 단량체와 소중합체를 포함하는 결합제 선구물질의 경우, 중합화 개시제가 사용될 것이다. 그 예는 유기 페로옥사이드, 아조 화합물, 퀴논, 니트로소 화합물, 알킬 할라이드, 히드라존, 메르캅토 화합물, 피릴리움 화합물, 이미드아졸, 클로로트리아진, 벤조인, 벤조인 알킬 에테르, 디케톤, 페논 및 그 혼합물을 포함한다. 적합한 상업적으로 이용가능한 자외선-활성화된 광개시제의 예는 Ciba Geigy Compant 에서 제조한 상표명 "Irgacure 651" 및 "Irgacure 184"과, Merck 에서 제조한 "DAROCUR 1173"을 포함한다. 적합한 가시광-활성화된 개시제의 예는 미국 특허 번호 4,735,632에 개시되어 있다. 다른 가시광-활성화된 광개시제는 Ciba Geigy Compant 에서 제조한 상표명 "IRGACURE 651"를 포함한다.
광중합화의 경우, 개시제 시스템은 광증감제(photosensitizer)를 포함한다. 그 예는 카르보닐기 또는 3차 아미노기 및 그 혼합물을 갖는 화합물을 포함한다. 카르보닐기를 갖는 바람직한 화합물은 벤조페논, 아세토페논, 벤질, 벤잘데히드, 0-클로로벤잘데히드, 크산톤, 티옥산톤, 9,10-안드라퀴논 및 광증감제로서 작용할 수 있는 다른 방향족 케톤이다. 바람직한 3차 아민은 메틸디에탄올아민, 에틸디에탄올아민, 트리에탄올아민, 페닐메틸-에탄올아민 및 디메틸아미노에틸벤조네이트이다. 광증감제의 상업적으로 이용가능한 예는 Biddle Sawyer Corp.에서 제조한 "Quanticure ITX", "Quanticure QTX", "Quanticure PTX", "Quanticure EPD" 와 같은 상표명을 갖는 것들을 포함한다. 일반적으로, 광증감제 또는 광개시제 시스템의 양은 결합제 선구물질(즉, 단량체 및/또는 소중합체)의 수지 성분의 중량을 기초로 약 0.01∼10중량%, 더욱 바람직하게는 0.25∼4.0중량%로 변할 것이다.
통상적으로, 개시제는 결합제 선구물질의 수지 성분의 중량을 기초로 0.1∼10중량%, 바람직하게는 2∼4중량%의 범위의 양으로 사용된다. 또한, 연마 입자 및/또는 충진제 입자와 같은 어떤 입자 물질의 첨가 이전에 결합제 선구물질에 개시제를 분산(바람직하게는 균일하게)시키는 것이 바람직하다.
일반적으로, 최종 결합제를 형성하기 위해 결합제 선구물질을 복사 에너지, 바람직하게는 자외선 또는 가시광선에 노출시키는 것이 바람직하다. 일부 경우, 특정 연마 입자 및/또는 특정 첨가제는 자외선과 및 가시광을 흡수할 것이고, 이로써 결합제 선구물질을 적절하게 경화시키기가 용이하지 않게 된다. 이러한 현상은 예를들어 산화세륨 입자와 탄화규소 연마 입자와 함께 발생한다. 인 함유 광개시제, 특히 아크릴포스파인 산화물 함유 개시제의 사용은 예상을 훨씬 초월하여 이러한 문제점을 해소하는 것으로 판명되었다. 이러한 광개시제의 예는 BASF Corporation 에서 제조한 상표명 "Lucirin TPO"로 상업적으로 이용가능한 2,4,6-트리메틸벤조일디페닐포스파인 산화물이다. 상업적으로 이용가능한 아크릴포스파인 산화물의 다른 예는 Merck 에서 제조한 "Darocur 4263" 및 "Darocur 4265"를 포함한다.
일부 결합제 시스템(예를들어, 결합제가 에폭시 또는 비닐 에테르에 기초되는)에서, 양이온 개시제는 중합화를 개시하기 위해 사용될 것이다. 그 예는 아크릴술포니움 염과 같은 오니움 양이온의 염과, 이온 아렌 시스템과 같은 유기 금속염을 포함한다. 특정 예가 미국 특허 번호 4,751,138; 5,256,170; 4,985,340 및 4,950,696에 개시되어 있다.
이중경화(dual-cure) 및 복합경화(hybrid-cure) 시스템도 사용될 것이다. 이중 경화 시스템에서, 경화는 동일 또는 상이한 반응 메카니즘중의 하나를 통해 2개의 별도 단계에서 발생할 것이다. 복합경화 시스템에서, 2개의 경화 메카니즘은 자외선/가시광선 또는 전자빔 복사선에 대한 노출시에 동시에 발생한다.
기타 첨가제
슬러리는 연마 입자 표면 개질 첨가제, 커플링제, 충진제, 팽창제, 파이버, 비정전제, 개시제, 부유체, 윤활제, 습윤제, 계면활성제, 물감, 염료, UV 안정제, 착화제, 연쇄 전달제, 촉진제, 촉매, 활성제와 같은 첨가제를 추가로 포함할 수 있다. 이러한 물질의 양은 요구된 성질을 제공하도록 선택된다.
팽창제는 연마 입자의 침식성을 증가시키기 위해 포함될 것이다. 팽창제는 연마 코팅의 체적을 증가시키는 어떠한 물질도 가능하다. 팽창제가 채용될 때, 연마 입자의 평균 입경은 30㎛ 미만이 되는 것이 바람직하다.
적합한 팽창제의 예는 스팀, 팽창 용매, 질소 개스, 이산화탄소 개스, 대기 개스, 펜탄, 헥산, 헤프탄, 부텐, CFCl3, C2F3Cl3, 버미큘라이트, 톨루엔 디이소시안산염, 4,4'-디페닐메탄 디이소시안산염, 엑사메틸렌 디이소시안산염 또는 수분과 반응하여 이산화탄소 개스를 생성하는 폴리우레탄 프레폴리머를 포함한다. 다른 적합한 팽창제는 탄산암모니아, 암모니아 비카르보네이트, 나트륨 비카르보네이트, 디니트로펜타메틸렌테트라아민, 아조디카본아미드, 아조비스이소부틸올니트릴 등의 분해형 팽창제와, 말레이산 히드라지드, p,p'-하이드록시 비스(벤젠-술포닐 히드라지드 등의 히드라딘 화합물과, t-알킬히드라조니움 염을 포함한다. 2개 또는 그 이상의 팽창제가 서로 조합하여 사용될 수 있다. 팽창제의 예는 스웨덴의 선즈밸에 소재한 Kema Nobel Company 에서 제조한 상표명 "Expancel 551"으로 상업적으로 이용가능한 팽창 비드가 있다.
비정전제의 예는, 흑연, 카본 블랙, 바나디움 산화물, 전도성 중합체, 습윤제 등을 포함한다. 이러한 비정전기제는 미국 특허 번호 5,061,294 및 5,203,884에 개시되어 있다.
부유제의 예는 150㎡/g 미만의 표면적을 갖는 비정질 실리카 입자이며, DeGussa Corp. 에서 제조한 상표명 "OX-50" 이 상업적으로 이용가능하다. 부유제를 첨가함으로써 전체적인 슬러리 점도를 낮출 수 있다. 부유제의 사용은 미국 특허 번호 5,368,619에 상세히 개시되어 있다.
적합한 윤활제의 예는 지방산의 금속염(예를들어, 아연 스테아르산염, 칼슘 스테아르산염 및 리튬 스테아르산염), 흑연, 왁스 글리콜 에테르, 글리세린, 실리콘 화합물 및 그들의 조성물 등을 포함한다. 첨가되는 윤활제는 미국 특허 번호 3,502,453에 개시된 바와 같이 사용될 수도 있다.
연쇄전달제의 예는 탄소 테트라브로미드 및 G. Odian 에 의해 저술된 Wiley-Interscience, 뉴욕, 1991의 "Principles of Polymerization" 에 개시된 연쇄전달제를 포함한다.
적합한 착화 작용제의 예는(특히, 실리콘 산화물 함유 웨이퍼 표면에서) NH4HCO3, 탄닌산, 카테콜, [Ce(OH)(NO)3]:Ce(SO4)2, 프탈산, 살리실산 등과 같은 암모니아염을 포함한다. 이들 착화 작용제는 슬러리에 첨가될 수 있는 미립자의 형태로 존재될 것이다.
물 및/또는 유기 용매가 슬러리에 포함될 수 있다. 물 및/또는 유기 용매의 양은 요구된 코팅 점도를 획득하도록 선택된다. 일반적으로, 물 및/또는 용매는 선구물질의 중합화에 후속하여 제거되거나 또는 결합제내에 잔류될 것이다. 가수용해성 및/또는 폴리비닐 알콜, 폴리비닐 아세트산염, 셀룰로즈계 입자 등의 감수성 첨가제 또한 연마 표면의 침식성을 증가시키기 위해 포함될 것이다.
세라머 결합제
다른 적합한 종류의 결합제로는 세라머 결합제가 있다. "세라머"는 1)세라믹 또는 세라믹 입자의 선구물질(즉, 금속산화물 입자)인 적어도 하나의 성분, 및 2)결합제 선구물질(즉, 중합제의 선구물질)인 적어도 하나의 성분을 함유하는 경화가능한 물질을 구분하기 위해 사용된 용어이다. 경화된 세라머는 1)분산 용액, 2)결합제 선구물질, 및 3)결합제 선구물질과 상기 분산 용액에 분산된 집합되지 않은 콜로이드 금속 산화물 입자를 포함하는 세라머 결합제 결합제 선구물질로 구성된다. 분산 용액은 물(수도물, 증류수 및 탈이온수를 포함) 또는 유기용매(수용성 알콜 용액, 더 낮은 지방족 알콜, 톨루엔, 에틸렌 글리콜, 디메틸 아세트아미드, 포름아미드 및 그 조성물을 포함)이 될 것이다. 바람직한 분산 용액은 증류수 또는 탈이온수이다. 분산 용액내의 물의 양은 전체 분산 용액의 중량을 기초로 적어도 10중량%, 바람직하게는 적어도 12중량%, 더욱 바람직하게는 적어도 15중량% 이다. 바람직한 결합제 선구물질은 에틸렌계 불포화된 단량체, 에틸렌계 불포화된 소중합체, 에폭시 수지 및 그 조성물을 포함한다.
적합한 콜로이드 금속 산화물 입자는 졸을 형성하기 위해 액상 매질내에 분산된 집합되지 않은 금속 산화물 입자이다. 이러한 금속 산화물 입자는 약 5∼1000㎚, 바람직하게는 약 10∼100㎚, 더욱 바람직하게는 약 10∼50㎚의 평균 입자 직경을 갖는다. 일부 경우, 이러한 작은 금속 산화물 입자는 입자간 인력으로 인해 집합하게 되는 경향을 가질 것이다. 그러므로, 이들 금속 산화물 단괴는 개개의 금속 산화물 입자보다 입경이 더욱 커질 것이다. 이들 금속 산화물 입경 범위는 결합제 선구물질에 금속 산화물 입자를 분산시키기가 용이하고 그로부터 형성된 연마 물품에 의해 생성될 표면 다듬질이 용이하다는 점에서 바람직하다.
금속 산화물 졸 입자는 어떠한 산화 상태에서 어떠한 금속 산화물로도 구성될 수 있다. 바람직한 금속 산화물의 예는 실리카, 알루미나, 지르코니아, 크로미아, 안티모니 펜트옥사이드, 바나디아, 세리아, 티타니아를 포함하며, 그중에서도 실리카가 가장 바람직하다. 일반적으로, 실리콘은 비금속인 것으로 간주된다. 그러나, 본 발명의 이러한 특징을 위해 실리콘은 금속인 것으로 간주될 수도 있다.
콜로이드 금속 산화물 입자는 분말 또는 겔이 아닌 졸로서 제공된다. 졸 상태에서, 콜로이드 금속 산화물 입자는 액상 매질에 분산된다. 콜로이드 금속 산화물 입자가 물에 분산될 때, 입자는 집단화보다는 분산을 촉진시키는 경향을 갖는 각 입자의 표면상의 공통 전기 전하 때문에 안정화된다. 이와 같이 하전된 입자는 서로 밀쳐내고, 이로써 집단화가 최소화된다. 이와 반대로, 훈증 실리카(fumed silica) 또는 실리카 겔에서와 같은 분말 상태에서, 비하전된 콜로이드 입자는 연결망을 형성하기 위해 집단화되고, 이로써 세라머의 유기 성분과 결합될 때 입자의 균일한 분산을 제공하지 않을 것이다. 세라머는 결합제 선구물질을 포함하는 다른 물질과 용이하게 구별되지만 집단화된 콜로이드 금속 산화물 입자와 충진된다. 세라머내의 콜로이드 금속 산화물 입자가 졸로부터 추출되었을 때, 세라머는 50중량%를 초과하는 콜로이드 금속 산화물 입자의 장착시에 조차도 자유 흐름(free-flowing) 용액으로 잔류할 수 있다. 한편, 졸로부터의 콜로이드 금속 산화물 입자가 동일 중량비의 집단화된 콜로이드 금속 산화물 입자로 대체될 때, 습윤의 분말이 얻어진다.
세라머의 제조에 유용한 졸은 본 기술분야에 널리 공지된 방법에 의해 제조될 수 있다. 수용성 용액에 졸로서 분산된 콜로이드 실리카는 상표명 "LUDOX"(미국 델라웨어주 윌밍톤에 소재한 E.I. Dupont de Nemours and Co., Inc. 에서 제조), "NYACOL"(미국 매사츄세츠주의 애시랜드에 소재한 Nyacol Co.에서 제조) 및 "NALCO"(미국 일리노아주의 오크 브룩에 소재한 Nalco Chemical Co.에서 제조)로부터 상업적으로 이용가능하다. 비수용해성 실리카 졸(또한 실리카 오르가노졸로도 지칭됨)은 상표명 "NALCO 1057"(Nalco Chemical Co.에서 제조한 2-프로폭시에탄올), 및 "MA-ST", "IP-ST" 및 "EG-ST"(일본 도쿄에 소재한 Nissan Chemical Industries에서 제조한)의 것이 있다. 다른 산화물의 졸은 예를들어 "NALCO ISJ-614" 및 "NALCO ISJ-613"알루미늄 졸 및 "NYACOL 10/50" 지르코니아 졸이 있다. 이들 콜로이드 실리카 졸은 약 10∼85중량%의 수분, 통상적으로 25∼60중량%의 수분을 포함할 수 있다. 2개 또는 그 이상의 상이한 콜로이드 실리카가 사용될 수도 있다.
세라머중에서, 커플링제와 작용하는 비집단화된 금속 산화물 입자를 갖는 세라머는 커플링제와 작용하지 않는 비집단화된 금속 산화물 입자를 갖는 세라머 이상의 처리 장점을 갖는다. 이들 커플링제는 오르가노실란(예를들어, 3-메타아크릴옥시알킬 트리메톡시실란, 페닐 트리에톡시실란, 비닐트리메톡시실란, 비닐트리에톡시실란, 메틸트리메톡시실란, 메틸 트리에톡시실란, 프로필트리메톡시실란, 프로필 트리에톡시실란, 옥틸트리에톡시실란 및 그 혼합물), 티탄산염(예를들어, 이소프로필 트리소스테로릴 티탄산염), 지르코알루미네이트, 및 이들의 조성물이 될 수 있다. 커플링제와 작용된 콜로이드 금속 산화물 입자를 갖는 세라머는 커플링제와 작용하지 않는 것에 비해 더 낮은 점도를 갖는 경향이 있다. 이러한 점도의 감소는 세라머 결합제 선구물질로 하여금 비작용된 콜로이드 금속 산화물 입자를 갖는 세라머보다 더 많은 연마 입자로 충진되도록 하며, 여전히 코팅가능하거나 처리가능한 혼합물을 제공한다. 세라머와 연마 입자를 함유하는 혼합물로 하여금 코팅가능하게 잔류하도록 하기 위해 요구된 콜로이드 금속 산화물 입자의 작용화의 정도는 콜로이드 금속 산화물 입자의 농도, 결합제 선구물질의 성질 및 커플링제의 종류에 좌우된다. 커플링제가 첨가되는 경우, 세라머내의 금속 산화물 입자는 적절하게 분산되지 않을 수도 있고, 이로써 전체적인 세라머의 더 높은 점도에 도달하도록 하는 경향이 있다. 이와 반대로, 너무 많은 커플링제가 첨가되는 경우, 이것은 비용의 전체적인 증가를 초래할 것이고, 및/또는 세라머가 겔이 될 것이다. 또한, 커플링제가 3작용기인 경우, 지나치게 많은 3작용기 커플링제는 세라머의 사전 겔화(premature gellation)가 초래될 것이다.
커플링제는 결합제 선구물질의 화학적성질과 양립되어야만 한다. 커플링제가 결합제 선구물질과 양립하지 않는 경우, 상 분리가 존재하거나 이와 달리 세라머가 겔이 될 것이다. 간혹 이러한 겔화는 세라머가 형성된 후 즉각적으로 발생하고, 때로는 이 겔화는 세라머가 형성된 후 수 시간내에 발생한다. 세라머는 적어도 24시간의 기간에 걸쳐 안정한 점도를 갖는 것이 바람직하다.
일부 경우, 적절한 점도의 감소 및 결합제 선구물질과의 적절한 양립성을 제공하기 위해 2개의 커플링제의 혼합이 존재될 수 있다. 예를들어, 결합제 선구물질이 히드록시 에틸 아크릴산염이고 금속 산화물 입자가 실리카일 때, 바람직한 커플링제는 미국의 커넥티컷에 소재한 OSI, Danbury 에서 제조한 상표명 "A1230"의 3-메타아크릴옥시프로필트리메톡시실란 및 폴리에틸렌 산화물기 함유 트리알크옥시 실란 결합제의 혼합물이다. 우레탄기와 또 다른 유용한 폴리에틸렌 산화물기 함유 트리알크옥시실란은 Union Carbide 에서 제조한 상표명 PEG 350의 약 350 분자량을 갖는 메톡시 엔드 캡 폴리에틸렌 클리콜과 2-이소시아노트리에틸트리에톡시실란(OSI에서 제조한 상표명 "A-1310")과의 반응으로부터 얻을 수 있을 것이다.
세라머 결합제 선구물질을 구성하는 일반적인 방법은 a)금속 산화물 졸을 물과 같은 불활성 용액에 제공하는 단계와, b)결합제 선구물질(바람직하게는 에틸렌계 불포환된 결합제 선구물질)을 함유하고 커플링제와 수분을 선택적으로 함유하는 분산 용액을 제공하는 단계와, c)금속 산화물 졸을 분산 용액에 분산시키는 단계와, d)세라머 결합제 선구물질을 형성하기 위해 불활성 용액의 일부분을 선택적으로 제거하는 단계를 포함한다.
바람직한 형태에서, 세라머 결합제 선구물질은 수분과 결합제 선구물질의 조성물을 기초로 적어도 5중량%의 수분, 바람직하게는 약 10중량%의 수분, 더욱 바람직하게는 적어도 약 12중량%의 수분, 심지어는 15중량% 이상의 수분을 함유한다.
세라머에 대한 바람직한 결합제 선구물질은 에틸렌계 불포화된 단량체, 에틸렌계 불포화된 소중합체, 에폭시 수지 및 이들의 조성물로 구성되는 군으로부터 선택된다. 더욱 바람직한 결합제 선구물질은 에틸렌계 불포화된 단량체이다. 다수의 에틸렌계 불포화된 결합제 선구물질은 수분과 양립할 수 없다. 그러므로, 바람직한 에틸렌계 불포화된 결합제 선구물질은 수분과 양립할수 있고, 물에 용해가능하여야 한다. 이러한 결합제 선구물질의 예는 히드록시 에틸 아크릴산염, 히드록시 에틸 메타아크릴산염, 히드록시 프로필 아크릴산염, 히드록시 프로필 메타아크릴산염, 히드록시 부틸 아크릴산염, 히드록시 부틸 메타아크릴산염, 아크릴아미드, N,N-디메틸 아크릴아미드 등을 포함한다.
일반적으로,세라머내의 콜로이드 금속 산화물 입자의 농도는 70중량% 정도까지 될 수 있으며, 바람직한 농도는 15∼60중량%의 범위를 갖는다. 커플링제는 금속 산화물 입자의 약 1∼10중량%의 어느 범위도 가능하며, 금속 산화물 입자의 1∼5중량%가 바람직하다.
세라머가 형성된 이후, 연마 입자는 슬러리를 형성하기 위해 세라머 졸내에 첨가 또는 분산된다. 세라머 졸 내에서의 연마 입자의 침식성을 향상시키기 위해 세라마에 추가의 커플링제가 첨가될 것이다. 이와 달리, 연마 입자는 다른 커플링제와 사전처리될 수 있다. 세라머 결합제 선구물질내의 금속 산화물 입자에 대한 커플링제는 동일하거나 또는 연마 입자에 대한 커플링제와 상이할 수도 있다. 세라머 결합제 선구물질이 형성된 후, 개시제는 연마 입자와 함께 첨가될 것이다. 개시제는 결합제를 형성하기 위해 결합제 선구물질의 중합화를 가속시키는데 도움을 줄 수 있다.
일부 경우, 세라머 결합제 선구물질이 과도한 수분을 포함할 것이다. 즉, 여러 이유로 처리 및/또는 생산 성능 관점에서 바람직하지 않게 될 수 있는 과도한 수분이 존재한다. 과도한 수분이 존재하는 경우, 이 수분은 종래의 기술에 의해 제거될 것이다. 이러한 기술은 진공 스트리핑(vacuum stripping), 증류 등을 포함하며, 그중에서도 진공 스트리핑이 가장 바람직하다. 수분은 연마 입자의 첨가 이전에 요구된 레벨로 제거되는 것이 바람직하다. 너무 많은 수분이 세라머 결합제 선구물질에서 제거되는 경우, 더 많은 수분을 세라머 결합제 선구물질에 첨가하는 것이 용이하지 않게 될 것이다.
유용한 슬러리의 한가지 예는 약 1∼50중량부 콜로이드 금속 산화물 입자(바람직하게는 실리카 입자), 약 2∼60중량부 에틸렌계 불포화된 결합제 선구물질, 0.1∼50중량부 커플링제 및 약 0.1∼50중량부 수분을 함유한다. 다른 예에서, 세라머 결합제 선구물질은 약 3∼50중량부 콜로이드 금속 산화물 입자(바람직하게는 실리카 입자), 약 5∼35중량부 에틸렌계 불포화된 결합제 선구물질, 약 0.1∼20중량부 커플링제 및 약 1∼45중량부 수분을 함유한다. 또 다른 실시예에서, 슬러리는 약 5∼15중량부 콜로이드 금속 산화물 입자(바람직하게는 실리카 입자), 약 5∼20중량부 에틸렌계 불포화된 결합제 선구물질, 약 0.5∼6중량부 커플링제 및 약 1∼20중량부 수분을 함유한다.
슬러리가 구성된 후, 결합제 선구물질을 결합제로 변환 또는 교차결합하기 위해 추가 처리되며, 그에 따라 슬러리는 연마 조성물로 변환된다. 처리 동안 수분의 전부가 에틸렌계 불포화된 결합제 선구물질의 중합화 이전에 제거되지 않는 것이 바람직하다. 어떠한 이론에 구애됨이 없이, 연마 코팅 및/또는 제거되는(통상적으로 기화에 의해) 수분에 존재하는 잔여의 수분이 존재하고, 이 수분이 연마 코팅내에 기공을 생성한다. 어떠한 이론에 의해 구애됨이 없이, 이러한 잔여의 수분 및/또는 기공은 반도체 웨이퍼 평면화에 매우 적합한 침식성의 정도를 갖는 연마 조성물을 발생시킨다.
세라머 결합제는 부분적으로 콜로이드 금속 산화물 입자의 존재로 인해 다수의 다른 결합제보다 더 단단해지게 된다. 이러한 세라머 결합제를 함유하는 연마 물품은 반도체 웨이퍼 평면화에 관해 우수한 성능 기여를 갖는다. 어떠한 이론에 구애됨이 없이, 단단한 결합제와 침식성 결합제의 조성물은 그 결과의 연마 물품에 우수한 성능 기여를 제공한다. 단단한 결합제는 상대적으로 높은 절단율에 기여하지만 연마 코팅의 침식성으로 인해 깊거나 또는 조악한 스크래치를 초래하지 않는 것이 가능하다. 연마 입자는 어떠한 조악한 스크래칭을 최소화시킬 수 있는 속도로 제거된다.
5. 연마 물품 구성
다수의 상이한 형태의 3차원 직물 고착된 연마 물품이 존재한다. 대표적인 형태의 예는 도4 내지 도12에 걸쳐 도시되어 있다.
한가지 바람직한 연마 물품은 복수의 연마 복합물을 특징으로 한다(전술한 본 발명의 개요 부분에 정의된 바와 같이). 이러한 연마 복합물은 정밀하게 성형되거나(전술한 본 발명의 개요 부분에 정의된 바와 같이) 또는 불규칙적으로 성형될 수 있으며, 이중에서 정밀하게 성형된 복합물이 바람직하다. 이러한 정밀한 형상은 예를들어 슬러리가 백킹상에 형성되고 상세히 하술되는 바와 같이 제조 툴의 표면 상의 기공을 충진시키면서 결합제 선구물질을 경화시킴으로써 형성될 수 있다.
연마 복합물 형상은 어떠한 형상도 가능하다. 통상적으로 백킹과 접촉하는 형상의 베이스측의 표면적은 백킹으로부터 이격된 복합물의 원격 단부의 표면적보다 크다. 복합물의 형상은 정육면체, 원통형, 프리즘형, 직사각형, 피라미드형, 사절두된 피라미드형, 원추형, 사절두된 원추형, 십자형 및 평평한 상표면을 갖는 포스트형 등의 다수의 형상중에서 선택될 수 있다. 또 다른 형상은 PCT WO 95/224,436에 개시된 바와 같은 반구형이다. 그 결과의 연마 물품은 상이한 연마 복합물 형상의 혼합물을 가질 수 있다. 연마 복합물은 줄형(row), 나선형, 소용돌이형, 코르크나사형 또는 격자 형태로 배열되거나 아니면 불규칙적으로 배치될 것이다.
연마 복합물을 형성하는 측면은 백킹에 대해 수직이 되거나 또는 원심 단부를 향해 폭이 감소하는 테이퍼 형태가 될 수 있다. 측면이 테이퍼되는 경우, 연마 복합물을 제조 툴의 기공으로부터 제거하기가 용이하다. 테이퍼를 형성하는 각도는 약 1∼75°, 바람직하게는 2∼50°, 더욱 바람직하게는 약 3∼35°, 가장 바람직하게는 약 5∼15°의 범위를 가질 수 있다. 더 작은 각도는 이러한 작은 각도가 연마 복합물의 높이를 따라 더욱 균일한 단면적을 발생하는 경향이 있기 때문에 바람직할 것이다. 그러므로, 일반적으로 테이퍼 각도는 연마 복합물을 제조 툴로부터 제거하기에 충분히 큰 각도와 균일한 단면적을 형성하기에 충분한 작은 각도의 사이의 절충 각도이다. 일정한 단면적이 평면화 동안 더욱 일정한 연마 코팅 표면적을 제공하므로 일정한 단면적이 바람직하다. 이러한 결과, 더 일정한 절단율, 평평도 및 표면 다듬질의 결과를 낳는다. 한가지 바람직한 형상은 약 10∼15°의 테이퍼 각도를 갖는 원통형이다.
동일 연마 물품내의 각 복합물의 높이는 동일한 것이 바람직하지만, 복합물이 가변 높이를 갖도록 하는 것도 가능하다. 복합물의 높이는 일반적으로 약 200㎛까지, 더욱 바람직하게는 약 25∼200㎛의 값을 가질 수 있다. 연마 복합물의 직경 또는 단면 폭은 약 5∼500㎛, 통상적으로는 약 10∼250㎛, 바람직학는 약 15∼150㎛의 범위를 가질 수 있다.
도4에 도시된 바와 같은 피라미드형의 경우, 또는 도12에 도시된 바와 같은 사절두된 피라미드형의 경우, 피라미드 형상은 사절두되지 않은 경우에 4 내지 5개의 측면을 갖고, 사절두된 경우에 5 내지 6개의 측면을 갖지만(베이스 측면을 포함), 더 많은 수의 측면이 사용될 수도 있다. 피라미드형 또는 사절두된 피라미드 형상이 복합물 형상으로서 사용되는 곳에서, 베이스 측면 길이는 일반적으로 약 100∼500㎛의 길이를 가질 수 있다.
십자형 복합물의 경우, 십자형은 서로 교차하는 2개의 직선 형상을 포함한다. 이와 달리, 라인이 휘거나 비직선일 수도 있다. 2개의 라인은 약 1∼90°, 바람직하게는 약 45∼90°의 각도로 서로 교차할 것이다. 백킹이 똑바른 측면을 포함하는 연마 물품의 실시예에서, 2 라인중의 한 라인은 백킹의 측면에 대해 180°미만의 각도로 존재할 것이다. 이러한 십자형 패턴을 위해, 열십자를 구성하는 라인은 약 50∼1500㎛, 바람직하게는 약 100∼1000㎛, 더욱 바람직하게는 약 250∼800㎛의 길이를 갖는 것이 바람직하다. 연마 복합물의 높이는 약 10∼2000㎛, 바람직하게는 25∼500㎛, 더욱 바람지하게는 50∼100㎛의 범위를 가질 것이다. 테이퍼 각도는 약 5∼30°, 바람직하게는 10∼20°, 가장 바람직하게는 15∼20°의 범위를 가질 것이다. 십자형 연마 복합물의 상표면 또는 최외각 표면은 약 10∼250㎛, 바람직하게는 25∼150㎛, 더욱 바람직하게는 50∼125㎛ 사이의 폭을 가질 것이다.
본 명세서에 개시된 연마 물품의 어떠한 물품에서도, 연마 복합물 모두는 필수적으로 동일 형상 및 동일 크기를 가질 것이지만, 개개의 연마 복합물의 방향성은 기준 지점에 대해 서로 상이하게 될 것이다. 연마 물품이 백킹을 포함하는 경우, 기준 지점은 백킹의 측면상의 지점에 접하는 평면이 될 것이다. 연마 복합물을 인접한 연마 복합물에 대해 회전시키는 한가지 가능한 장점은 특정 형상을 갖는 연마 복합물의 실장 밀도를 증가시킨다는 점이다. 일부 실시예에서, 인접한 연마 복합물은 그 최인접 연마 복합물에 대해 5∼355°로 회전된다. 인접 연마 복합물이 서로에 대해 회전되는 실시예에서, 인접 연마 복합물은 통상적으로 30∼120°로 회전된다. 일실시예에서, 인접한 연마 복합물은 서로 약 45°로 회전된다. 다른 실시예에서, 인접 연마 복합물은 서로의 미러 이미지이다.
연마 복합물 모두가 동일하게 성형되고 배향되도록 하는 것도 가능하다. 구성은 또한 복합물이 상이한 형상 및 상이한 방향성을 갖도록 하는 것도 가능하다.
연마 복합물의 베이스는 서로 마주보거나 인접 연마 복합물의 베이스가 약간의 특정 거리로 서로 이격될 수도 있다. 일부 실시예에서, 인접 연마 복합물간의 물리적인 접촉은 각각의 접촉 복합물의 수직 높이 치수의 33% 이하를 포함한다. 더욱 바람직하게, 서로 마주보는 복합물간의 물리적인 접촉의 양은 각각의 접촉 복합물의 수직 높이의 1∼25% 의 범위에 있다. 서로 마주본다는 정의는 인접 복합물이 공통 연마 물질 영토를 공유하는 배열 또는 복합물의 대면 측벽 사이에서 접촉 및 연장하는 브리지형 구조를 포함한다. 바람직하게, 영토 구조는 각각의 인접 복합물의 수직 높이 치수의 33% 이하의 높이를 갖는다. 연마 물질 영토는 연마 복합물을 형성하기 위해 사용된 동일 슬러리로 형성된다. 복합물은 복합물의 중심 사이에 그려지는 가상적인 직선상에 위치되는 복합물이 존재하지 않는다는 의미에서 "인접"하고 있다는 것이다.
연마 복합물의 적어도 일부분이 서로 분리되어 전술된 바와 같이 복합물의 돌출부 사이에 홈 영역을 제공하는 것이 바람직하다. 어떠한 이론에도 구애됨이 없이, 이러한 분리는 액상 매질로 하여금 연마 복합물 사이에서 자유롭게 흐르도록 하는 수단을 제공한다. 액상 매질의 이러한 자유 흐름은 더 우수한 절단율, 표면 다듬질, 및/또는 반도체 웨이퍼 평면화 동안의 평평도에 기여할 것이다.
연마 복합물의 이격은 직선 센티미터당 약 1개의 연마 복합물 내지 직선 센티미터당 약 100개의 연마 복합물, 바람직하게는 직선 센티미터당 약 5개의 연마 복합물 내지 직선 센티미터당 약 80개의 연마 복합물, 더욱 바람직하게는 직선 센티미터당 약 10개의 연마 복합물 내지 직선 센티미터당 약 60개의 연마 복합물, 가장 바람직하게는 직선 센티미터당 약 15개의 연마 복합물 내지 직선 센티미터당 약 50개의 연마 복합물로 변화할 수 있다. 또한, 이격은 복합물의 농도는 다른 위치에서보다 그 위치에서 더 크게 되도록 변화될 수 있다(예를들어, 농도는 표면의 중앙에서 가장 크게 될 것이다).
일부 실시예에서, 적어도 1,200 복합물/㎠의 면적 공간 밀도가 존재하고, 상기 복합물 각각은 복수의 연마 입자 및 결합제를 함유한다. 본 발명의 추가의 실시예에서, 면적 공간 밀도는 약 1∼12,000 복합물/㎠, 바람직하게는 적어도 약 50∼7,500 복합물/㎠, 더욱 바람직하게는 적어도 약 50∼5,000 복합물/㎠의 범위를 갖는다.
노출된 백킹의 영역, 즉 연마 코팅이 백킹의 전체 표면적을 커버하지 않는 영역을 가질 것이다. 이러한 유형의 배열은 미국 특허 번호 5,014,468에 상세히 개시되어 있다.
연마 복합물은 소정 패턴으로 제공되는 것이 바람직하다. 즉, 복합물은 소정 위치에 제공된다. 예를들어, 백킹과 그 안에 기공을 갖는 제조 툴 사이에 슬러리를 제공함으로써 구성된 연마 물품에서, 복합물의 소정 패턴은 제조 툴상의 기공의 패턴에 대응할 것이다. 이 패턴은 물품에서 물품으로 재생가능하다.
소정 패턴의 하나에서, 연마 복합물은 복합물이 정렬된 열 및 행과 같은 직사각 어레이로 존재하거나 또는 열과 행이 교번하여 오프셋되는 어레이 또는 배열로 존재될 수 있다. 일부 예에서, 연마 복합물의 한 행은 연마 복합물의 제2 행의 전면에 똑바로 정렬될 수 있다. 이와 달리, 연마 복합물의 한 행은 연마 복합물의 제2 행으로부터 오프셋될 수 있다. 연마 복합물의 인접 행은 서로 오프셋될 수 있는 것이 바람직하다.
이와 달리, 복합물은 "불규칙한" 어레이 또는 구성으로 채용될 수도 있다. 이로써, 복합물은 전술된 바와 같이 규칙적인 열과 행의 어레이로 존재하지 않는 다는 것을 의미한다. 예를들어, 연마 복합물은 PCT WO 95/07797 및 PCT WO 95/22436에 개시된 방식으로 배열될 것이다. 그러나, 이러한 "불규칙한" 어레이는 연마 물품상의 복합물의 위치가 사전 결정되고 연마 물품을 제조하기 위해 사용된 제조 툴내의 기공의 위치에 대응하는 사전결정된 패턴이다.
3차원 직물 고착된 연마 물품은 또한 다양한 연마 코팅 조성물을 가질 것이다. 예를들어, 고착된 연마 디스크의 중심은 연마 디스크의 외곽 지역과 상이한(즉,"더 연성의, 더 강성의 또는 더 큰 침식성이나 더 작은 침식성의) 연마 코팅을 포함할 것이다.
특정 구성이 도4 내지 도12에 도시되어 있다.
도4에는 연마 물품(50)이 전면(58)을 갖는 백킹(59)을 포함하며, 이 전면(58)에는 연마 코팅(57)이 백킹(59)의 전면(58) 상에 결합되어 있다. 연마 코팅(57)은 3차원이며, 복수의 연마 복합물(54)을 포함한다. 본 특정 실시예에서, 연마 복합물(54)은 피라미드형이다. 인접한 연마 복합물 사이에는 홈부 또는 밸리(53)가 존재한다. 또한 연마 복합물의 제2 행이 제1 행과 오프셋되는 것으로 도시된 피라미드형의 연마 복합물의 하나 이상의 행이 존재한다. 연마 복합물(54)은 결합제(55)내에 분산된 복수의 연마 입자(56)를 함유한다. 연마 복합물(54)의 최외각 지점 또는 원심 단부(51)는 평면화 동안 반도체 웨이퍼에 접촉한다.
도5는 불규칙한 형상을 갖는 연마 복합물을 도시한다. 연마 물품(60)은 백킹(61)을 포함하고, 이 백킹에는 복수의 연마 복합물(62)이 결합된다. 연마 복합물의 내부에는 복수의 연마 입자(63)가 결합제(64)에 분산되어 있다. 본 도면에서, 연마 복합물은 피라미드형 형상을 갖는다. 피라미드형을 형성하는 경계(65)는 불규칙적으로 성형된다. 불완전한 형상은 결합제 선구물질의 경화 또는 고화 이전에 초기 형상을 따라 흐르고 왜곡하는 슬러리에 의해 형성될 수 있다. 이러한 비직선이면서 지저분하며 재생가능하지 않은 부정밀한 또는 불완전한 평면이나 형상 경계는 불규칙한 형상에 의해 결정된 것이다.
도6은 연마 복합물(300) 배열의 평면도이다. 연마 복합물은 "십자" 형상(310) 및 "X"자 형상(311)을 갖는다. 연마 복합물은 백킹에 결합되고, 이 백킹은 2개의 측면(304,305)을 갖는다. 본 도면에서, 연마 복합물(301,302,303,308)의 4개의 행이 존재한다. 행(301,303)에서의 연마 복합물은 동일 방식으로 백킹 상에 위치되어 있다. 또한, 행(302,308)내의 연마 복합물은 동일 방식으로 백킹 상에 위치되어 있다.
행(301) 및 인접 행(302)으로부터의 연마 복합물은 서로 오프셋된다. 즉, 행(301)내의 연마 복합물은 행(302)내의 연마 복합물과 직접 정렬되지 않는다. 도6에 도시되지는 않았지만, 인접 행으로부터의 개개의 연마 복합물의 형상 및/또는 방향성은 동일하게 될 것이다.
연마 복합물(310)은 각 α로 교차하는 2개의 교차라인(306,307)을 포함한다. 라인(306)은 백킹 측면(304,305)에 평행하게 되거나 평행하게 되지 않을 수도 있다. 도6에서, 연마 복합물(310,311)은 서로 마주 보지 않지만, 공간 또는 밸리(309)에 의해 분리된다. 일부 예에서, 밸리는 연마 복합물의 극소량(즉, 높이)으로 구성될 것이다. 밸리(309)는 연마 복합물을 포함하지 않을 수도 있으며, 이 경우 백킹이 노출된다.
연마 복합물의 다른 바람직한 배열은 각각의 교번하는 행이 "십자" 형상을 갖는 연마 복합물 또는"X"자 형상을 갖는 연마 복합물중의 하나를 포함한다는 점을 제외하고는 도6과 유사하다. 이러한 배열에서, 기수 행으로부터의 연마 복합물은 우수 행으로부터의 연마 복합물과 여전히 오프셋된다.
십자형 또는 "X"자형 복합물의 전술된 배열에서, 십자 형상 또는 X자 형상중의 하나를 형성하는 한 라인의 길이가 약 750㎛이고, 십자 형상 또는 X자 형상중의 하나를 형성하는 한 라인의 폭이 약 50㎛인 것이 바람직하다. 하나의 십자 형상의 중앙에서 인접한 X자 형상의 연마 복합물의 중앙까지 사이에 대략 1270㎛ 거리가 존재한다.
도7에서, 연마 물품(75)은 전면(77)과 후면(78)을 갖는 백킹(76)을 포함한다. 연마 코팅(79)은 일련의 연마 복합물(80)을 포함하며, 결합제와 연마 입자는 도면을 간략화시키기 위해 생략되었다. 연마 복합물(80)은 리지 형상을 갖는다. 리지(80)는 밸리(73)에 의해 분리된다. 리지(80)는 평면화 동안 반도체 웨이퍼에 접촉하는 상위부(72)를 갖는다. 이들 리지는 통상적으로 연속하며 어떠한 단면 형상도 가질 수 있다.
다른 실시예에서, 연마 복합물의 리지는 연마 백킹을 형성하는 측면에 대해 평행하지도 않고 수직하지도 않는다. 이러한 유형의 배열은 미국 특허 번호 5,489,235에 상세히 개시되어 있다.
도12에서, 연마 물품(230)은 감압성 접착 코팅(238) 및 보호 라이너(237)를 갖는 백킹(231)을 포함한다. 백킹(231)의 전면(232) 위에는 연마 코팅(240)이 존재한다. 연마 코팅(240)은 3차원이며, 복수의 연마 복합물을 포함한다. 인접한 연마 복합물 사이에는 개구 또는 밸리(241)가 존재한다. 본 특정 예에서, 연마 복합물(234)은 사절두된 피라미드형이다. 연마 복합물(241)은 복수의 연마 입자(235) 및 결합제(236)를 포함한다.
반도체 웨이퍼 폴리싱에 유용할 다른 연마 구성은 연마 단괴를 포함하는 코팅된 연마제이다. 도10에서, 코팅된 연마제(160)는 전면(162)을 갖는 백킹(161)을 포함한다. 제1 결합제(163)가 전면(162) 위에 도포되고, 복수의 연마 단괴(165)가 제1 결합제(163) 내로 삽입된다. 연마 단괴(165)는 결합제(167) 및 연마 입자(166)를 포함한다. 연마 단괴(165) 위에는 연마 물품의 최외각 표면(168)을 형성하기 위해 제2 결합제(164)가 존재한다. 도10에서, 연마 단괴는 불규칙적으로 성형된다. 연마 단괴는 미국 특허 번호 4,652,275 및 4,799,939에 개시된 바와 같은 기술에 의해 구성될 수 있다.
도11에서, 코팅된 연마제(170)는 전면(172)을 갖는 백킹(171)을 포함한다. 제1 결합제(173)가 전면(172) 상에 도포되고, 복수의 연마 단괴(175)가 제1 결합제(173)내로 삽입된다. 연마 단괴(175)는 결합제(177) 및 연마 입자(176)를 포함한다. 연자 단괴(175) 상에는 연마 물품의 최외각 표면(178)을 형성하기 위해 제2 결합제(174)가 존재한다. 도11에서, 연마 단괴는 정밀하게 성형되어 있다. 연마 단괴는 미국 특허 번호 5,500,273에 개시된 바와 같은 몰딩 기술 또는 기타 기술에 의해 구성될 수 있다.
슈퍼사이즈 코팅
슈퍼사이즈 코팅이 롤 코팅, 스프레이 코팅, 고온 용융 코팅 등의 종래의 기술을 이용하여 연마 코팅 위에 도포될 것이다. 이러한 슈퍼사이즈 코팅은 여러 가지의 기능을 담당할 것이다. 예를들어, 슈퍼사이즈 코팅은 평면화 동안의 연마 물품과 반도체 웨이퍼간의 마찰력을 감소시킬 것이다. 슈퍼사이즈 코팅은 또한 평면화 동안 발생되는 파편의 구성물이 연마 물품에 부착하는 것을 방지할 것이다.
슈퍼사이즈 코팅은 통상적으로 지방산의 금속염(예를들어, 아연 스테아레이트, 알루미늄 스테아레이트, 칼슘 스테아레이트 또는 리튬 스테아레이트), 흑연, 왁스, 글리코 에테르, 글리세린, 실리콘 화합물, 교차결합된 알콕시 실란(예를들어, 미국 특허 번호 5,213,589에 개시된 바와 같은), 폴리카바메이트 등의 장하 저항성 물질(loading-resistant material)을 함유할 것이다. 결합제 또한 포함될 것이다.
B. 제조
정밀 성형된 복합물
정밀 성형된 연마 복합물을 갖는 유용한 연마 물품을 구성하는 바람직한 방법이 미국 특허 번호 5,152,917 및 5,435,816에 개시되어 있다. 연마 물품내의 임의의 오염물을 최소화시키기 위해 클린룸 타입의 환경(예를들어, 클래스 100, 클래스 1,000 또는 클래스 10,000의 클린룸)에서 제조가 시행되는 것이 바람직하다.
기타의 적합한 방법에 대한 설명은 미국 특허 번호 5,437,754; 5,454,844; 5,437,754; 5,435,815 및 5,304,223에 개시되어 있다.
한가지 바람직한 방법은;
a)연마 입자, 결합제 선구물질 및 선택적인 첨가제를 함유하는 슬러리를 제조하는 단계와,
b)전면과 이 전면에서 연장하는 복수의 기공을 갖는 제조 툴을 제공하는 단계와,
c)슬러리를 제조 툴의 기공내로 삽입하는 단계와,
d)물품을 형성하기 위해 슬러리가 백킹의 한 주요 표면이 습윤하도록 백킹을 제조 툴의 전면으로 유입시키는 단계와,
e)물품이 제조 툴의 외곽 표면에서 분리되기 전에 결합제 선구물질을 적어도 부분적으로 경화 또는 겔화시키는 단계와,
f)백킹에 결합된 정밀 성형된 연마 복합물을 갖는 연마 물품을 형성하기 위해 그 결과의 연마 물품을 제조 툴에서 제거하는 단계와,
g)결합제 선구물질을 선택적으로 추가 경화시키는 단계를 포함한다.
이러한 처리는 도15에 상세히 개시되어 있다. 도15에서, 백킹(341)은 권출단(342:unwind station)을 통과하고, 이와 동시에 제조 툴(346:패턴 공구)는 권출단(345)을 통과한다. 제조 툴(346)은 코팅단(344)에 의해 슬러리로 코팅된다.
슬러리는 적합한 혼합 기술에 의해 결합제 선구물질, 연마 입자 및 선택적인 첨가제를 함께 혼합함으로써 구성된다. 혼합 기술의 예는 낮은 전단 혼합(low shear mixing) 및 높은 전단 혼합(high shear mixing)을 포함하며, 이중에서도 높은 전단 혼합이 바람직하다. 초음파 에너지 또한 슬러리 점도(점도는 연마 물품의 제조시에 중요한 요소가 됨)를 낮추고 및/또는 결과의 연마 슬러리의 유동(rheology)에 영향을 주도록 혼합 단계와 함께 사용될 것이다. 다른 수단은 슬러리내에 용매 또는 물을 사용하거나 또는 연마 및/또는 충진제 입자와 함께 표면 처리장치를 사용하는 것이다. 다른 수단은 슬러리를 가열(예를들어, 30∼70℃의 범위에서)하는 것이다. 또한 다른 수단은 코팅 이전에 슬러리를 초미세유동화시키거난(microfluicized) 또는 볼 밀시키는 것이다.
통상적으로, 연마 입자는 결합제 선구물질에 점증적으로 첨가된다. 슬러리가 결합제 선구물질, 연마 입자 및 선택적인 첨가제의 균일한 혼합물이 되는 것이 바람직하다. 점도를 낮추기 위해 필요한 수분 및/또는 용매가 첨가될 수도 있다. 에어 버블의 형성은 예를들어 혼합 단계 동안이나 혼합 단계 후에 진공을 유입시킴으로써 최소화될 것이다. 이와 달리, 일부 경우, 에어 버블을 슬러리내에 유입시키는 것이 바람직하다. 경화 후, 이러한 에어 버블은 연마 코팅에 잔류하여 기공을 형성한다.
코팅단은 드롭 다이 코팅기(drop die coater), 나이프 코팅기, 커튼 코팅기, 진공 다이 코팅기 또는 다이 코팅기 등의 종래의 코팅 수단이 될 수 있다. 바람직한 코팅 기술은 미국 특허 번호 3,594,865 및 4,959,265에 개시된 바와 같은 진공 플루이드 베어링 다이이다. 코팅 동안, 에어 버블의 형성은 최소화되는 것이 바람직하다. 이와 반대로, 일부 경우에, 슬러리가 제조 툴내에 코팅될 때, 공기를 슬러리내에 포함시키는 것이 바람직하다. 이러한 포획된 공기는 연마 코팅내에 기공(즉, 보이드)을 형성하고, 연마 코팅의 침식성을 증가시키는 것이 가능하다. 또한, 공기는 혼합 단계 또는 코팅 단계 동안의 한 단계 동안 슬러리내로 펌핑될 수 있다.
제조 툴이 코팅된 후, 백킹 및 슬러리는 슬러리가 백킹의 전면을 습윤시키도록 임의의 수단에 의해 접촉하게 된다. 도15에서, 슬러리는 접촉 닙 롤(347:contact nip roll)에 의해 백킹과 접촉하게 된다. 접촉 닙 롤(347)은 그 결과의 구성물을 지지 드럼(343)으로 보낸다. 닙 롤은 어떠한 물질로도 구성될 수 있으나, 금속, 금속 합금, 고무 또는 세라믹과 같은 구조 물질로 구성되는 것이 바람직하다. 닙 롤의 경도는 약 30∼120듀로미터, 통상적으로 60∼100듀로미터, 바람직하게는 약 90듀로미터로 변화할 수 있다.
그리고나서, 여러가지 형태의 에너지가 에너지원(348)에 의해 결합제 선구물질을 적어도 부분적으로 경화시키기 위해 슬러리내로 전달된다. 에너지원의 선택은 결합제 선구물질, 제조 툴 및 기타의 처리 조건의 화학적 특성에 좌우될 것이다. 에너지원은 제조 툴 또는 백킹을 현저하게 저하시키지 않아야만 한다. 부분 경화라는 의미는 슬러리가 공구에서 반전될 때 이 슬러리가 흐르지 않는 상태가 되도록 결합제 선구물질이 중합화되는 것을 의미한다. 결합제 선구물질은 임의의 에너지원에 의해 제조 툴에서 제거될 때 전체적으로 경화될 수 있다.
그러므로, 제조 툴 및 연마 물품은 각도 α 분리된다. 각도 α는 제조 툴과 연마 물품을 분리하는데 효과적인 각도이다. 결합제 선구물질이 전체적으로 경화되지 않은 경우, 결합제 선구물질은 에너지원에 대한 시간 및/또는 노출에 의해 전체적으로 경화될 수 있다. 그리고나서, 제조 툴은 이 제조 툴이 재사용될 수 있도록 맨드릴(349:mandrel) 상에 재권선된다. 또한, 연마 물품(340)은 맨드릴(349) 상에 재권선된다.
본 제1 발명의 다른 변형에서, 슬러리는 백킹 상에 코팅되고 제조 툴내로 유입되지 않을 수도 있다. 슬러리 코팅된 백킹은 슬러리가 제조 툴의 기공내로 흐르도록 제조 툴과 접촉하게 된다. 연마 물품을 제조하기 위한 나머지 단계는 전술된 단계와 동일하다.
에너지원은 전자빔, 자외선광 또는 가시광 등의 복사 에너지원이나 열에너지원이 될 것이다. 요구되는 에너지의 양은 결합제 선구물질내의 반응기의 화학적 성질 및 결합제 선구물질 코팅의 두께와 밀도에 좌우된다. 열에너지의 경우, 약 15분 내지 약 16시간의 지속시간에서 약 50℃∼250℃의 온도이면 일반적으로 충분하다. 이온화 복사선으로서도 공지되어 있는 전자빔 복사선은 약 0.1∼10Mrad의 에너지 레벨, 바람직하게는 약 1∼10Mrad의 에너지 레벨로 사용될 수 있다. 자외선 복사는 약 200∼400㎚, 바람직하게는 약 250∼400㎚ 범위의 파장을 갖는 복사선이 가능하다. 118∼236Watt/㎝ 자외선광이 사용되는 것이 바람직하다. 가시 복사선은 약 400∼800㎚, 바람직하게는 약 400∼550㎚ 범위의 파장을 갖는 복사선이 가능하다.
결합제 선구물질은 복사 에너지에 의해 경화되는 것이 바람직하다. 복사 에너지는 제조 툴을 통과하여 또한 백킹을 통과하여 전달될 수 있다. 백킹 또는 제조 툴은 복사 에너지를 현저하게 흡수하지 않아야만 한다. 또한, 복사 에너지원은 백킹 또는 제조 툴을 현저하게 저하시키지 않아야만 한다. 예를들어, 자외선광은 폴리에스테르 백킹을 통과하여 전달될 수 있다. 이와 달리, 제조 툴이 예를들어, 폴리에틸렌, 폴리프로필렌, 폴리에스테르, 폴리카보네이트, 폴리(에테르 술폰), 폴리(메틸 메타아크릴산염), 폴리우레탄, 폴리비닐클로라이드 또는 그 조성물 등의 특정 열가소성 물질로 구성되는 경우, 자외선광 또는 가시광은 제조 툴을 통과하고 슬러리내로 전달될 수 있다. 열가소성계 제조 툴을 위해, 연마 물품을 제조하기 위한 동작 조건은 과도한 열이 발생되지 않도록 설정되어야만 한다. 과도한 열이 생성되는 경우, 이 열은 열가소성 틀링을 왜곡 또는 용융시킬 것이다.
이 결과의 고화된 슬러리 또는 연마 복합물은 제조 툴의 반대 패턴을 가질 것이다. 연마 복합물을 제조 툴상에 적어도 부분적으로 경화 또는 고화시킴으로써, 연마 복합물은 정밀하면서 사전결정된 패턴을 갖는다.
제조 툴은 전면을 가지며, 이 전면은 이 전면 외부의 만곡부(indentation)로서 팽창하는 복수의 기공을 포함한다. 이들 기공은 필수적으로 연마 복합물의 반대 형상을 가지며, 연마 복합물의 형상 및 배치를 생성하는 책임을 갖는다.
이러한 기공은 정육면체형, 원통형,프리즘형, 반구형, 직사각형, 피라미드형, 사절두된 피라미드형, 원추형, 사절두된 원추형, 상표면이 평평한 포스트형 등의 연마 복합물에 적합한 기하학적 형상의 반대 형상인 어떠한 기하학적 형상도 가질 수 있다. 기공의 치수는 요구된 수의 [연마 복합물/㎠]를 획득하기 위해 선택된다. 기공은, 기공들의 간극에 형성된 제조 툴의 공통 평면형 주표면내로 만곡부가 통합되는 부분에서 인접 기공이 서로 마주 보는 도트형 패턴으로 존재될 수 있다. 기공의 형상은 연마 복합물의 표면적이 백킹에 먼 곳에서는 감소하도록 선택된다.
제조 툴은 벨트, 박판, 연속 박판 혹은 웨브, 로토그라비야 롤(rotogravure roll)과 같은 코팅 롤, 코팅 롤상에 장착된 슬리브 또는 다이의 형태가 가능하다. 제조 툴은 금속(예를들어, 니켈), 금속 합금 또는 플라스틱으로 구성될 수 있다. 제조 툴은 포토리토그래피, 널링(knurling), 조각(engraving), 호브 절삭(hobbing), 전기주형법(electroforming), 다이아몬드 절삭 등을 포함한 종래 기술에 의해 제조될 수 있다. 예를들어, 구리 툴은 다이아몬드 절삭될 수 있고, 그리고나서 니켈 금속 툴이 구리 툴에 도금될 수 있다. 마스터 툴 또는 제조 툴을 구성하기 위한 방법에 대한 세부내용은 미국 특허 번호 5,152,917; 5,489,235; 5,454,844; 5,435,816 및 PCT WO 95/07797과 PCT WO 95/22436에 개시되어 있다. 일부 경우, 다이아몬드 절삭과 같은 다른 기술에 의해 형성할 수 없는 패턴 또는 다이아몬드 절삭과 같은 다른 기술에 의해 생성하기가 용이하지 않고 비용이 많이 소요되는 패턴을 포토리토그래피 처리가 형성할 수 있으므로, 포토그래피 처리가 바람직하다.
열가소성 툴은 금속 마스터 툴로 대체될 수 있다. 마스터 툴은 제조 툴에 대해 요구된 반대의 패턴을 가질 것이다. 마스터 툴은 예를들어 알루미늄, 구리 또는 동 등의 니켈 도금된 금속 이외의 것으로 구성되는 것이 바람직하다. 열가소성 박판 물질은 열가소성 물질이 이 열가소성 물질과 마스터 툴을 함께 프레싱함으로써 마스터 툴 패턴으로 양각되도록(embossed) 마스터 툴과 함께 선택적으로 가열될 수 있다. 열가소성 물질은 또한 사출성형되거나 또는 마스터에 대해 주조되고 나서 프레싱될 수도 있다. 열가소성 물질은 유동불가능한 상태로 냉각되고, 그후 제조 툴을 생성하기 위해 마스터 툴로부터 분리된다.
열가소성 제조 툴에 대한 추가의 정보는미국 특허 번호 5,435,816에 개시되어 있다. 제조 툴을 형성하기에 유용할 열가소성 수지 물질의 예는 폴리에스테르, 폴리프로필렌, 폴리에틸렌, 폴리아미드, 폴리우레탄, 폴리카보네이트, 및 그 조성물을 포함한다. 또한, 일부 경우, 열가소성 제조 툴은 산화방지제 및/또는 UV 안정화제와 같은 첨가제를 포함한다. 이러한 첨가제는 제조 툴의 유효 수명을 연장시킬 것이다.
제조 툴은 또한 제조 툴로부터 연마 물품을 용이하게 해제시킬 수 있도록 해제 코팅을 포함할 수도 있다. 이러한 해제 코팅의 예는 실리콘 및 불소 화학물을 포함한다.
불규칙하게 성형된 연마 복합물
불규칙하게 성형된 연마 복합물을 포함하는 연마 물품을 제조하기 위한 다수의 수단이 존재한다. 이러한 복합물은 불규칙하게 성형되긴 하지만 복합물의 위치가 사전결정된다는 점에서 소정 패턴으로 제공될 수도 있다. 한가지 방법에서, 슬러리는 연마 북합물을 생성하기 위해 제조 툴의 기공내로 코팅된다. 제조 툴은 정밀 성형된 복합물의 경우에서 전술된 바와 같은 동일 제조 툴이 될 수 있다. 그러나, 슬러리는 결합제 선구물질이 제조 툴로부터의 제거시에 그 형상을 거의 유지하기에 충분할 정도로 경화 또는 고화되기 전에 제조 툴로부터 제거된다. 그리고나서, 결합제 선구물질이 경화 또는 고화된다. 결합제 선구물질이 제조 툴의 기공내에 있는 동안 경화되지 않으므로, 그 결과 슬러리는 연마 복합물 형상을 따라 흐르고 연마 복합물 형상을 왜곡시킨다.
불규칙하게 성형된 복합물을 특징으로 하는 연마 물품을 제조하는 이러한 방법의 예는 도16에 도시되어 있다. 백킹(351)은 권출단(352)을 통과하고, 슬러리(354)는 코팅단(353)에 의해 제조 툴(355) 상에 코팅된다. 제조 툴은 박판 형태로 제공되고, 드럼(355)의 회표면상에 흡열 접합부(heat shrunk fit)가 될 수 있는 이음매없는 슬리브를 형성하기 위해 그 자유 단부에서 용접될 수 있다. 슬러리는 툴 상에 코팅되고, 정밀 성형된 연마 복합물을 제조하는 경우에 전술된 바와 같이 그 점도가 제어될 수 있다. 혼합 및/또는 코팅 동안의 에어 버블의 존부는 정밀 성형된 복합물의 경우에서 설명된 과정에 따라 제어될 수 있다.
다음에, 백킹은 슬러리가 제조 툴의 기공을 충진시키도록 닙 롤(356)에 의해 슬러리 및 제조 툴(355)과 접촉하게 된다. 그러므로, 슬러리 코팅된 백킹은 제조 툴로부터 제거된다. 제거시에, 슬러리는 그와 관련된 패턴을 가질 것이고, 연마 복합물의 패턴은 제조 툴내의 기공으로부터 형성된다.
제거에 후속하여, 슬러리 코팅된 백킹은 결합제 선구물질의 중합화를 개시하고 그에 따라 연마 복합물을 형성하기 위해 에너지원(357b)에 노출되며, 적합한 에너지원은 정밀 성형된 연마 복합물의 제조를 위해 전술된 것과 동일하다. 경화 후, 그 결과의 연마 물품은 단(358)에서 롤상에 권취된다. 일반적으로 제조 툴로부터의 슬러리 코팅된 백킹의 해제에서부터 결합제 선구물질의 경화 사이의 시간은 아주 적은(예를들어, 수초 내지 수 시간 정도) 것이 바람직하다. 이 시간이 너무 길면, 슬러리가 유동하게 되고, 패턴은 이 패턴이 보이지 않을 정도로 왜곡할 것이다. 이러한 종류의 연마 물품을 제조하기 위한 방법에 대한 추가의 세부사항은 미국 특허 번호 4,773,920 및 5,104,468에 개시되어 있다. 선택적으로, 제조 툴로부터의 제거시에 그 형상을 거의 유지하기에 충분한 정도로 결합제 선구물질이 경화 또는 고화되기 전에 슬러리가 제조 툴로부터 제거된다면, 슬러리는 에너지원(357a)에 의해 제조 툴과 접속하면서 부분적으로 경화될 수 있다. 이에 후속하여, 결합제 선구물질은 에너지원(357b)에서 경화 또는 고화된다.
본 방법의 변형에서, 슬러리는 백킹상에 코팅될 수 있다. 백킹은 그리고나서 제조 툴의 기공이 슬러리에 의해 충진되도록 제조 툴과 접촉하게 된다. 연마 물품을 제조하는 나머지 단계는 전술된 단계와 동일하다. 연마 물품이 제조된 후, 반전시키기 이전에 휘어지거나 및/또는 습윤될 수 있다.
불규칙하게 성형된 복합물을 제조하는 다른 방법에서, 슬러리는 로토그라비아 롤의 표면상에 코팅될 수 있다. 백킹은 로투그라비아 롤과 접촉하며, 슬러리는 백킹을 습윤시킨다. 로토그라비아 롤은 슬러리내에 패턴 또는 직물을 부여한다. 그 다음에, 슬러리/백킹 복합물은 로토그라비아 롤로부터 제거된다. 그리고나서, 그 결과의 구성물은 연마 코팅이 형성되도록 결합제 선구물질을 경화시키도록 상태조절하기 위해 노출된다. 이러한 처리의 변화는 백킹상에 슬러리를 코팅하고 백킹을 로토그라비아 롤과 접촉하도록 한다는 것이다.
로토그라비아 롤은 6각형 어레이, 리지형, 격자형, 구체형, 피라미드형, 사절두된 피라미드형, 원추형, 정육면체형, 블록형, 막대형 등의 어떠한 요구 패턴도 제공할 수 있다. 로토그라비아 롤은 인접 연마 복합물 형상 사이에 영토 영역이 존재하도록 패턴을 제공할 수 있다. 이 영토 영역은 연마 입자와 결합제의 혼합물을 함유할 수 있다. 이와 달리, 로토그라비아 롤은 인접한 연마 복합물 형상 사이에 백킹이 노출되도록 하는 패턴을 제공할 수 있다. 유사하게, 로토그라비아 롤은 혼합 형상이 존재하도록 하는 패턴을 제공할 수 있다.
다른 방법은 패턴과 연마 복합물을 생성하기 위해 스크린을 통해 슬러리를 분사 또는 코팅하는 것이다. 그리고나서, 결합제 선구물질은 연마 복합물을 형성하기 위해 경화 또는 고화된다. 스크린은 6각형 어레이, 리지형, 격자형, 구체형, 피라미드형, 사절도된 피라미드형, 원추형, 정육면체형, 블록형, 막대형 등의 어떠한 요구된 패턴도 제공할 수 있다. 스크린은 인접한 연마 복합물 사이에 영토 영역이 존재하도록 패턴을 제공할 수 있다. 이 영토 영역은 연마 입자와 결합제의 혼합물을 함유할 수 있다. 이와 달리, 스크린은 인접한 연마 복합물 사이에 백킹이 노출되도록 패턴을 제공할 수도 있다. 이와 유사하게, 스크린은 혼합 형상이 존재하도록 패턴을 제공할 수도 있다. 이러한 유형의 처리는 미국 특허 번호 3,605,349에 개시되어 있다.
양각 백킹
3차원 직물 고착된 연마물품을 구성하기 위한 다른 방법이 존재한다. 이러한 기술에 따라, 백킹은 양각되어 제공된다. 슬러리는 이러한 백킹 위에 코팅된다. 연마 코팅은 양각된 백킹의 외형을 따르며 직물된 코팅을 제공한다. 슬러리는 롤 코팅, 스프레잉, 다이 코팅, 나이프 코팅 등의 적합한 기술에 의해 양각 백킹 상에 도포될 수 있다. 슬러리가 양각 백킹 상에 도포된 후, 그 결과의 구성물은 연마 코팅을 형성하기 위한 고화 처리를 개시하기 위해 적합한 에너지원에 노출된다. 양각 백킹 상에 도포된 연마 코팅의 예는 미국 특허 번호 5,015,266에 개시되어 있다.
양각 백킹을 사용하여 연마 물품을 제조하는 다른 방법은 미국 특허 번호 5,219,462에 개시되어 있다. 슬러리는 양각 백킹의 홈부내로 코팅된다. 슬러리는 연마 입자, 결합제 선구물질 및 팽창제를 함유한다. 그 결과의 구성물은 팽창제가 슬러리를 백킹의 전면 위로 팽창시키도록 하는 상태로 노출된다. 다음에, 결합제 선구물질은 결합제를 형성하기 위해 고화되며, 슬러리는 연마 복합물내로 코팅된다. 그 결과의 연마 물품이 도8 및 도9에 도시되어 있다.
도8에서, 연마 물품(100)은 홈부(110)가 측면(112)과 기저벽(114)을 갖는 양각된 백킹(102)을 포함한다. 백킹(102)은 후면(106)을 갖는다. 연마 복합물(120)은 신장되며, 백킹이 노출된때 인접한 연마 복합물 사이에 개구(104)가 존재한다. 연마 복합물(120)은 연마 입자(124)와 결합제(122)를 함유한다.
도9에서, 연마 물품(130)은 홈부(140)가 측벽(142)과 기저벽(144)을 갖는 양각된 백킹(132)을 포함한다. 백킹(132)은 후면(136)을 갖는다. 연마 복합물(150)은 이산적이 되며, 백킹이 노출될 때 인접한 연마 복합물 사이에 개구(134)가 존재하게 된다. 연마 복합물(150)은 연마 입자(154)와 결합제(152)를 함유한다. 연마 복합물의 외곽 표면은 그와 관련된 러프 표면 또는 직물(156)을 갖는다.
양각된 백킹 기술의 변형은 백킹의 전면에 결합된 연마 코팅을 갖는 관통된 백킹을 사용한다. 이러한 관통된 백킹은 백킹의 폭을 통해 연장하는 일련의 또는 소정 배치의 홀 또는 기공을 가질 것이다. 슬러리는 백킹 위에 코팅된다(예를들어, 나이프 코팅됨). 이들 기공은 직물된 연마 코팅을 본질적으로 생성할 것이다.
열가소성 결합제
연마 입자를 제조하는 다른 방법은 열가소성 결합제를 사용한다. 연마 물품은 백킹을 포함하거나 그렇지 않으면 백킹없이도 제조될 수 있다. 통상적으로, 열가소성 결합제, 연마 입자 및 선택적인 첨가제는 본 발명에 따라 예를들어 조성물을 단일 스크류 사출성형기 또는 이중 스크류 사출성형기내에 제공함으로써 서로 화합되며, 사출성형물은 그리고나서 펠릿(pellet) 또는 긴 입상체로 형성될 수 있다. 연마 물품은 다양한 프로토콜에 따라 형성된다.
예를들어, 연마 입자는 연마 물품 표면의 요구된 패턴의 반대 패턴을 갖는 몰드를 사용하여 화합된 조성물을 삽입 또는 압축 주물함으로써 형성될 수 있다. 화합된 조성물은 주물된 슬러리를 형성하는 지점에서 가열될 것이고, 그리고나서 몰드에 제공되고 냉각된다. 결합제가 유동할때까지 결합제를 가열하고, 주물된 슬러리를 형성하기 위해 다른 첨가제를 더하여 연마 입자를 첨가할 수 있다.
본 발명의 각종 변형예 및 변경은 본 발명의 기술적 사상에서 일탈함이 없이 당업자에게 명백하게 될 것이며, 본 발명은 본 명세서에 개시된 실시예로 국한되는 것으로 이해되어서는 안된다.
실시예
다음의 비제한적인 실시예가 본 발명을 상세히 예시할 것이다. 특별하게 지정되지 않으면, 모든 백분율은 중량을 기초로 한 것이며, 모든 양은 그램으로 제공된다. 표 1a 내지 표 1f의 명칭은 실시예에 걸쳐 사용된다.
물질 명칭
명칭 물질
PPF 전면상에 에틸렌 아크릴산 공중합체 프라이머를 함유하고 있는 76㎛ 두께(3밀 두께)의 폴리에스테르 필름
CA1 3-메타아크릴옥시프로필트리메톡시실란 커플링제. 미국 커넥티컷주의 댄버리에 소재한 OSI Specialities, Inc에서 제조한 상표명 "A-174"로부터 상업적으로 이용가능함.
CA2 폴리에틸렌 산화물기 함유 트리알콕시 실란 커플링제. OSI Specialities, Inc에서 제조한 상표명 "A-1230"로부터 상업적으로 이용가능함.
CA3 이소프로필 트리이소스테아로일 티탄산염 커플링제. 미국 뉴욕주의 베이욘에 소재한 Kenrich Petrochemicals Inc.에서 제조한 상표명 "KR-TTS"로부터 상업적으로 이용가능함.
CA4 옥틸트리에톡시실란. 미국 뉴욕주의 리지필드 파크에 소재한 DeGussa Corp.으로부터 상업적으로 이용가능함.
SISOL1 평균 입경이 약 20㎚이고 탈이온수내의 고용성분이 약 34%인 실리카 졸. 미국 일리노아주의 내퍼빌에 소재한 Nalco Chemical Co.에서 제조한 상표명 "Nalco 1060"으로부터 상업적으로 이용가능함.
SISOL2 평균 입경이 약 60㎚이고 탈이온수내의 고용성분이 약 50%인 실리카 졸. Nalco Chemical Co.에서 제조한 상표명 "Nalco 1060"으로부터 상업적으로 이용가능함.
HEA 2-히드록시 에틸 아크릴산염. 미국 펜실베니아의 필라델피아에 소재한 Haas Co.에서 제조한 상표명 "Rocryl420"으로부터 상업적으로 이용가능함.
HEMA 히드록시 에틸 메타아크릴산염. Haas Co.에서 제조한 상표명 "Rocryl400"으로부터 상업적으로 이용가능함.
명칭 물질
BP1 에톡시산염화된 트리메틸올프로판 트리아크릴산염 수지. 미국 펜실베니아주의 엑스톤에 소재한 Sartomer Co. Inc. 에서 제조한 상표명 "Sartomer9035"으로부터 상업적으로 이용가능함.
BP2 트리스(히드록시 에틸) 이소시안산염 수지의 트리아크릴산염. Sartomer Co. Inc. 에서 제조한 상표명 "Sartomer368"으로부터 상업적으로 이용가능함.
TMPTA 트리메틸올프로판 트리아크릴산염. Sartomer Co. Inc. 에서 제조한 상표명 "Sartomer351"으로부터 상업적으로 이용가능함.
HDDA 헥산디올 디아크릴산염. Sartomer Co. Inc. 에서 제조한 상표명 "Sartomer238"으로부터 상업적으로 이용가능함.
BP3 폴리에틸렌 글리콜 디아크릴산염. Sartomer Co. Inc. 에서 제조한 상표명 "Sartomer344"으로부터 상업적으로 이용가능함.
BP4 BP1과 BP2의 일대일 덩어리 혼성물.
EPR 비스페놀 A계 에폭시 수지. 미국 텍사스 휴스톤에 소재한 Shell Chemical Co. 에서 제조한 상표명 "EPON828"으로부터 상업적으로 이용가능함.
EC 아민 경화제. 미국 펜실베니아 알렌타운에 소재한 Air Products Inc. 에서 제조한 상표명 "Ancamine1922"으로부터 상업적으로 이용가능함.
PEG 약 600의 평균 분자량을 갖는 폴리에틸렌 글리콜. 미국 커넥티컷 댄버리에 소재한 Union Carbide에서 제조한 상표명 "Carbowax600"으로부터 상업적으로 이용가능함.
DPP 디부틸 프탈산염 가소제. 미국 뉴욕주의 로체스터에 소재한 Eastman Kodak Co. 에서 제조한 상표명 "Kodaflex DBP"으로부터 상업적으로 이용가능함.
ABP 알킬 벤질 프탈산염 가소제. 미국 몬타나주의 세인트 루이스에 소재한 Monsanto Co.에서 제조한 상표명 "Santicizer261"으로부터 상업적으로 이용가능함.
PP 알킬 벤질 프탈산염 가소제. Monsanto Co.에서 제조한 상표명 "Santicizer278"으로부터 상업적으로 이용가능함.
명칭 물질
TDP T-부틸페닐 디페닐 인산염 가소제. Monsanto Co.에서 제조한 상표명 "Santicizer154"으로부터 상업적으로 이용가능함.
DUP 디운데킬 프탈산염 가소제. 미국 테네시주의 킹스포츠에 소재한 Eastman Chemicals Co.로부터 상업적으로 이용가능함.
SAB 나트륨 폴리아크릴산염/폴리알콜 공중합체. 미국 노스캐롤라이나주의 그린스보로에 소재한 Stockhausen에서 제조한 상표명 "FAVOR SAB800HS"으로부터 상업적으로 이용가능함.
CEO1 평균 입경이 약 0.5㎛인 산화세륨 연마 입자. 미국 커넥티컷주의 셀톤에 소재한 Rhone Poulenc로부터 상업적으로 이용가능함.
CEO2 이소프로필 트리이소스테아로일 티탄산염 커플링제 처리를 포함한 평균 입경이 약 0.5㎛인 산화세륨 연마 입자. Rhone Poulenc로부터 상업적으로 이용가능함.
CEO3 평균 입경이 약 0.5㎛인 산화세륨 연마 입자. 일본의 Fujimi 에서 제조한 제품번호 #74096으로부터 상업적으로 이용가능함.
CEO4 매질 평균 입경이 0.490㎛인 산화세륨 연마 입자. 미국 뉴욕주의 펜얀에 소재한 Transelco에서 제조한 상표명 "TRS-1794"로부터 상업적으로 이용가능함.
CEO5 매질 입경이 0.523㎛인 산화세륨 연마 입자. Transelco에서 제조한 상표명 "TRS-1793"으로부터 상업적으로 이용가능함.
CDO6 산화세륨 연마 입자(평균 입경이 5㎛인 것으로 보고됨). 미국 뉴욕주의 화이트 플레인즈에 소재한 Molycorp, Inc에서 제조한 상표명 "5350" 제품번호#530401630P 으로부터 상업적으로 이용가능함.
TNOX 주석 산화물 연마 입자. 미국 뉴욕주의 필립스버그에 소재한 J.T. Baker 에서 제조한 상표명 "S-3976"으로부터 상업적으로 이용가능함.
ZRO 평균 입경이 약 0.3㎛인 지르코니아 연마 입자. 일본 도쿄에 소재한 Tosoh Corp.에서 제조한 상표명 "TZ-3YTSK"으로부터 상업적으로 이용가능함.
명칭 물질
TIO 평균 입경이 약 0.3㎛인 티타늄 이산화물 입자. 미국 뉴욕주의 페어론에 소재한 Fisher에서 제조한 상표명 "T315,TiO2"으로부터 상업적으로 이용가능함.
PH1 2,4,6-트리메틸벤조일-디페닐-포스파인 산화물 광증감제. 미국 노스캐롤라이나주의 샤로트에 소재한 BASF에서 제조한 상표명 "Lucrin TPO"으로부터 상업적으로 이용가능함.
PH2 2-벤질-2-N,N-디메틸아미노-1-(4-모르포리노-페닐)-1-부타논. 미국 노스캐롤라이나주의 그린스보로에 소재한 Ciba Geigy Corp.에서 제조한 상표명 "Irgacure369"으로부터 상업적으로 이용가능함.
PH3 2-이소프로필디옥산톤. 미국 뉴욕주의 뉴욕시에 소재한 Sawyer Corp.(영국에 소재한 Octel Chemicals의 지점)에서 제조한 상표명 "Quanticure ITX"으로부터 상업적으로 이용가능함.
PH4 에틸-4-(디메틸아미노) 벤조에이트 광개시제. Biddle-Sawyer Corp.에서 제조한 상표명 "EPD"으로부터 상업적으로 이용가능함.
PH5 2-히드록시 3(3,4-디메틸-9-옥소 9H-디옥산덴-2-일록시)-N,N,N 트리메틸-1-프로판아미니움 클로라이드. Biddle-Sawyer Corp.에서 제조한 상표명 "Quanticure QTX"으로부터 상업적으로 이용가능함.
PH6 2-프로폭시디록산톤. Biddle-Sawyer Corp.에서 제조한 상표명 "Quanticure PTX"으로부터 상업적으로 이용가능함.
PH7 2,4,,6-트리메틸벤조닐-디페닐-포스파인 산화물 용액 광개시제. 미국 노스캐롤나이나주의 샤로트에 소재한 BASF에서 제조한 상표명 "Lucirin LR 8893"으로부터 상업적으로 이용가능함.
CACO 평균 입경이 약 1㎛인 탄산칼슘 충진제. 미국 뉴욕주의 뉴욕에 소재한 Pfizer Specialty Minerals에서 제조한 상표명 "Superflex200"으로부터 상업적으로 이용가능함.
CACO2 평균 입경이 약 2.6㎛인 탄산칼슘 충진제. Pfizer Specialty Minerals에서 제조한 상표명 "USP-MEDIUM"으로부터 상업적으로 이용가능함.
CACO3 평균 입경이 약 4.6㎛인 탄산칼슘 충진제. Pfizer Specialty Minerals에서 제조한 상표명 "USP-EX-HEAVY"으로부터 상업적으로 이용가능함.
명칭 물질
CACO4 평균 입경이 약 0.07㎛인 탄산칼슘 충진제. Pfizer Specialty Minerals에서 제조한 상표명 "MULTIFLEX-MM"으로부터 상업적으로 이용가능함.
SA1 표면적이 50㎡/g인 탄산칼슘 충진제. Degussa Corp.에서 제조한 상표명 "OX-50"으로부터 상업적으로 이용가능함.
PLFP 평균 입경이 5∼7㎛이고, 미세분말화된 폴리에틸렌 및 TEFLON(PTFE)의 조합으로 구성되는 충진제 입자. 미국 뉴욕의 태리타운에 소재한 Micro Powders, Inc.에서 제조한 상표명 "Polysilk600"으로부터 상업적으로 이용가능함.
DA1 음이온 분산제. 미국 커넥티컷 월링포드에 소재한 Byk Chemie.에서 제조한 상표명 "Disperbyk111"으로부터 상업적으로 이용가능함.
DA2 분산제. 미국 델라웨어 윌밍톤에 소재한 ICI America Inc.에서 제조한 상표명 "Hypermer LP1"으로부터 상업적으로 이용가능함.
DA3 양이온 분산제. 미국 커넥티컷 월링포드에 소재한 Byk Chemie.에서 제조한 상표명 "Disperbyk115"으로부터 상업적으로 이용가능함.
KD2 폴리에틸렌 산화물계 분산제. ICI America Inc.에서 제조한 상표명 "Hypermer KD2"으로부터 상업적으로 이용가능함.
ASP 알루미노실리케이트 충진제. 미국 뉴저지 멘로 파크에 소재한 Engelhard에서 제조한 상표명 "ASP600"으로부터 상업적으로 이용가능함.
MA1 2(2-에톡시에톡시) 에틸 아크릴산염. Sartomer Co., Inc. 에서 제조한 상표명 "Sartomer256"으로부터 상업적으로 이용가능함.
MA2 라우릴 아크릴산염. Sartomer Co., Inc. 에서 제조한 상표명 "Sartomer335"으로부터 상업적으로 이용가능함.
MA3 이소데실 아크릴산염. Sartomer Co., Inc. 에서 제조한 상표명 "Sartomer395"으로부터 상업적으로 이용가능함.
MA4 프로폭실산염화된 네오펜틸 글리콜 디아크릴산염. Sartomer Co., Inc. 에서 제조한 상표명 "Sartomer9003"으로부터 상업적으로 이용가능함.
명칭 물질
CACO5 탄산칼슘 충진제. Pfizer Specialty Minerals에서 제조한 상표명 "USP Heavy Calcium Carbonate"으로부터 상업적으로 이용가능함.
SIN 입경이 약 2㎛ 미만인 실리콘 니트라이드 연마 입자. 독일의 Starck에서 제조한 상표명 "M-11"으로부터 상업적으로 이용가능함.
YZR1 평균 입경이 약 0.2㎛이고 8%Y2O3-92%ZrO2를 함유하는 소결된 이트리아 변형된 지르코니아 연마 입자. 미국 뉴저지 본드 브룩에 소재한 Tosoh Ceramic Division에서 제조한 상표명 "TZ-8Y"으로부터 상업적으로 이용가능하며, 지르코니아가 테트라고날 폼으로 부분적으로 안정화된다.
YZR2 평균 입경이 약 0.3㎛이고 3%Y2O3-97%ZrO2를 함유하는 소결된 이트리아 변형된 지르코니아 연마 입자. Tosoh Ceramic Division에서 제조한 상표명 "TZ-3Y"으로부터 상업적으로 이용가능하며, 지르코니아가 테트라고날 폼으로 부분적으로 안정화된다.
NHC 탄산암모니아염(NH4HCO3). 미국 매사츄세트 워드힐에 소재한 Alfa Johnson Matthey로부터 상업적으로 이용가능함.
TANA 주석산. 미국 몬타나 세인트 루이스에 소재한 Sigma Chemical Co.으로부터 상업적으로 이용가능함.
SAA 살리실산. Sigma Chemical Co.으로부터 상업적으로 이용가능함
CMS 칼슘 메타실리케이트 충진제. Alfa Johnson Matthey에서 제조한 상표명 "Wollastonite"으로부터 상업적으로 이용가능함
ZR 평균 입경이 약 0.7㎛인 소결된 단사정계 지르코니아 연마 입자. 미국 뉴저지주의 플레밍톤에 소재한 Magnesium Electron Inc.에서 제조한 상표명 "SCI5"으로부터 상업적으로 이용가능함.
CEO2 연마 입자의 제조
산화세륨 연마 입자는 CEO2를 형성하기 위해 다음의 방식으로 커플링제로 처리된다. 산화세륨 연마 입자는 이소프로필 트리소스테아로일 티탄산염 커플링제 및 메틸 에틸 케톤 용매와 혼합된다. 혼합 후, 유기 용매를 제거하기 위해 진공이 가해진다. 그리고나서 산화세륨 입자는 실온에서 48시간 동안 건조된다. 그 결과의 연마 입자는 자신의 표면에 대략 1중량%의 커플링제를 함유한다.
세라머 결합제 및 연마 입자를 함유하는 연마 슬러리를 제조하기 위한 일반 과정Ⅰ
세라머 결합제 선구물질이 먼저 제조되고, 연마 슬러리를 형성하기 위해 이 결합제 선구물질에 추가의 물질이 첨가된다. 세라머 결합제 선구물질을 제조하기 위해, SISOL1가 먼저 둥근 바닥의 플라스크에 채워지고, 그후 HEA, CA1 및 CA2가 채워진다. 이러한 첨가 순서는 균일한 세라머 결합제 선구물질을 획득하고 세라머의 젤화를 방지하기 위해 중요하다. 이들 물질은 균일한 분산제를 형성하기 위해 함께 혼합된다. 그리고나서, 이러한 물질을 포함하고 있는 플라스크가 로타리 이베이포레이터상에 위치되어 55℃에서 약 15분 동안 가열되고 지속적으로 교반된다. 그리고나서, 약 2.64∼6.60㎪(20∼50mmHg)에서 동작하는 진공 펌프 시스템이 약 55℃의 로타리 이베이포레이터 온도를 유지하면서 수분의 일부분을 제거하기 위해 설치된다. 이러한 조건은 충분한 수분이 제거되어 그 결과의 세라머가 약 66중량%의 고용 성분이 되도록 약 30분 동안 유지되고, 이로써 세라머 결합제 선구물질을 생성한다. 세라머에 BP1이 첨가되며, 그 결과의 혼성물이 약 1분 동안 혼합된다. 그리고나서, CEO2가 첨차적으로 첨가되고, 그 결과의 혼성물이 약 4분 동안 혼합된다. 다음에, TIO가 첨가되고, 그 결과의 혼성물이 약 4분 동안 혼합된다. 그 후, PH1이 첨가되고, 그 결과의 혼성물이 약 2분 동안 혼합된다. 이후에, PH2가 첨가되고, 그 결과의 혼성물이 약 3∼4분 동안 혼합된다. 다음에, 추가의 CA1이 첨가되고, 그 결과의 혼성물이 약 1분 동안 혼합된다. 추가의 TIO가 첨가되고, 그 결과의 혼성물이 약 3분 동안 혼합되어 연마 슬러리를 형성한다.
세라머 결합제와 연마 입자를 함유하는 연마 슬러리를 제조하기 위한 일반 과정Ⅱ
세라머 결합제와 연마 입자를 함유하는 연마 슬러리를 제조하기 위한 일반 과정Ⅱ는 다음의 변경을 제외하고는 일반 과정Ⅰ과 동일하다. 세라머는 CA2를 포함하지 않는다. 바닥이 둥근 플라스크에 457.5g의 SISOL1, 88.75g의 HEA 및 37.5g의 CA1이 채워진다. 세라머가 가열되고 과정Ⅰ에서와 같이 교반된다. 연마 슬러리는 400g 의 세라머내에 11.4g의 BP1을 혼합함으로써 제조된다. 다음에, 11.4g의 BP2가 이 혼성물에 첨가된다. 이에 후속하여, 540.0g의 CEO2가 이 혼성물에 점증적으로 혼합된다. 다음에, 2.0g의 PH1이 이 혼성물내에 혼합된다. 최종적으로, 4.7g의 PH2가 이 혼성물에 혼합되어 연마 슬러리를 형성한다.
세라머 결합제와 연마 입자를 함유하는 연마 슬러리를 제조하기 위한 일반 과정Ⅲ
먼저 세라머 결합제 선구물질이 제조되고, 이 세라머 결합제 선구물질에 추가의 물질이 첨가되어 연마 슬러리를 형성한다. 세라머 결합제를 제조하기 위해, 200.0g의 SISOL1이 먼저 바닥이 둥근 플라스크에 채워지고, 그 다음에 20.67g의 HEMA, 20.67g의 BP3 및 16.38g의 CA1이 채워진다. 이러한 첨가 순서는 균일한 세라머 결합제 선구물질을 획득하고 세라머의 겔화를 방지하기 위해 중요하다. 이러한 물질은 서로 혼합되어 균일한 분산제를 형성한다. 그리고나서, 이러한 물질을 포함하고 있는 플라스크가 로타리 이베이포레이터에 위치되고, 55℃에서 약 15분 동안 가열되며, 지속적으로 교반된다. 그리고나서, 2.64∼6.6㎪(20∼50mmHg)에서 동작하는 진공 펌프 시스템이 설치되어 수분의 일부분을 제거한다. 로타리 이베이포레이터 온도는 약 55℃로 유지된다. 이러한 물질을 포함하고 있는 플라스크는 충분하게 수분이 제거되어 그 결과의 세라머가 교용성분이 약 66%가 될 때까지 약 30분 동안 이러한 조건에 놓이게 되어 세라머 결합제를 형성한다. 다음에, 100.0g의 세라머 결합제 선구물질이 다른 플라스크에 위치되고, 그리고나서 3.65g의 BP1 및 3.65g의 BP2가 세라머에 첨가되며, 그 결과의 혼성물이 약 1분 동안 혼합된다. 이에 후속하여, 약 135.0g의 CEO2가 점증적으로 첨가되고, 그 결과의 혼성물이 약 4분 동안 혼합된다. 그 다음에, 약 0.5g의 PH1이 첨가되며, 그 결과의 혼성물이 약 2분 동안 혼합된다. 그 후, 1.2g의 PH2가 첨가되고, 그 결과의 혼성물에 약 3∼4분 동안 혼합되어 연마 슬러리를 형성한다.
세라머 결합제와 연마 입자를 함유하는 연마 슬러리를 제조하기 위한 일반 과정Ⅳ
먼저 세라머 결합제 선구물질이 제조되고, 이 세라머 결합제 선구물질에 추가의 물질이 첨가되어 연마 슬러리를 형성한다. 세라머 결합제를 제조하기 위해, 둥근 바닥의 플라스크에 366.4g의 SISOL1이 먼저 채워지고, 그 다음에 306g의 CA1 및 71.2g의 HEA가 채워진다. 이들 물질이 함께 혼합되어 균일한 분산제를 형성한다. 그리고나서, 이들 물질을 포함하고 있는 플라스크가 로타리 이베이포레이터에 위치되고, 55℃에서 약 15분 동안 가열되며, 지속적으로 교반된다. 그리고나서, 2.64∼6.6㎪(20∼50mmHg)에서 동작하는 진공 펌프 시스템이 설치되어 물의 일부분을 제거한다. 로타리 이베이포레이터 온도는 약 55℃로 유지된다. 이러한 물질을 포함하고 있는 플라스크는 충분한 수분이 제거되어 그 결과의 세라머가 고용성분이 약 66%가 될 때까지 약 30분 동안 이러한 조건에 놓이게 되어 세라머 결합제를 형성한다. 다음에, 40.2g의 세라머 결합제 선구물질이 다른 플라스크에 위치되고, 그리고나서 0.47g의 PH2, 및 0.47g의 PH5 및 0.47g의 PH4가 세라머에 첨가되며, 그 결과의 혼성물은 혼성물이 완전히 균질하게 될 때까지 에어 교반기를 사용하여 혼합된다. 이에 후속하여, 약 9.8g의 BP1 및 9.8g의 BP2가 첨가되고, 그 결과의 혼성물이 완전히 균질하게 될 때까지 혼합된다. 그 다음에, 약 54.2g의 CEO2가 점증적으로 첨가되며, 그 결과의 혼성물이 혼합되어 연마 슬러리를 형성한다.
연마 물품을 제조하기 위한 일반 과정Ⅰ
특정 치수를 갖는 일련의 기공을 포함하고 이러한 기공이 소정 순서 또는 어레이로 배열되는 폴리프로필렌 제조 툴이 제공된다. 제조 툴은 연마 복합물의 요구된 형상의 반대 형상, 치수 및 배열을 갖는다. 제조 툴은 매스크형 감압성 접착 테이프를 사용하여 금속 캐리어 플레이트에 고정된다. 연마 슬러리는 이 연마 슬러리가 기공을 완전히 충진하도록 고무 스퀴지를 사용하여 제조 툴의 기공내로 코팅된다. 다음에, PPF 백킹이 제조 툴의 기공내에 포함된 연마 슬러리와 접촉하게 된다. 고무 롤러는 연마 슬러리가 백킹의 전면을 습유시키는 것을 보장하고 요구되지 않은 에어 버블을 제거하기 위해 백킹의 후면을 가로질러 롤링된다. 물품은 약 236.2Watt/cm(600Watt/inch)에서 동작되는 하나의 자외선광 램프("V"전구, Fusion System Inc로부터 상업적으로 이용가능함) 하에서 백킹과 결합제 선구물질을 함께 툴을 통과시킴으로써 4회의 통과 동안 경화된다. 복사선은 필름 백킹을 통과한다. 속도는 약 7.6∼10.7m/분(25∼35피트/분)이다. 일부 경우, 샘플이 "V"전구 보다 폭이 더 크다. 이러한 경우, 샘플의 한 측면은 전구 아래로 2회 통과되며, 샘플의 다른 측면은 벨트 아래에 2회 통과된다. 이러한 자외선광 노출은 연마 복합물로 전환되고 이 연마 복합물이 PPF 필름 백킹에 부착되는 결합제 선구물질 및 연마 슬러리의 중합화를 개시시킨다. 경화는 밀폐 조건에서 시행된다. 다음에, PPF/연마 복합물 구성은 제조 툴로부터 분리되어 연마 물품을 형성한다. 연마 물품은 PPF 백킹 상의 프라이머를 활성화시키기 위해 약 110℃∼115.5℃(230∼240℉)에서 약 15초 내지 1분 동안 가열된다.
검사를 위한 연마 물품을 제조하기 위해, 2개의 연마 물품의 에지가 서로 마주 보게되고, 미국 미네소타 세인트폴에 소재한 3M에서 제조한 상표명 "Scotch Adhesive 7963MP"으로부터 상업적으로 이용가능한 감압성 접착 테이프에 적층된다. 그리고나서, 30.5㎝(12인치) 직경의 원형 검사 샘플이 검사를 위해 다이 절단된다.
연마 물품을 제조하기 위한 일반 과정Ⅱ
연마 물품은 본 명세서의 도15에 도시된 기기와 유사한 기기상에서 제조된다. 본 처리는 클래스 10,000의 클린룸에서 수행된다. 폴리프로필렌 제조 툴은 소정 순서 또는 어레이로 배열된 특정 치수를 갖는 일련의 기공을 포함하여 제공된다. 제조 툴은 권선기로부터 권출된다. 연마 슬러리는 실온에서 코팅되고 진공 슬롯 다이 코팅기를 사용하여 제조 툴의 기공내로 도포된다. 다음에, 연마 슬러리가 백킹의 전면을 습윤시키도록 PPF 백킹이 연마 슬러리 코팅된 제조 툴과 접촉하게 된다. 그후, 자외선광 복사선이 제조 툴을 통해 연마 슬러리내로 전달된다. 2개의 상이한 램프가 연속적으로 사용된다. 제1 UV 램프는 "V"전구를 사용하고 236.2Watt/cm(600Watt/inch)에서 동작되는 Fusion System 의 자외선광이다. 제2 램프는 중압 수은 전구를 사용하고 175.5Watt/cm(400Watt/inch)에서 동작되는 ATEK 자외선 전구이다. 자외선광에의 노출시에, 결합제 선구물질은 결합제로 변환되고, 연마 슬러리는 연마 복합물로 변환된다. 그러므로, 제조 툴은 연마 복합물/백킹으로부터 제거되고, 제조 툴이 권출된다. 이에 후속하여, 연마 물품을 형성하는 연마 복합물/백킹은 코어상에 권선된다. 이러한 처리는 약 4.6∼7.6m/분(15∼25피트/분) 사이에서 동작되는 연속 처리이다. 연마 물품은 PPF 백킹 상의 프라이머를 활성화시키기 위해 약 110℃∼115.5℃(230∼240℉)에서 약 2분 동안 가열된다.
검사를 위한 연마 물품을 제조하기 위해, 연마 물품이 미국 미네소타 세인트폴에 소재한 3M에서 제조한 상표명 "Scotch 467 Hi Performance Adhesive"으로부터 상업적으로 이용가능한 감압성 접착 테이프에 적층된다. 그리고나서, 30.5㎝(12인치) 직경의 원형 검사 샘플이 검사를 위해 다이 절단된다.
연마 물품을 제조하기 위한 일반 과정Ⅲ
일반 과정Ⅲ은 클린룸에서 수행되지 않고 "V"전구를 사용하고 236.2Watt/cm(600Watt/inch)에서 동작되는 2개의 동일한 자외선 램플가 사용된다는 점을 제외하고는 일반 과정Ⅱ와 동일하다. 연마 물품은 PPF 백킹 상의 프라이머를 활성화시키기 위해 약 110℃∼115.5℃(230∼240℉)에서 약 15초 내지 1분 동안 가열된다.
검사를 위한 연마 물품을 제조하기 위해, 연마 물품이 서로 결합되고, 미국 미네소타 세인트폴에 소재한 3M에서 제조한 상표명 "Scotch 467MP Hi Performance Adhesive"으로부터 상업적으로 이용가능한 감압성 접착 테이프에 적층된다. 그리고나서, 30.5㎝(12인치) 직경의 원형 검사 샘플이 검사를 위해 다이 절단된다.
연마 물품을 제조하기 위한 일반 과정Ⅳ
연마 물품을 제조하기 위한 일반 과정Ⅳ은 연마 물품이 PPF 백킹상의 프라이머를 활성화시키기 위해 가열되지 않는다는 점을 제외하고는 일반 과정Ⅰ과 동일하다.
연마 물품을제조하기 위한 일반 과정Ⅴ
일반 과정Ⅴ은 금속 캐리어 플레이트에 여전히 고정된 습윤된 PPF 백킹 및 결합제 선구물질이 Chem Instruments에서 제조한 Model #001998으로부터 상업적으로 이용가능한 벤치 탑 래보러토리 라미네이터(bench top laboratory laminator)를 통해 통과된다는 점을 제외하고는 일반 과정Ⅰ과 동일하다. 물품은 2개의 고무 롤러 사이에 약 280Pa(40psi) 및 2∼7의 속도로 지속적으로 공급된다. 물품은 약 157.5Watt/cm(400Watt/inch)에서 동작되는 American Ultraviolet Company로부터 상업적으로 이용가능한 2개의 철 도프된 램프 하에서 백킹 및 결합제 선구물질과 함께 툴을 통과함으로써 경화된다. 복사선은 필름 백킹을 통해 통과한다. 속도는 약 10.2m/분(35피트/분)이고, 샘플은 2회 통과된다.
검사를 위한 연마 물품을 제조하기 위해, 연마 물품은 미국 미네소타 세인트폴에 소재한 3M에서 제조한 상표명 "Scotch Tape 467MP Hi Performance Adhesive"으로부터 상업적으로 이용가능한 감압성 접착 테이프에 적층된다. 그리고나서, 30.5㎝(12인치) 직경의 원형 검사 샘플이 검사를 위해 다이 절단된다.
연마 물품을 제조하기 위한 일반 과정Ⅵ
일반 과정Ⅵ은 결합제 선구물질이 약 600Watt/inch에서 동작되는 Fusion System, Inc.로부터 상업적으로 이용가능한 하나의 가시광 램프 "V" 전구하에서 백킹 및 결합제 선구물질과 함께 툴을 통과함으로써 경화된다는 점을 제외하고는 일반 과정Ⅴ와 동일한 방법이다.
패턴1
생성 툴은 인접한 사절두된 피라미드의 모임으로 구성된 주조 표면을 갖는 금속 마스터 툴상에 폴리프로필렌 물질을 주조함으로써 구성된다. 이 결과의 제조 툴은 사절두된 피라미드의 형상으로 존재하는 기공을 포함한다. 피라미드 패턴은 이들의 인접 베이스가 약 510㎛(0.020인치) 이하로 서로 이격되도록 되어 있다. 각각의 사절두된 피라미드의 높이는 약 80㎛이고, 베이스는 측면당 약 178㎛이며, 상표면은 측면당 약 51㎛이다. 복합물의 어레이의 윤곽을 나타내는 약 50라인/㎝가 존재한다.
패턴2
연마 복합물의 배열은 포함된 각각의 행이 "십자" 형상을 갖는 연마 복합물과 "X"자 형상을 갖는 연마 복합물을 교번하는 도6과 유사하다. 이러한 배열에서, 기수 행으로부터의 연마 복합물은 우수 행의 연마 복합물로부터 오프셋된다. 이러한 배열에서, 십자 형상 또는 X자 형상중의 하나의 양쪽 아암의 전체 길이는 약 750㎛이고, 십자 형상 또는 X자 형상중의 하나의 아암의 폭은 약 50㎛이다. 한 십자 형상의 연마 복합물의 중앙에서 인접한 X자 형상의 연마 복합물의 중앙까지의 사이에는 약 1270㎛가 존재한다. 직선 ㎝당 약 8개의 십자 형상(직선 인치당 20개의 십자 형상)이 존재한다. 복합물의 높이는 약 76㎛(3밀)이다. 십자 형상 및 X자 형상은 약 15∼20°의 각도로 다소 테이퍼된다. 제조 툴은 포토리토그래피 처리에 의해 구성되는 마스터 툴상으로 폴리프로필렌을 추출(주조)함으로써 구성된다. 이러한 포토리토그래피 처리 동안, 포토레지스트가 마그네슘 인쇄 플레이트에 입혀진다. 그리고나서, 포토리토그래피가 요구된 패턴을 생성하기 위해 사용되고, 마그네슘 플레이트가 패턴 및 마스터 툴을 생성하기 위해 에칭된다.
패턴3
본 패턴은 원형 단면적을 갖는 정방형의 포스트 어레이이다. 직선 ㎝당 약 20개의 포스트(직선 인치당 50개의 포스트)가 존재한다. 포스트 직경은 약 100㎛(4밀)이다. 포스트는 약 15∼20°의 각도로 다소 테이퍼된다. 제조 툴은 포토리토그래피 처리를 사용하고 마스터 툴로부터의 폴리프로필렌 물질을 주조하는 패턴2와 유사한 방식으로 제조된다.
검사과정Ⅰ
본 검사 과정은 반도체 웨이퍼의 평면화 처리를 시뮬레이션한다. 본 검사 과정을 위한 작업편은 실리콘 산화물층을 갖는 실리콘 베이스 유닛(즉, "블랭킷" 웨이퍼)이다. 일반적으로, 이 작업편은 종래의 패터닝된 반도체 웨이퍼보다 저가이다.
블랭킷 웨이퍼상의 산화물층은 종래의 패터닝된 반도체 웨이퍼보다 제거하기가 용이하지 않다. 일반적으로, 종래의 패터닝된 반도체 웨이퍼는 금속 산화물 코팅으로 피복된 복수의 이산적인 금속 인터컨넥트를 포함한다. 이들 이산적인 금속 인터컨넥트는 표면적을 감소시키고, 웨이퍼 표면상의 유효 압력을 증가시킨다. 블랭킷 웨이퍼에서, 금속 산화물의 연속층이 존재하고, 유효 압력이 줄어들도록 로드가 전체 표면 영역에 걸쳐 분포되어 있다. 더 높은 압력이 더 높은 절단율을 야기하는 경향이 있으므로, 블랭킷 웨이퍼에 대한 높은 절단율은 종래의 패터닝된 반도체 웨이퍼에 대해 더 높은 절단율을 야기할 것이다.
작업편, 즉 블랭킷 웨이퍼는 다음의 과정을 따라 제조된다. 직경이 100㎜이고 두께가 약 0.5㎜인 단결정 실리콘 베이스 유닛은 미국 캘리포니아주의 산조세에 소재한 웨이퍼넷으로부터 구입된다. 실리콘 베이스 유닛은 수소와 산소 분위기를 갖는 용광로에 위치되고, 용광로내의 온도는 1050℃까지 증가된다. 실리콘 산화물의 균일한 층이 실리콘 베이스 상에 열적으로 증착되며, 이 처리는 열 산화물 처리로서 본 기술분야에 공지되어 있다. 증착된 실리콘 산화물 두께는 미국 뉴저지주의 페어필드에 소재한 Rudolph로부터 상업적으로 이용가능한 기기 모델 #RR/FTM Resist와 같은 상업적으로 이용가능한 측정 장치를 사용하여 측정된 바와 같이 약 7,000∼20,000Å 이다. 실리콘 산화물의 두께는 중앙, 중앙에서 24㎜ 바로 아래, 중앙에서 36㎜ 바로 아래, 중앙에서 24㎜ 바로 위, 및 중앙에서 36㎜ 바로 위의 지점의 각 지점에서 5회 측정된다.
검사 기기는 도3에 도시된 장치와 유사한 Model 6Y-1의 변형된 Strausbauth Lapping Machine 이다. 작업편은 미국 델라웨어주의 뉴워크에 소재한 Rodel로부터 상업적으로 이용가능한 멈춤 링내에 조립된다. 미국 미네소타주 세이트폴에 소재한 3M으로부터 상업적으로 이용가능한 감압성 접착제 "Scotch Brand Adhesive #7963MP"가 연마 물품의 후면에 적층된다. 이 감압성 접착제는 연마 물품이 연마 샘플 디스크와 제1 지지 패드 사이에서 40.6㎝(16인치) 직경의 폴리에스테르 필름 디스크에 고정되도록 한다. 이 폴리에스테르 필름은 유체 매질이 지지 패드내로 침투되는 것을 방지한다. 제1 지지 패드는 미국 델라웨어 뉴아크에 소재한 Rodel 사로부터 상업적으로 이용가능한 상표명 "IC1000"의 폴리우레탄 패드이다. 제2 패드는 미국 델라웨어 뉴아크에 소재한 Rodel 사로부터 상업적으로 이용가능한 상표명 "SUBA Ⅳ"의 폴리우레탄 침투된 폴리에스테르 비직물 패드이다. 제2 지지 패드는 랩핑 기기의 가압판 상에서 제1 지지 패드 아래에 부착된다. 각각의 지지 패드는 약 30.5㎝(12인치)의 직경을 갖는다.
검사 전에, 알루미늄 금속 링이 연마 물품을 상태조절하기 위해 검사 장비상에 설치된다. 작업편 대신에 설치된 알루미늄 금속 링은 100rpm으로 회전되고, 그리고나서 21Pa(3psi)의 압력에서 5초 내지 1분 동안 연마 물품에 접촉하게 된다. 상태조절 후, 연마 물품은 탈이온수로 휑궈진다.
작업편을 지지하는 헤드는 연마 디스크와 접촉하게 되기 전에 약 100rpm으로 회전하게 된다. 작업편은 9초의 주기로 연마 디스크의 에지로부터 13㎜로 개시하는 31㎜ 아크를 통해 이동한다. 연마 디스크는 약 67∼70rpm 으로 회전된다. 작업편 및 연마 디스크는 서로에 대해 시계 방향으로 회전한다. 연마 디스크는 0.9초 사이클에서 32㎜의 스윕(sweep)에 걸쳐 회전한다. 연마 디스크와 작업편은 모두 먼저 회전되고, 그리고나서 약 21Pa(3psi)의 압력으로 서로 접촉하게 된다. 게이지 또는 라인 압력은 약 5.4㎏(12lbs)이고, 하양 장하 또는 힘은 약 16.2㎏(36lbs)이다. 디스크와 작업편에서, 계면은 약 11.5∼12.5의 범위의 pH를 갖는 포타슘 수산화 용액(탈이온수내의 KOH의 0.25중량%)이 펌핑된다. 포타슘 수산화 용액의 흐름 속도는 80㎖/분이다. 연마 디스크는 2분 사이클 동안 작업편을 처리하기 위해 사용된다. 처리가 종료된 후, 작럽편은 탈이온수로 헹궈지고 건조된다.
그 다음에, 작업편은 절단율과 표면 다듬질에 대해 검사된다. 절단율은 동일 기기를 사용하여 처리 이전에 측정된 바와 같이 동일 지점에서 산화막 두께를 결정함으로써 측정된다. 처리 이전의 작업편의 두께와과 처리 후의 작업편의 두께의 차는 "절단"으로서 다음의 표에 언급된다. 평균 절단율 Å/분을 결정하기 위해 2개 내지 5개의 판독치가 평균된다.
일부 경우, 검사 길이는 2분 이상이 된다. 검사의 길이는 각 실시예에서 특정된다. 매 2분후, 검사를 정지하여 절단율을 측정하며, 처리된 검사 작업편을 제거하고 새로운 사이클 동안 새로운 작업편을 설치한다.
검사 과정Ⅱ
검사 과정Ⅱ은 게이지 또는 라인 압력이 약 4.2㎏(9.3lbs)이고, 하향 장하력이 10.4㎏(23lbs)이라는 점을 제외하고는 검사 과정Ⅰ과 동일하다.
검사 과정Ⅲ
검사 과정Ⅲ은 연마 물품이 사용전에 알루미늄 링으로 상태조절되지 않는다는 점을 제외하고는 검사 과정Ⅱ과 동일하다.
검사 과정Ⅳ
반도체 웨이퍼의 노출 표면을 개질시키기 위한 검사 과정이 다음과 같이 시뮬레이션된다. 검사 기기에 대해 2개의 파트가 존재한다. 작업편을 유지하는 베이스 유닛은 미국 일리노아주 레이크 블러프에 소재한 Buehler Ltd.로부터 상업적으로 이용가능한 Ecomet 4 Variable Speed Grinder-Polisher가 있다. 베이스 유닛은 23㎝(9인치) 직경의 연마 디스크를 유지한다. 연마 디스크는 미국 미네소타주의 세인트폴에 소재한 3M에서 제조한 상표명 "Scotch Brand Adhesive 7963MP"으로부터 상업적으로 이용가능한 감압성 접착제에 의해 베이스 유닛에 고정된다. 블랭킷 웨이퍼 작업편은 검사 과정Ⅰ에서 설명된 바와 같은 동일 방식으로 제조된다. 처리 전에, 검사편 두께가 미국 캘리포니아주 서니베일에 소재한 Nanometrics에서 제조한 상표명 "Nanoscope AFT"로부터 상업적으로 이용가능한 FTM을 사용하여 측정된다. 헤드 유닛은 Buehler Ltd.로부터 상업적으로 이용가능한 Automet 2 Powder Head이다. 작업편은 미국 델라웨어주의 뉴아크에 소재한 Rodel 사로부터 상업적으로 이용가능한 웨이퍼 템플레이트에 의해 회전하고 있는 Automet 2 Powder Head 플레이트에 고정된다. 연마 디스크를 포함하고 있는 헤드 유닛은 작업편과 접촉하게 된다. 헤드 유닛 및 작업편 모두 처리 동안 서로에 대해 반대 움직임으로 회전한다. 작업편은 약 500rpm으로 회전한다. 수도물이 작업편의 중심으로 향하는 습윤 상태에서, 처리가 수행된다. 처리 시간은 2∼10분의 범위를 가지며, 이 시간은 특정 실시예에 좌우된다. 연마 디스크와 작업편 사이의 압력은 약 0.31㎏/㎠이다. 특정 시간 후, 작업편은 휑궈지고 페이퍼 타올로 깨끗하게 닦여진다. 다음에, 작업편 최종 두께가 초기 두께의 측정시에 사용된 동일 기구를 사용하여 측정된다. 초기 두께와 최종 두께간의 차는 특정 시간 주기에 걸쳐 절단에 대응한다.
검사 과정Ⅴ
검사 과정Ⅴ는 탈이온수 및 산화세륨 졸(약 3.0의 pH와 약 20㎚의 평균 입경을 갖는 미국 매사츄세츠주의 애시랜드에 소재한 Nyacol으로부터 이용가능한 20% 고용 성분의 안정화된 아세트산염)을 함유한 윤활제가 처리 동안 작업편의 중심으에 놓이게 된다는 점을 제외하고는 검사 과정Ⅳ와 동일하다. 또한, 압력은 0.062㎏/㎠이다.
검사 과정Ⅵ
검사 과정Ⅵ는 윤활제가 약 10.3의 pH를 갖는 나트륨 수산화물 용액(0.25%NaOH)이라는 점을 제외하고는 검사 과정 Ⅳ와 동일하다. 또한, 계면에서의 압력은 약 0.188㎏/㎠이다.
검사 과정Ⅶ
검사 과정Ⅶ는 연마 물품이 사용 전에 알루미늄 링으로 상태조절되지 않는 다는 점을 제외하고는 검사 과정Ⅰ과 동일하다. 또한, 게이지 또는 라인 압력은 약 91Pa(13psi)이고, 하향 장하력은 약 17.25㎏(38lbs)이다.
검사 과정Ⅷ
검사 과정Ⅷ은 연마 물품이 사용 전에 15초 동안 알루미늄 링으로 상태조절된다는 점을 제외하고는 검사 과정Ⅰ과 동일하다. 또한, 게이지 또는 라인 압력은 약 91Pa(13psi)이고, 하향 장하력은 17.25㎏(38lbs)이다. 본 검사의 길이는 약 4분이며, 매 2분 후에 검사가 정지되어 절단율을 측정하고 새로운 작업편을 삽입한다.
검사 과정Ⅸ
검사 과정Ⅸ은 게이지 또는 라인 압력이 약 91Pa(13psi)이고, 하향 부하력이 17.25㎏(38lbs)라는 점을 제외하고는 검사 과정Ⅲ과 동일하다. 검사 길이는 약 2분이다.
검사 과정Ⅹ
검사 과정Ⅹ은 지지 패드가 상표명 "IC1000"으로 Rodel 사로부터 상업적으로 이용가능한 폴리우레탄 폼 패드라는 점을 제외하고는 검사 과정Ⅲ와 동일하다. 또한, 지지 패드와 검사되는 연마 물품 사이에 76㎛(3밀)의 폴리에스테르가 존재한다.
검사 과정ⅩⅠ
검사 과정ⅩⅠ은 전체 처리 시간이 오직 1분이라는 점을 제외하고는 검사 과정Ⅹ과 동일하다.
검사 과정ⅩⅡ
검사 과정ⅩⅡ은 KOH 용액의 pH가 11.5에 근접하게 제어된다는 점을 제외하고는 검사 과정ⅩⅠ과 동일하다.
검사 과정ⅩⅢ
검사 과정ⅩⅢ은 KOH 용액의 pH가 12.5에 근접하게 제어된다는 점을 제외하고는 검사 과정Ⅹ과 동일하다.
검사 과정ⅩⅣ
검사 과정ⅩⅣ는 게이지 또는 라인 압력이 약 112Pa(16psi)이고, 하향 장하력이 약 22.7㎏(50lbs)라는 점을 제외하고는 검사 과정Ⅸ와 동일하다.
검사 과정ⅩⅤ
검사 과정ⅩⅤ은 연마 물품이 사용전에 알루미늄 링으로 상태조절되지 않고 압력이 작업편 표면 상에서 22.6Pa(3.8psi)라는 점을 제외하고는 검사 과정Ⅰ과 동일하다.
검사 과정ⅩⅥ
검사 과정ⅩⅥ은 처리 사이클이 오직 1분이라는 점을 제외하고는 검사 과정ⅩⅤ과 동일하다.
검사 과정ⅩⅦ
검사 과정ⅩⅦ은 패터닝된 작업편이 블랭킷 작업편 대신에 사용된다는 점을 제외하고는 검사 과정ⅩⅥ와 동일하다. 패터닝된 검사 웨이퍼는 다음의 과정에 의해 제조된다. 직경이 100㎜이고 두께가 약 0.5㎜인 단결정 실리콘 베이스 기판은 미국 캘리포니아 산조세에 소재한 Wafernet으로부터 구입된다. 그리고나서 리토그래피 및 반응성 플라즈마 에칭에 의해 각 실리콘 베이스상에 패터닝된 특징 형상을 형성한다. 다음에, 저압 화학적 증기 증착(LPCVD)의 기술을 사용하여 통상적으로 1.5∼2㎛의 두께를 갖는 실리콘 산화물의 박막층이 패터닝된 웨이퍼 검사 작업편 상에 증착된다. 연마 물품은 약 30∼60초의 사이클 시간 동안 이 검사 작업편을 처리하기 위해 사용된다. 이 사이클 시간 후, 검사가 정지되어 TIR(Total Indicated Runout)이 측정된다. 바람직한 TIR이 얻어지지 않은 경우, TIR을 더욱 감소시키기 위해 처리가 지속된다. 통상적으로, 1500Å 미만의 TIR 이 바람직한 것으로 고려된다. 패터닝된 웨이퍼작업편의 TIR은 특정 실시예의 연마 입자와의 처리 전후에 측정된다. TIR은 미국 캘리포니아주의 마운틴 뷰에 소재한 Tencor 로부터 상업적으로 이용가능한 Tencor P-2 Long Scan Profilometer를 사용하여 측정된다. TIR 프로파일은 4개 내지 5개의 어레이에 걸쳐 취해진다. 각 어레이의 조립 길이는 약 500∼5,000㎛이다.
검사 과정ⅩⅧ
검사 과정ⅩⅧ은 약결한 연마 슬러리가 웨이퍼 표면에 첨가된다는 점을 제외하고는 검사 과정Ⅲ와 동일하다. 연마 슬러리는 80㎖/분의 속도로 각 웨이퍼상에 펌핑된다. 이 약결합 연마 슬러리는 KOH계 유체 매질이다. 약결합 연마 슬러리는 탈이온수내에 대략 30중량%의 비정질 훈증된 실리카를 함유한다. 이 약결합 연마 슬러리는 미국 일리노아주의 튜스콜라에 소재한 Cabot Corp. 에서 제조한 상표명 "SCI" 로트번호 #269515로부터 구입된다.
검사 과정ⅩⅨ
검사 과정ⅩⅨ은 KOH의 pH가 11.5로 정밀하게 제어되고 지지 패드가 폴리우레탄 폼 패드("IC1000")라는 점을 제외하고는 검사 과정ⅩⅥ과 동일하다. 또한, 지지 패드와 연마 입자 사이에 76㎛(3밀) 두께의 폴리에스테르 필름이 존재한다.
검사 과정ⅩⅩ
검사 과정ⅩⅩ은 검사 길이가 2분이라는 점을 제외하고는 검사 과정ⅩⅨ과 동일하다.
검사 과정ⅩⅩⅠ
검사 과정ⅩⅩⅠ은 검사 길이가 5분이라는 점을 제외하고는 검사 과정ⅩⅨ과 동일하다.
실시예1 내지 실시예10
실시예1 내지 실시예10은 세라머 결합제 선구물질을 이용하는 연마 슬러리의 상이한 형성을 비교한다. 연마 슬러리는 세라머 결합제 및 연마 입자를 함유하는 연마 슬러리를 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 세라머를 형성하는 물질은 표 2에 나열되어 있다. 연마 슬러리를 형성하는 물질은 표 3에 나열되어 있다. 표 2 및 표 3에 나열된 양은 물질의 그램 단위이다. 각 실시예를 위한 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 각각의 연마 물품은 일반 검사 과정Ⅲ에 따라 검사된다. 실시예1 내지 실시예10에 대한 검사 결과는 표 4에 나열되어 있다. 각각의 실시예에 대해 2∼5개의 작업편이 검사된다. 작업편당 실제 절단율은 평균 절단율과 함께 Å/분의 단위로표 4에 나열되어 있다.
세라머 결합제를 형성하기 위해 함께 첨가된 물질의 양
실시예 SISOL1 HEA CA1 CA2
1-10 457.5 88.75 18.5 18.5
연마 슬러리를 형성하기 위해 함께 첨가된 물질의 양
실시예 세라머 BP1 CEO2 TIO PH1 PH2 CA1 초과 TIO
1 40 2.28 37.8 8.65 0.2 0.47 3 10.0
2 40 2.28 37.8 8.65 0.2 0.47 3 5.0
3 40 2.28 37.8 8.65 0.2 0.47 0 0
4 40 2.28 54.0 0 0.2 0.47 1.5 0
5 40 2.28 54.0 0 0.2 0 0 0
6 40 2.28 54.0 0 0.2 0.47 0 0
7 40 2.28 54.0 0 0.2 0.47 2 0
8 40 2.28 40.5 7.21 0.2 0.47 0 0
9 40 2.28 37.8 13.65 0.2 0.47 0 5.0
10 40 2.28 37.8 18.65 0.4 0 0 5.0
실시예5에서는 추가의 2g의 PH1이 CA1 후에 첨가된다는 점에 주의해야 한다. 실시예7에서는 추가의 5g의 CEO2가 CA1 후에 첨가된다는 점에 주의해야 한다. 실시예7에서는 추가의 0.2g 의 PH1이 TIO 후에 첨가된다는 점에 주의해야 한다.
절단율
실시예 절단율 #1 절단율 #2 절단율 #3 절단율 #4 절단율 #5 평균 절단율
1 1220 1170 960 780 870 1,000
2 90 50 130 80 90
3 300 150 200 220
4 60 40 40 40 50
5 60 50 40 60 50
6 620 470 230 390 150 370
7 2140 2230 2150 740 1590 1770
8 1450 120 250 320 540
9 300 150 200 220
10 210 120 170
실시예1 내지 실시예10은 연마 슬러리의 형성을 변경함으로써 획득되는 상이한 절단율을 예시하고 있다.
실시예11 내지 실시예25
본 실시예들은 연마 슬러리의 각종의 조성을 비교한다. 본 실시예들을 위한 연마 물품은 검사 과정Ⅰ, 검사 과정Ⅱ 및 검사 과정Ⅲ에 따라 검사되고, 그 검사 결과가 표 7, 표 8 및 표 9에 나열되어 있다. 본 실시예들에서, 실시예당 1개 내지 10개의 연마 물품이 검사된다.
실시예11
실시예11을 위한 연마 슬러리는 세라머 결합제와 연마 임자를 함유한 연마 슬러리를 제조하기 위한 일반 과정Ⅱ에 따라 구성된다. 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다.
실시예12 내지 실시예19는 가소제를 이용하는 연마 슬러리의 상이한 형성을 비교한다. 연마 슬러리는 에어 교반기로 TMPTA, HDDA, PEG, DPP, ABP, CA3, PH3, PH4, PH2 및 PH1을 혼합함으로써 제조된다. 다음에, CEO1에 이 혼합물에 점증적으로 첨가된다. CEO1을 이 혼합물에 혼성시키기 위해 대략 15분이 소요된다. 이에 후속하여, CA1이 첨가되고, 그 결과의 혼성물이 추가의 5분 동안 혼합된다. 다음에, CACO, TNOX, SA1 또는 ZRO가 이 혼성물에 첨가되고, 약 10분 동안 혼합되어 연마 슬러리를 구성한다. 연마 슬러리를 위한 물질의 양은 표 5a 및 표 5b에 나열되어 있다. 각 실시예를 위한 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다.
실시예12 내지 실시예19용의 연마 슬러리 형성
물질 12 13 14 15 16 17 18 19
TMPTA 18 6 18 6 6 6 6 6
HDDA 78 66 78 66 66 66 66 66
PEG 0 0 0 48 48 48 48 48
DPP 24 48 0 0 0 0 0 0
ABP 0 0 24 0 0 0 0 0
CA3 10 10 10 10 10 10 10 10
PH3 1.5 1.5 1.5 1.5 0 1.5 1.5 1.5
PH4 3 3 3 3 0 3 3 3
물질 12 13 14 15 16 17 18 19
PH2 3 3 3 3 3 3 3 3
PH1 0 0 0 0 3 0 0 0
CEO1 495 495 495 495 495 495 495 495
CA1 30 30 30 30 30 30 30 30
CACO 45 45 45 0 45 0 0 0
TNOX 0 0 0 0 0 45 0 0
SA1 0 0 0 0 0 0 10 0
ZRO 0 0 0 0 0 0 0 45
실시예20 내지 실시예25는 상이한 레벨의 가소제를 비교한다. 연마 슬러리는 에어 교반기로 TMPTA, HDDA, CA3, PH3, PH4 및 PH2를 혼합함으로써 제조된다. 다음에, CEO1이 이 혼합물에 점증적으로 첨가된다. CEO1을 이 혼합물에 혼성시키기 위해서는 대략 15분이 소요된다. 이에 후속하여, CA1이 첨가되고, 그 결과의 혼성물이 추가의 5분 동안 혼합된다. 다음에, CACO(사용된 경우)가 이 혼성물에 첨가되고 약 10분 동안 혼합되어 연마 슬러리를 구성한다. 연마 슬러리를 위한 물질의 양은 표 6에 나열되어 있다. 각 실시예를 위한 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다.
실시예20 내지 실시예25용의 연마 슬러리 형성
물질 20 21 22 23 24 25
TMPTA 24 18 12 6 0 0
HDDA 84 78 72 66 60 48
PEG 12 24 36 48 60 72
CA3 10 10 10 10 10 10
PH3 1.5 1.5 1.5 1.5 1.5 1.5
PH4 3 3 3 3 3 3
PH2 3 3 3 3 3 3
CEO 495 495 495 495 495 495
CA1 30 30 30 30 30 30
CACO 45 45 45 0 45 0
검사 과정Ⅱ
실시예 절단율 #1 절단율 #2 절단율 #3 절단율 #4 절단율 #5 절단율 #6 평균 절단율
11 420 440 340 510 710 480
20 1010 1660 1390 1180 1350 1320
20 1410 1410 1260 1340 1180 1320
24 1120 1560 1460 990 690 660 1080
21 490 820 840 860 750
21 650 350 1110 1030 160 960 710
22 770 940 1070 750 720 850
22 1110 1240 1140 1160
23 1060 1150 1105
검사 과정Ⅲ
실시예 절단율 #1 절단율 #2 절단율 #3 절단율 #4 절단율 #5 평균 절단율
25 90 50 70
24 70 70 70
12 0 0
13 290 290
14 1830 630 1230
15 470 470
16 210 210
17 1240 1220 1230
18 270 270
검사 과정Ⅰ
실시예 절단율 #1 절단율 #2 절단율 #3 절단율 #4 절단율 #5 절단율 #6 평균 절단율
23 1370 1790 1770 1770 1700 1680
23 1500 1490 1650 1550
11 1320 1050 790 1050
12 830 1020 930
13 830 840 840
19 430 430
16 160 160
실시예11 내지 실시예25는 연마 슬러리의 형성을 변경함으로써 상이한 절단율이 획득된다는 것을 예시하고 있다.
실시예26 및 실시예27
이들 실시예는 세라머 결합제를 함유하는 연마 슬러리의 2개의 형성을 비교한다. 이 결과의 연마 물품은 검사 과정Ⅰ에 따라 검사된다. 검사 결과는 표 10에 나열된다.
실시예26
실시예26용의 연마 슬러리는 세라머 결합제와 연마 입자를 함유하는 연마 슬러리를 제조하기 위한 일반 과정Ⅱ에 따라 구성된다. 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다.
실시예27
실시예27용의 연마 슬러리는 세라머 결합제와 연마 입자를 함유한 연마 슬러리를 제조하기 위한 일반 과정Ⅲ에 따라 구성된다. 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다.
검사 과정Ⅰ
실시예 절단율 #1 절단율 #2 절단율 #3 평균 절단율
26 1030 770 772 860
27 750 730 710 730
이들 2개의 실시예는 연마 슬러리의 형성을 변경함으로써 상이한 절단율이 획득된다는 것을 예시한다.
실시예28
실시예28용의 연마 슬러리는 세라머 결합제와 연마 입자를 함유하는 연마 슬러리를 제조하기 위한 일반 과정Ⅳ에 따라 구성된다. 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 그 결과의 연마 물품은 검사 길이가 8분이라는 점을 제외하고는 검사 과정Ⅲ에 따라 검사된다. 하나의 작업편만이 검사되고, 이 검사는 매 2분 마다 정지되어 절단율을 계산한다. 검사 결과는 표 11에 나열되어 있다.
실시예 2분의 검사후의절단율 4분의 검사후의절단율 6분의 검사후의절단율 8분의 검사후의절단율
28 1720 1630 1420 1330
본 실시예28은 본 발명의 연마 물품의 한 형태가 1000Å/분 이상의 일관적인 절단율을 제공한다는 것을 예시한다.
실시예29 및 실시예30
본실시예들은 각종의 연마 슬러리 형성을 비교한다. 실시예29 및 실시예30용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 연마 물품은 검사 과정Ⅳ에 따라 검사된다.
실시예29
실시예29의 연마 슬러리는 다음과 같이 제조된다. 콘테이너에 20g의 유기 용매(글리콜 에테르 용매. 미국 커넥티컷주의 스탠포드에 소재한 Olin Chemicals에서 제조한 상표명 "POLYSOLVE TPM"으로부터 상업적으로 이용가능함), 15.0g의 TDP, 3.68g의 TMPTA 및 55g의 HDDA가 첨가된다. 그 결과의 결합제 선구물질은 혼성물이 균질하게 될 때까지 에어 교반기를 사용하여 혼합된다. 다음에, 120g의 CEO1이 이 혼성물에 점증적으로 첨가되고, 이 혼성물이 완전히 균질하게 될 때까지 혼합된다. 이에 후속하여, 0.8g의 2, 0.8g의 PH6 및 0.8g의 PH4가 이 혼성물에 첨가되고 이 혼성물이 완전히 균질하게 될 때까지 혼합된다. 다음에, 80g의 ASP가 이 혼성물에 점증적으로 첨가되고 이 혼성물이 완전히 균질하게 되어 연마 슬러리를 형성할때까지 혼합된다.
연마 물품은 검사 과정Ⅳ에 따라 검사되며, 10분의 검사를 통해 900Å/분의 절단율을 갖는다. 본 실시예는 산화세륨 연마 입자가 연마 구조에 통합되고 포타슘 수산화물계 유체 매질이 없음으로써 상당히 높은 절단율이 획득된다는 것을 예시한다. 또한, 본 실시예는 끓는점이 높은 용매와 광경화가능한 결합제 선구물질을 함유하는 연마 슬러리를 예시한다. 또한, 본 실시예는 가소제, 커플링제 및 충진제를 함유하는 연마 슬러리를 예시한다.
실시예29의 연마 물품은 검사 과정Ⅴ에 따라 검사된다. 2분의 검사 동안, 연마 물품은 3750Å/분의 절단율을 발생한다.
실시예30
실시예30용의 연마 슬러리는 0.47g의 PH2 및 0.2g의 PH1이 PH5 및 PH4 광증감제 대신에 첨가된다는 점을 제외하고는 실시예28을 위한 연마 슬러리와 동일한 방식으로 제조된다.
연마 물품은 검사 과정Ⅳ에 따라 검사되고, 2분의 검사 후의 1600Å/분의 절단율 및 다음 2분의 검사 후의 1270Å/분의 절단율을 갖는다. 본 예는 2,4,6-트리메틸벤조일-디페닐-포스파인 산화물이 결합제 선구물질을 위한 유용한 개시제라는 것을 예시한다.
실시예31
실시예31의 연마 슬러리는 다음과 같이 제조된다. 콘테이너에 44.05g의 HDDA, 29.36g의 ASP, 5.06g의 TDP 및 10.3g의 SAB가 첨가된다. 그 결과의 결합제 선구물질은 이 혼성물이 완전히 균질하게 될 때까지 에어 교반기를 사용하여 혼합된다. 다음에, 44.04g의 CEO1이 이 혼성물에 점증적으로 첨가되고, 이 혼성물이 완전히 균질하게 될 때까지 혼합된다. 이에 후속하여, 0.36g의 PH2, 0.19g의 PH6 및 0.4g의 PH4가 이 혼성물에 첨가되고, 이 혼성물이 완전하게 균질하게 될 때까지 혼합된다. 실시예31용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다.
본 실시예에서, 기공 생성 물질(SAB)이 연마 코팅내에 포함된다. 처리 동안 SAB 입자가 연마 코팅의 밖으로 팽창되고, 그 결과 기공이 더욱 많고 침식성이 더큰 연마 코팅이 생성된다.
연마 물품은 검사 과정Ⅵ을 따라 검사되고, 10분의 검사에 걸쳐 398Å/분의 절단율을 갖는다.
실시예32 및 실시예33
본 실시예들은 2개의 상이한 패턴을 비교한다. 이 두 실시예를 위한 연마 슬러리는 연마 슬러리를 제조하기 위한 일반 과정Ⅱ에 따라 구성된다. 실시예32용의 연마 물품은 패턴#3을 이용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 실시예33을 위한 연마 물품은 패턴#2를 이용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 이 두 연마 물품은 수도물이 포타슘 수산화물 용액으로 대체되고 11.5∼12.5의 pH를 갖는다는 점을 제외하고는 검사 과정Ⅳ에 따라 검사된다. 흐름 속도는 대략 30㎖/분이다. 절단율은 5분 또는 10분으로 측정된다. 이 검사 결과는 표 12에 나열되어 있고, 절단율은 Å/분으로 측정된다.
검사 과정 Ⅳ
실시예 5분 후의 절단율 10분 후의 절단율
32 776 794
33 200 132
본 실시예들은 연마 물품의 절단 성능에 대한 상이한 패턴의 효과를 예시한다.
실시예34 내지 실시예44
본 실시예들은 각종의 고용성분의 세라머 결합제 선구물질을 비교한다. 세라머 결합제 선구물질이 먼저 제조되고, 세라머 결합제 선구물질이 제조된 후, 추가의 물질이 이 세라머 결합제 선구물질에 첨가되어 연마 슬러리를 형성한다. 세라머 결합제를 제조하기 위해, SISOL1가 먼저 둥근 바닥의 플라스크에 채워지고, 그 다음에 HEA, CA1 및 BP4가 채워진다. 이러한 물질의 양은(그램 단위) 표 13에 나열되어 있다. 균일한 세라머 결합제 선구물질을 획득하고 세라머 결합제 선구물질의 겔화를 방지하기 위해서는 이러한 첨가 순서가 중요하다. 이러한 물질들은 함께 혼합되어 균일한 분산제를 형성한다. 그리고나서, 이러한 물질들을 포함하고 있는 플라스크가 로타리 이베이포레이터 상에 위치되고, 약 55℃에서 약 15분 동안 가열되며, 지속적으로 교반된다. 약 2.64∼6.6㎪(20∼50mmHg)에서 동작하는 진공 펌프 시스템이 설치되어 수분의 일부분을 제거한다. 로타리 이베이포레이터 온도는 여전히 약 55℃로 유지된다. 이러한 물질들을 포함하고 있는 플라스크는 충분한 수분이 제거되어 그 결과의 세라머 결합제선구물질이 표 13에서의 각 실시예들에 대한 고용성분을 갖게 될 때까지 이러한 상태에 놓이게 된다.
세라머 결합제 선구물질내의 고용성분% 및 개시 물질
실시예 고용성분% SISOL1 HEA CA1 BP4
34 75 457.5 88.75 37.5 24.5
35 75 457.5 88.75 37.5 24.5
36 90 457.5 88.75 37.5 24.5
37 90 457.5 88.75 37.5 24.5
38 78.5 457.5 88.75 37.5 24.5
39 78.5 457.5 88.75 37.5 24.5
40 77 457.5 88.75 37.5 0
41 77 457.5 88.75 37.5 0
42 77 457.5 88.75 37.5 0
43 77 457.5 88.75 37.5 0
SISOL2가 질산을 사용하여 2.2∼2.5의 pH로 산성화된다. SISOL2 및 CA4는 둥근 바닥의 플라스크에 위치되고 약 15분 동안 혼합된다. SISOL2 및 CA4의 양은 표 4에 나열되어 있다.
연마 슬러리는 에어 교반기를 사용하여 본 실시예들을 위한 세라머 결합제 선구물질을 CA4 사전처리된 SISOL2와 혼합함으로써 제조된다. 다음에, CEO2가 이 결과의 혼성물에 첨가 및 혼합된다. 이에 후속하여, PH2 및 PH1이 이 결과의 혼성물에 혼합된다. 그리고나서, BP4가 이 결과의 혼성물에 첨가 및 혼합된다. 다음에, 추가의 탈이온수가 그 안에 혼합된다. 최종적으로, 추가의 SISOL2가 혼합되어 연마 슬러리를 형성한다. 이러한 물질의 양은 그램 단위이고 표 14에 나열되어 있다.
실시예34 내지 실시예43용의 연마 슬러리내의 물질의 양
실시예 세라머 결합체 선구물질 SISOL2 CA4 CEO2 PH2 PH1 BP4 수분 SISOL2
34 38 10 0.875 21 1 0 0 0 0
35 38 10 0.875 16.8 1 0 0 0 0
36 38 18 1.6 14 1 0 0 0 0
37 38 20 1.75 12.0 1.37 0 0 0 0
38 38 12.54 0.55 16.8 1.0 0 0 0 0
39 35.6 12.54 1.1 16.8 0 1.17 0 0 0
40 35.6 12.54 1.1 16.8 0 1.19 2.4 0 0
41 35.6 10.54 0.92 20.16 0 1.19 2.4 1.0 0
42 35.6 8.13 0.71 24.19 0 1.19 2.4 0 2.21
43 35.6 8.13 0.71 24.19 0 1.19 2.4 0 2.21
연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성되고, 검사 과정Ⅵ에 따라 검사되며, 그 결과가 표 15에 나열된다. 절단율은 Å/분으로서 계산된다.
총 15분 내지 20분 동안 하나의 작업편을 사용함
실시예 절단율(평균)
34 943.6
35 1000.1
36 1223
37 1478.7
38 437.3
39 13.2
40 80
41 27
42 37
43 942.3
실시예34 내지 실시예43은 연마 슬러리의 형성을 변경함으로써 상이한 절단율이 획득된다는 것을 예시한다.
비교실시예(랩핑 필름)
본 검사는 미국 미네소타 세인트폴에 소재한 3M으로부터 상업적으로 이용가능한 표준 연마 랩핑 물질로서 Imperial Lapping Film Chrome Oxide 제품번호 3M 031X를 사용하여 이루어진다. 본 연마 랩핑 필름은 폴리에스테르 필름 백킹 상에 0.5㎛의 이산화크롬을 슬러리 코팅함으로써 제조된다. 이 물질은 이 물질을 이중 접착 측면 감압성 접착제에 적층시킴으로써 그리고 검사 과정Ⅰ에서 설명된 바와 같은 것을 사용함으로써 30.5㎝(12인치) 디스크로서 사용된다. 그 결과, 2분의 검사 동안 측정가능한 실리콘 이산화물 양이 제거되지는 않는다.
실시예44 내지 실시예46
본 실시예들은 각종 레벨의 가소제를 비교한다. 연마 슬러리는 에어 교반기로 TMPTA, HDDA, ABP, CA3 및 PH1을 혼합함으로써 제조된다. 다음에, CEO1이 점증적으로 첨가된다. 이 혼합물과 CEO1을 혼성시키기 위해서는 대략 15분이 소요된다. 이에 후속하여, CACO2 또는 CACO중의 하나가 첨가되고 추가의 5분 동안 혼합된다. 연마 슬러리를 위한 물질의 양은 표 16에 그램 단위로 나열되어 있다. 각각의 실시예를 위한 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다.
실시예44 내지 실시예46용의 연마 슬러리 형성
물질 실시예44 실시예45 실시예46
TMPTA 11.25 9.38 7.50
HDDA 33.75 28.13 22.50
ABP 30.0 37.50 45.0
CA3 4.95 4.95 4.95
PH1 3.6 3.0 2.4
CEO1 123.75 123.75 123.75
CACO2 68.3 68.3 0.0
CACO 0.0 0.0 68.30
이 결과의 연마 물품은 검사 과정Ⅶ에 따라 검사된다. 그 검사 결과가 표 17에 나열되어 있다. 절단율은 팍독치의 평균치이고 제거된 물질의 Å/분으로 측정된다.
절단율 실시예44 실시예45 실시예46
2분의 검사후* 400 1010 1960
4분의 검사후* 380 1130 2100
2분의 검사후** 검사되지 않음 검사되지 않음 1810
4분의 검사후** 검사되지 않음 검사되지 않음 1910
6분의 검사후** 검사되지 않음 검사되지 않음 2360
*본 검사 과정에서, 연마 물품은 동일 날짜에 구성되어 검사되었다.**본 검사 과정에서, 연마 물품은 검사되기 전에 3일이 경과되었다.
표 17내의 데이터는 연마 물품이 동일 날자에 검사되거나 연마 물품이 제조된 후 3일 후에 검사되는 경우에 절단율에서 차이가 거의 없다는 것을 나타내고 있다. 또한, 표 17내의 데이터는 연마 슬러리내에 존재하는 가소제의 양과 관련되어 절단율에서 차이를 나타내고 있다.
실시예47 및 실시예48
이들 실시예는 실시예44 내지 실시예46에 설명된 바와 같이 제조되고, 각종 종류의 탄산칼슘 충진제를 비교한다. 물질의 양은 표 18에 나열되어 있다.
실시예47 및 실시예48용의 연마 슬러리 형성
물질 실시예47 실시예48
TMPTA 7.50 7.50
HDDA 22.50 22.52
ABP 45.0 0.0
PP 0.0 45.03
CA3 4.95 4.94
PH1 2.40 2.45
CEO1 123.75 127.26
CACO2 68.3 10.18
CACO 0.0 0.0
CACO3 0.0 100.01
CACO4 0.0 14.03
각각의 실시예용의 연마 물품은 다음의 변경을 제외하고는 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 제조된다. 실시예47의 경우에는 고무 롤러가 사용되지 않는다. 그 대신, 제조 툴/연마 슬러리/백킹은 연마 슬러리가 백킹의 전면을 습윤시키는 것을 보장하기 위해 및 임의의 바람직하지 않은 에어 버블을 제거하기 위해 2개의 롤 코팅기에 놓이게 된다. 실시예48의 경우, 석영 플레이트가 제조 툴 위에 위치되고, 복사선 에너지가 석영 플레이트와 제조 툴을 통과하여 연마 슬러리내로 전달된다. 석영 플레이트는 경화 동안 제조 툴을 평평하게 유지하는데 도움을 준다.
그 결과의 연마 물품이 다음의 변경을 제외하고는 검사 과정Ⅶ에 따라 검사된다. 실시예47의 연마 물품은 2분 동안만 검사된다. 실시예48의 연마 물품은 26분 동안 검사된다. 그 검사 결과가 표 19에 나열되어 있다. 절단율은 판독치의 평균치이고, 제거된 물질의 Å/분 단위로 측정된다.
_분 검사후의 절단율 실시예47 실시예48
2 2690 800
4 800
6 930
8 1360
10 1610
12 1800
14 1900
16 2200
18 2460
20 2530
22 2720
24 2370
26 2750
실시예48에 대해, 상기의 데이터로부터 연마 물품을 위한 "단련(break in)" 시간이 존재한다는 결론을 얻을 수 있다. 이러한 "단련" 시간은 연마 물품이 더 낮은 절단율을 발생하는 약 최초의 4분 동안인 것으로 나타난다. 이 단련 시간 이후, 연마 물품은 더 높은 절단율을 발생한다. 어떠한 이론에 구애됨이 없이, 이 단련 시간은 연마 코팅 상의 결합제의 외곽 필름을 제거하기 위해 소요되는 시간과 관련될 것으로 추정된다.
실시예49 및 실시예50
본 실시예들은 연마 물품을 구성하고 검사하는 각종의 방식을 비교한다. 연마 슬러리는 에어 교반기로 7.5g의 TMPTA, 22.50g의 HDDA, 45.0g의 ABP, 4.95g의 CA3 및 2.40g의 PH1을 혼합함으로써 제조된다. 다음에, 123.75g의 CEO1이 점증적으로 첨가된다. CEO1을 혼성시키기 위해 대략 15분이 소요된다. 이에 후속하여, 68.30g의 CACO가 첨가되고, 그 결과의 혼성물이 추가의 5분 동안 혼합된다.
각 실시예용의 연마 입자는 다음의 변경을 제외하고는 패턴#1을 이용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 제조된다. 본 두 실시예의 경우, 고무 롤러가 사용되지 않는다. 실시예50의 경우, UV 복사선이 PPF 백킹을 통과하여 전달된다.
그 결과의 연마 물품이 검사 과정Ⅶ 및 검사 과정Ⅷ에 따라 검사된다. 그 검사 결과가 표 20에 나열되어 있다. 절단율은 5개의 판독치의 평균치이고, 제거된 물질의 Å/분 단위로 측정되었다는 점을 유의해야 한다.
검사 과정Ⅶ 및 검사 과정Ⅷ
검사 과정/절단율 실시예49 실시예50
Ⅶ/2분의 검사후 470 2450
Ⅶ/4분의 검사후 550 2250
Ⅶ/6분의 검사후 630 검사되지 않음
Ⅷ/1.5분의 검사후 2840 검사되지 않음
Ⅷ/1.9분의 검사후 2620 검사되지 않음
이 데이터는 연마 물품이 검사 이전에 상태조절된 경우에 절단율에서 차이가 존재한다는 것과 연말 물품이 구성되는 방법을 나타낸다.
실시예51 내지 실시예57
본 실시예들은 실시예44∼46에 대해 설명된 바와 같이 제조되고, 각종의 연마 슬러리 형성을 비교한다. 물질의 양은 표 21에 나열된다.
실시예51 내지 실시예56용의 연마 슬러리 형성
물질 실시예51 실시예52 실시예53 실시예54 실시예55 실시예56
TMPTA 13.5 13.5 14.25 12.75 12.75 12.75
HDDA 40.5 40.5 42.75 38.25 38.25 38.25
ABP 6 6 3 9 9 9
CA3 5 5 5 5 5 5
PH3 0.6 0.75 0.75 0.75 0.75 0.75
PH4 1.2 1.5 1.5 1.5 1.5 1.5
PH2 1.2 1.5 1.5 1.5 1.5 1.5
CEO1 247.5 247.5 247.5 247.5 247.5 247.5
CA1 0 15 15 15 15 15
CACO 22.5 22.5 22.5 22.5 22.5 22.5
각 실시예용의 연마 입자는 다음의 변경을 제외하고는 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 실시예51 내지 실시예54는 패턴#1을 이용한다. 실시예55는 패턴#2를 이용하고, 실시예56은 패턴#3을 이용한다.
실시예57을 위해, 연마 물품은 다음의 과정에 따라 구성된다. 세라머 결합제 선구물질이 먼저 제조되고, 이 세라머 결합제 선구물질이 제조된 후, 추가의 물질이 세라머 결합제 선구물질에 첨가되어 연마 슬러리를 형성한다. 세라머 결합제를 제조하기 위해, 457.7g의 SISOL1이 둥근 바닥의 플라스크에 채워지고, 그 후 88.75g의 HEA 및 37.5g의 CA1이 첨가된다. 이러한 첨가 순서는 균일한 세라머 결합제 선구물질을 획득하고 세라머 결합제 선구물질의 겔화를 방지하기 위해 중요하다. 이들 물질이 함께 혼합되어 균일한 분산제를 형성한다. 이러한 물질을 포함하고 있는 플라스크가 로터리 이베이포레이터에 위치되고, 55℃에서 약 15분 동안 가열되며, 지속적으로 교반된다. 그리고나서, 약 2.64∼6.6㎪(20∼50mmHg)에서 동작하는 진공 펌프 시스템이 설치되어 수분의 일부분을 제거한다. 로타리 이베이포레이터 온도는 여전히 약 55℃로 유지된다. 연마 슬러리는 에어 교반기를 사용하여 400g의 세라머 결합제 선구물질을 22.8g의 BP4와 혼합함으로써 제조된다. 그 다음에, 540.0g의 CEO2가 점증적으로 첨가되고 세라머 결합제 선구물질내로 혼합된다. 그리고나서, 3.0g의 PH1 및 4.7g의 PH2가 연마 슬러리에 첨가된다. 실시예57용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다.
이 결과의 연마 물품이 검사 과정Ⅶ에 따라 검사된다. 그 검사 결과가 표 22에 나열되어 있다. 절단율은 5개의 판독치의 평균치이고 제거된 물질의 Å/분의 단위로 측정된다.
절단율 실시예 51 실시예 52 실시예 53 실시예 54 실시예 55 실시예 56 실시예 57
2분의 검사후 1060 630 240 1720 1555 1530 3000
4분의 검사후 1130 620 280 1250 1530 1600 2770
6분의 검사후 1200 1300 1440 2760
8분의 검사후 1150 1170 1290 2590
10분의 검사후 2640
실시예58 내지 실시예64
본 실시예들은 실시예44 내지 실시예46을 위해 설명된 바와 같이 제조되고 각종의 연마 슬러리 형성을 비교한다. 물질의 양은 표 23a 및 표 23b에 나열된다.
실시예58 내지 실시예64용의 연마 슬러리 형성
물질 실시예58 실시예59 실시예60 실시예61 실시예62, 63 및 64
TMPTA 7.5 12.0 7.5 12 7.5
HDDA 22.50 0 22.50 72 22.5
PEG 0 0 0 36 0
ABP 45.00 12 45.00 0 45.0
CA3 4.95 5 4.95 10 4.95
PH1 2.40 0 2.40 0 2.40
PH3 0 0.75 0 1.5 0
PH4 0 1.5 0 3 0
물질 실시예58 실시예59 실시예60 실시예61 실시예62, 63 및 64
PH2 0 1.5 0 3 0
CEO1 123.75 247.5 123.75 495 123.75
HEMA 0 15 0 0 0
CA1 0 0 0 30 0
CACO 68.30 22.5 0.0 45 0
CACO2 0.0 0.0 68.30 0 68.30
실시예58용의 연마 물품은 패턴#1을 사용하여 연마 물품을제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 실시예59용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅲ에 따라 구성된다. 실시예60 내지 실시예64용의 연마 입자는 다음의 변경을 제외하고는 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅱ에 따라 구성된다. 실시예62에서, 연마 물품은 가열된다. 실시예64에서, 연마 물품은 검사 과정Ⅰ에 전술된 바와 같이 알루미늄 링으로 20초 동안 상태조절된다.
그 결과의 연마 물품이 검사 과정Ⅶ에 따라 검사된다. 그 검사 결과가 표 24에 나열되어 있다. 절단율은 5개의 판독치의 평균치이고, 제거되는 물질의 Å/분의 단위로 측정된다는 점에 유의해야 한다.
절단율 실시예 58 실시예 59 실시예 60 실시예 61 실시예 62 실시예 63 실시예 64
2분의 검사후 1470 900 240 1720 170 440 1770
4분의 검사후 1580 980 100 1660 160 1580
6분의 검사후 1640 80 160 1470
8분의 검사후 310 1370
10분의 검사후 310 1520
본 실시예들은 연마 물품의 제조 동안 상이한 가열 조건의 효과를 예시한다. 본 실시예들은 또한 시간 주기에 걸쳐 연마 물품의 절단을 예시한다.
실시예65 내지 실시예70
본 실시예는 실시예44 내지 실시예46에 설명된 바와 같이 제조되고 연마 슬러리 형성을 비교한다. 물질의 양은 표 25에 나열되어 있다.
실시예65 내지 실시예70용의 연마 슬러리 형성
물질 실시예65~66 실시예67 실시예68 실시예61 실시예70
TMPTA 0 0 0 7.5 0
HDDA 32.0 32.0 32.0 22.50 35.0
ABP 48.0 25.45 0 0 0
PP 0 22.65 48.0 45.0 35.0
CA3 4.08 4.08 4.08 2.48 3.84
PH1 2.56 2.56 2.56 2.40 2.8
CEO1 123.75 123.75 123.75 123.75 123.75
CACO2 80.00 80.00 80.00 68.3 68.30
실시예65용의 연마 물품은 다음의 변경을 제외하고는 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 글래스 플레이트가 제조 툴 위에 설치되고, 자외선광이 글래스 플레이트 및 제조 툴을 통과하여 연마 슬러리내로 전달된다.
실시예66용의 연마 물품은 다음의 변경을 제외하고는 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 자외선광이 제조 툴을 통과하여 연마 슬러리내로 전달된다. 또한 자외선광 아래에 1회의 샘플 통과만이 존재한다.
실시예67 내지 실시예70용의 연마 입자는 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다.
실시예65 내지 실시예70용의 연마 물품은 아래의 변경을 제외하고는 검사 과정Ⅸ에 따라 검사된다. 그 검사결과가 표 26a 및 표 26b에 나열되어 있다. 절단율은 5개의 판독치의 평균치이고 제거되는 물질의 Å/분의 단위로 측정된다는 점에 유의해야 한다.
실시예 절단율 절단율* 절단율**
65 2702
66 983
67 1640
68 2283 2285 2640
실시예 절단율 절단율* 절단율**
69 2318
70 1240
*본 검사에서, 작업편은 약 60rpm으로 회전하고 연마 물품은 약 70rpm으로 회전한다.*본 검사에서, 작업편은 약 60rpm으로 회전하고 연마 물품은 약 70rpm으로 회전한다.
실시예71 및 실시예72
실시예72용의 연마 슬러리는 에어 교반기로 7.5g의 TMPTA, 22.50g의 HDDA, 45.0g의 PP, 4.95g의 CA3 및 2.40g의 PH1을 혼합함으로써 제조된다. 다음에, 123.75g의 CEO1이 이 혼합물에 점증적으로 첨가된다. CEO1을 혼성시키기 위해서는 대략 15분이 소요된다. 이에 후속하여, 200.0g의 CACO3가 첨가되고 그 결과의 혼성물이 추가의 5분 동안 혼합된다.
실시예72용의 연마 슬러리는 에어 교반기를 이용하여 30.0g의 TMPTA, 90.0g의 HDDA, 180.0g의 PP, 19.8g의 CA3 및 9.60g의 PH1을 혼합함으로써 제조된다. 다음에 495.0g의 CEO1이 점증적으로 첨가된다. CEO1을 이 혼합물에 혼성시키기 위해서는 약 15분이 소요된다. 이에 후속하여, 320.0g의 CACO3, 32.0g의 CACO2 및 32.0g의 CACO4가 점증적으로 첨가되고, 그 결과의 혼성물이 추가의 5분 동안 혼합된다.
실시예71 및 실시예72용의 연마 물품은 다음의 변경을 제외하고는 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 고무 롤러는 사용되지 않는다. 또한, 제조 툴 위에 석영 플레이트가 존재하며, 자외선광이 석영 플레이트 및 중합체 툴을 통과하여 전달된다. 실시예71 및 실시예72용의 연마 물품은 검사 과정Ⅶ에 따라 검사된다. 그 검사 결과가 표 27에 나열되어 있다. 절단율은 5개의 판독치의 평균치이고 제거되는 물질의 Å/분의 단위로 측정된다.
절단율 실시예71 실시예72
2분의 검사후 1260 500
4분의 검사후 1700 1300
6분의 검사후 2080 1750
8분의 검사후 2310 1990
10분의 검사후 2260
12분의 검사후 2120
14분의 검사후 2530
실시예73 및 실시예74
실시예73용의 연마 슬러리는 에어 교반기를 사용하여 7.5g의 TMPTA, 22.50g의 HDDA, 45.0g의 DUP, 4.95g의 CA3 및 2.40g의 PH1을 혼합함으로써 제조된다. 다음에, 123.75g의 CEO1이 이 혼합물에 점증적으로 첨가된다. CEO1을 혼성시키기 위해서는 대략 15분이 소요된다. 이에 후속하여, 110.0g의 CACO3, 20.0g의 CACO2 및 10.0g의 CACO4가 점증적으로 첨가되고, 그 결과의 혼성물이 추가의 5분 동안 혼합된다.
실시예74용의 연마 슬러리는 에어 교반기를 이용하여 7.5g의 TMPTA, 22.50g의 HDDA, 45.0g의 PP, 4.95g의 CA3 및 2.40g의 PH1을 혼합함으로써 제조된다. 다음에 123.75g의 CEO1이 점증적으로 첨가된다. CEO1을 혼성시키기 위해서는 약 15분이 소요된다. 이에 후속하여, 200.0g의 CACO3가 점증적으로 첨가되고, 그 결과의 혼성물이 추가의 5분 동안 혼합된다.
실시예73 및 실시예74용의 연마 물품은 다음의 변경을 제외하고는 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 고무 롤러는 사용되지 않는다. 또한, 제조 툴 위에 석영 플레이트가 존재하며, 자외선광이 석영 플레이트 및 중합체 툴을 통과하여 전달된다. 실시예73 및 실시예74용의 연마 물품은 검사 과정Ⅶ에 따라 검사된다. 그 검사 결과가 표 28에 나열되어 있다. 절단율은 5개의 판독치의 평균치이고 제거되는 물질의 Å/분의 단위로 측정된다.
절단율 실시예 73* 실시예74
2분의 검사후 1550 2160
4분의 검사후 1450 2380
*실시예73에 대해 제1 사이클은 불과 1.5분이고 제2 사이클은 불과 0.75분이다.
실시예75
실시예75의 연마 물품은 열적으로 경화된 결합제 선구물질을 사용한 연마 슬러리로 구성된다. 실시예75의 연마 슬러리는 에어 교반기를 이용하여 30.0g의 EPR, 6.14g의 EC 및 72.29g의 CEO2를 혼합함으로써 제조된다. CEO2가 에폭시 수지 및 경화제의 이 혼합물에 점증적으로 첨가된다. 제조 툴은 패턴#1에 설명된 바와 같이 구성되어 제공된다. 제조 툴은 매스크형 감압성 접착제 테이프를 사용하여 금속 캐리어 플레이트에 고정된다. 연마 슬러리는 이 연마 슬러리가 기공을 완전히 충진하도록 고무 스퀴지를 사용하여 제조 툴의 기공내에 코팅된다. 그 다음에, PPF백킹이 제조 툴의 기공내에 포함된 연마 슬러리와 접촉하게 된다. 고무 롤러는 연마 슬러리가 백킹의 전면을 습윤시키는 것을 보장하고 바람직하지 않은 에어 버블을 제거하기 위해 백킹의 후면에 걸쳐 롤링된다. 물품은 약 65.5℃(150℉)에서 약 1시간 동안 샘플을 가열함으로써 경화된다. 에폭시의 열경화 동안 제조 툴의 약간의 변형이 존재하고, 그 결과 연마 패턴이 일부 변형된다.
연마 물품은 PPF 백킹 상의 프라이머를 활성화시키기 위해 약 110∼115.5℃(230∼240℉)에서 15초 내지 1분 동안 가열된다.
검사를 위한 연마 물품을 제조하기 위해, 2개의 연마 물품이 함께 결합되어 미국 미네소타 세인트폴에 소재한 3M에서 제조한 상표명 "Scotch 467MP Hi Performance Adhesive"으로부터 상업적으로 이용가능한 감압성 접착 테이프에 적층된다. 그리고나서, 30.5㎝(12인치) 직경의 원형 검사 샘플이 검사를 위해 다이 절단된다.
그 결과의 연마 물품이 검사 과정Ⅶ에 따라 검사된다. 2분의 검사 후, 절단율은 1090Å/분이 된다. 4분의 검사 후, 절단율은 1370Å/분이 된다.
실시예76
실시예76용의 연마 물품은 다음 과정을 따라 구성된 부분적으로 중합화된 에틸렌계 불포화된 단량체를 사용하는 연마 슬러리로 구성된다. 먼저 209.5g의 이소옥틸 아크릴산 및 0.81g의 벤질디메틸-케탈 광증감제가 용기내에 첨가된다. 그 결과의 혼합물이 니트로겐으로 15분 동안 정화된다. 그리고나서, 이 용기는 15Watt로 동작하는 단일의 블랙 램프에 30분 동안 노출되어 아크릴산염 단량체를 부분적으로 중합화한다. 그 결과의 부분적으로 중합화된 이소옥틸 아크릴산은 약 7800세티푸아즈의 점도를 갖는다.
실시예76용의 연마 슬러리는 에어 교반기를 이용하여 1.18부의 CA3, 6.54부의 HDDA, 3.08부의 TMPTA, 16.15부의 PP, 1.0부의 PH7 및 1.15부의 부분적으로 중합화된 에틸렌계 불포화된 단량체를 혼합함으로써 제조된다. 다음에, 47.57부의 CEO1이 점증적으로 첨가된다. CEO1을 이 혼합물에 혼성시키기 위해서는 약 15분이 소요된다. 이에 후속하여, 23.33부의 CACO3가 점증적으로 첨가되고, 그 결과의 혼성물이 추가의 5분 동안 혼합된다.
실시예76용의 연마 물품은 다음의 변경을 제외하고는 패턴#1을 사용하여 연마 무품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 고무 롤러는 사용되지 않는다. 또한, 제조 툴 상에 석영 플레이트가 존재하고, 자외선광이 석영 플레이트 및 중합화 툴을 통과하여 전달된다. 실시예76용의 연마 물품은 검사 과정Ⅶ에 따라 검사된다. 그 검사 결과가 표 29에 나열되어 있다. 절단율은 5개의 판독치의 평균치이고 제거되는 물질의 Å/분의 단위로 측정된다.
절단율 실시예76
2분의 검사후 570
4분의 검사후 1090
6분의 검사후 1250
8분의 검사후 1220
실시예77 내지 실시예80
본 실시예들은 고착된 연마 물품에서의 각종 레벨의 산화세륨 연마 그레인을 비교하고, 물질의 양이 표 30a 및 표 30b에 나열되어 있다. 연마 슬러리는 에어 교반기로 TMPTA, HDDA, PP, CA3 및 PH7을 혼합함으로써 제조된다. 다음에, CEO1이 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, 탄산칼슘 입자가 거의 분산될때까지 CACO3, CACO2 및 CACO4가 연마 슬러리내에 점증적으로 혼합된다.
실시예77 내지 실시예80용의 연마 슬러리 형성
물질 실시예77 실시예78 실시예79 실시예80
TMPTA 8.44 8.44 8.44 8.44
HDDA 25.31 25.31 25.31 25.31
PP 41.25 41.25 41.25 41.25
CA3 4.95 4.95 4.95 4.95
PH7 2.40 2.40 2.40 2.40
물질 실시예77 실시예78 실시예79 실시예80
CEO1 30.94 61.88 92.81 123.75
CACO3 71.91 68.07 64.25 60.42
CACO2 17.05 13.21 9.39 5.56
CACO4 12.88 9.04 5.22 1.39
각 실시예용의 연마 물품은 패턴#1을 사용하여 연마 입자를 제조하기 위한 일반과정Ⅳ에 따라 구성된다. 그 결과의 연마 물품이 검사 과정ⅩⅠ에 따라 검사된다. 그 검사 결과가 표 31에 나열되어 있다. 절단율은 1분간의 간격 동안 제거되는 실리콘 산화물의 Å으로서 측정된다.
실시예 절단율(Å/분)
77 148
78 500
79 1311
80 1580
본 데이터는 산화세륨의 존재에 좌우되어 연마 입자에 의해 제거되는 실리콘 이산화물량에서 차이가 존재한다는 것을 나타내고 있다.
실시예81 내지 실시예84
본 실시예들은 실시예77 내지 실시예80의 과정에 따라 제조 및 검사되고, 연마 물품을 제조하기 위해 사용되는 각종의 연마 슬러리 형성물을 비교한다. 물질의 양은 표 32에 나열되어 있다. 검사 결과는 표 33에 나열되어 있다.
실시예81 내지 실시예84용의 연마 슬러리 형성
물질 실시예81 실시예82 실시예83 실시예84
TMPTA 8.44 8.44 8.44 8.44
HDDA 25.31 25.31 25.31 25.31
PP 41.25 41.25 41.25 41.25
CA3 0 0 4.95 0
DA1 4.70 4.95 0.3 2.48
PH7 2.40 2.40 2.40 2.40
CEO1 123.75 123.75 123.75 123.75
CACO3 60.42 0 60.42 60.42
CACO2 5.56 0 5.56 5.56
CACO4 1.39 63.37 1.39 1.39
검사 과정ⅩⅠ
물질 절단율 Å/분
81 1393
82 1667
83 1348
84 1141
본 데이터는 연마 코팅내에 존재하는 물질과 그 물질들의 각각의 양에 좌우되어 연마 물품에 의해 제거되는 실리콘 이산화물량에서 차이가 존재한다는 것을 나타내고 있다.
실시예85 내지 실시예87
본 실시예들은 실시예77∼80에 설명된 바와 같이 제조되고, 연마 물품을 구성하기 위해 상이한 레벨의 가소제를 함유하고 있는 각종의 연마 슬러리 형성물을 비교한다. 물질의 양은 표 34에 나열되어 있다.
실시예87 내지 실시예85용의 연마 슬러리 형성
물질 실시예 85 실시예 86 실시예 87
TMPTA 11.25 9.38 8.44
HDDA 33.75 28.13 25.31
PP 30 37.5 41.25
CA3 4.95 4.95 4.95
PH7 3.6 3.0 2.7
CEO1 123.75 123.75 123.75
CACO3 67.37 67.37 67.37
각각의 실시예용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 그 결과의 연마 물품이 검사 과정Ⅹ에 따라 검사된다. 그 검사 결과는 표 35에 나열되어 있다. 절단율은 1분 간격 동안 제거되는 실리콘 산화물의 Å으로서 측정된다.
실시예 절단율 Å/분
85 497
86 1060
87 1382
이 데이터는 연마 코팅에 존재하는 물질들과 이 물질들의 각각의 양에 좌우되어 연마 물품에 의해 제거되는 실리콘 산화물량에서 차이가 존재한다는 것을 나타내고 있다.
실시예88 내지 실시예91
본 실시예들은 실시예77∼80에서 설명된 바와 같이 제조되고, 연마 물품을 제조하기 위해 사용된 각종의 연마 슬러리 형성을 비교한다. 물질의 양은 표 36에 나열되어 있다.
실시예88 내지 실시예91용의 연마 슬러리 형성
물질 실시예 88 실시예 89 실시예 90 실시예 91
TMPTA 180 285 320.63 180
HDDA 540 855 961.88 540
PP 1080 1710 1567.5 1080
CA3 118.80 0 188.10 118.80
PH7 57.60 91.20 91.20 57.60
KD2 0 150.48 0 0
CEO1 2970 4702.5 4702.5 2970
CACO3 1450 2296 2296 1450
CACO2 133.33 211 211 133.33
CACO4 33.33 52.7 52.8 33.33
실시예88용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅱ에 따라 구성된다. 실시예89 내지 실시예91용의 연마 물품은 자외선광이 백킹을 통과하여 전달된다는 점을 제외하고는 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅱ에 따라 구성된다. 그 결과의 연마 물품이 검사 과정ⅩⅡ 및 검사 과정ⅩⅢ에 따라 검사된다. 그 검사 결과가 표 37에 나열되어 있다. 절단율은 1분 간격 동안 제거된 실리콘 산화물의 Å으로서 측정된다.
검사 과정ⅩⅡ 및 검사 과정ⅩⅢ
실시예 검사 과정 XII 절단율 Å/분 검사 과정 XIII 절단율 Å/분
88 62 84
89 2113 2045
90 1462 916
91 1546 1220
이 데이터는 연마 코팅에 존재하는 물질들과 이 물질들의 각각의 양에 좌우되어 연마 물품에 의해 제거되는 실리콘 산화물의 양에서 차이가 존재한다는 것을 나타낸다. 또한, 이 데이터는 결합제 선구물질을 고화시키기 위해 자외선광이 연마 슬러리내로 어떻게 전달되는 지에 좌우되어 절단율에 차이가 존재한다는 것을 나타낸다. 또한, 이 데이터는 KOH 용액의 pH에 좌우되어 절단율에서의 차이가 존재한다는 것을 나타낸다.
실시예92 및 실시예93
본 실시예들은 실시예77∼80에 설명된 바와 같이 제조되고, 연마 물품을 제조하기 위해 사용된 연마 슬러리 형성물내의 2개의 상이한 가소제를 비교한다. 물질의 양은 표 38에 나열되어 있다.
실시예92 및 실시예93용의 연마 슬러리 형성
물질 실시예 92 실시예 93
TMPTA 7.50 7.50
HDDA 22.50 22.50
PP 45.00 0
PEG 0 45.00
CA3 4.95 4.95
PH1 2.40 2.40
CEO1 123.75 123.75
CACO3 60.42 60.42
CACO2 5.56 5.56
CACO4 1.39 1.39
실시예92용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅳ에 따라 구성된다. 실시예93용의 연마 물품은 연마 물품이 제조 툴로부터 제거되기 전에 박막 프라이머를 획득하기 위해 110℃에서 1분 동안 샘플이 가열된다는 점을 제외하고는 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅳ에 따라 구성된다. 그 결과의 연마 물품은 검사 과정Ⅹ에 따라 검사된다. 그 검사 결과는 표 39에 나열되어 있다. 절단율은 1분 간격 동안 제거된 실리콘 산화물의 Å으로서 측정된다.
실시예 절단율 Å/분
92 2770
93 1860
검사 후, 실시예93용의 연마 물품은 심하게 손상되고 추가의 반도체 웨이퍼의 검사시에 유용하지 않게 된다는 것에 주목해야만 한다. 이 데이터는 연마 코팅에 존재하는 가소제에 좌우되어 제거되는 실리콘 산화물의 양에서 차이가 존재한다는 것을 나타낸다.
실시예94 및 실시예95
본 실시예들은 실시예77∼80에 설명된 바와 같이 제조되고, 연마 슬러리를 구성하기 위해 사용되는 연마 슬러리 형성물내의 단작용기 아크릴산염을 비교한다. 물질의 양은 표 40a 및 표 40b에 나열되어 있다.
실시예94 및 실시예95용의 연마 슬러리 형성
물질 실시예 94 실시예 95
HDDA 7.16 7.10
MA1 63.91 0
MA2 0 64.50
CA3 0.76 0.74
KD2 0.74 0.75
물질 실시예 94 실시예 95
PH7 2.28 2.27
CEO1 184.8 184.6
CACO3 75.84 59.9
CACO2 5.59 16.28
CACO4 1.4 1.40
본 실시예용의 연마 물품은 패턴#1을 이용하여 연마 물품을 제조하기 위한 일반 과정Ⅵ에 따라 구성된다. 그결과의 연마 물품은 검사 과정ⅩⅣ에 따라 검사된다. 그 검사 결과가 표 41에 나열되어 있다. 절단율은 1회 내지 10회의 판독치의 평균치이며, 제거된 물질의 Å/분의 단위로 측정된다.
실시예 절단율 Å/분
94 1140
95 2240
본 실시예들은 반도체 웨이퍼 검사 작업편으로부터 실리콘 이산화물을 제거하기 위해 연마 코팅내에 어떠한 가소제도 포함하지 않고 있는 고착된 연마 물품의 성능을 예시한다.
실시예96 내지 실실예98
본 실시예들은 실시예94 및 실시예95를 위해 설명된 바와 같이 제조 및 검사되고, 연마 물품을 구성하기 위해 사용되는 연마 슬러리 형성물내의 각종 레벨의 가소제를 비교한다. 물질의 양은 표 42에 나열되어 있고, 검사 결과는 표 43에 나타내져 있다.
실시예96 내지 실시예98용의 연마 슬러리 형성
물질 실시예 96 실시예 97 실시예 98
TMPTA 6.56 5.63 4.69
HDDA 19.69 16.87 14.06
PP 48.75 52.50 56.25
CA3 4.95 4.95 4.95
PH7 2.4 2.40 2.4
CEO1 123.75 123.75 123.75
CACO3 60.4 60.4 60.40
CACO2 5.6 5.6 5.6
CACO4 1.4 1.4 1.4
검사 과정ⅩⅣ
실시예 절단율 Å/분
96 2320
97 2840
98 2250
본 실시예들은 반도체 웨이퍼 검사 작업편으로부터 실리콘 이산화물을 제거하기 위해 일정 범위의 가소제를 함유하는 산화세륨계 연마제의 활용을 예시한다.
실시예99 내지 실시예102
본 실시예들은 고착된 연마 물품을 드레싱하기 위한 수단으로서 에어 코로나 사전처리(an air corona post-treatment)의 사용을 예시한다. 연마 슬러리는 에어 교반기를 이용하여 TMPTA, HDDA, PP, CA3 및 PH3를 혼합함으로써 제조된다. 그 다음에, CEO1이 점증적으로 혼합물에 첨가된다. 이에 후속하여, 탄산칼슘이 점증적으로 첨가된다. 연마 슬러리용의 물질의 양은 그램 단위로 표 44에 나열되어 있다.
실시예99 내지 실시예102용의 연마 슬러리 형성
물질 실시예99~102용의 연마 슬러리 형성물
TMPTA 540
HDDA 1620
PP 3240
CA3 356.4
PH7 172.8
CEO1 8910
CACO3 5760
CACO5 176
CACO2 400
CACO4 100
본 실시예들용의 고착된 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅱ에 따라 구성된다. 실시예99 내지 실시예101의 연마 물품은 기초 롤 구성(bare ground role configuration)에서 셔만 코로나 처리 장치(영국의 Sherman Treaters, Ltd.)를 사용하여 처리된다. 실시예99의 연마 물품은 31.2joules/㎠의 에너지 레벨에서 에어 코로나와 함께 처리된다. 실시예100의 연마 물품은 41.2joules/㎠의 에너지 레벨에서 에어 코로나와 함께 처리된다. 실시예101의 연마 물품은 50joules/㎠의 에너지 레벨에서 에어 코로타와 함께 처리된다. 또한, 실시예100 및 실시예101의 연마 물품은 총에너지 레벨을 획득하기 위해 1/2의 에너지 밀도로 2회 처리된다. 실시예102의 연마 물품은 에어 코로나 처리되지 않는다. 이러한 연마 물품들은 검사 과정ⅩⅣ에 따라 검사된다. 절단율은 실시예당 검사된 1개 내지 5개의 작업편의 절단율의 평균치이다. 검사 결과는 표 45에 나열되어 있다.
실시예 절단율 Å/분
99 38
100 29
101 105
102 22
상기 데이터 표로부터 코로나 에너지의 사용은 결과적인 연마 물품의 절단율에 상당히 영향을 주는 것을 명백히 알 수 있다.
실시예103 내지 실시예108
본 실시예들은 각종의 연마 입자를 함유하는 상이한 연마 슬러리 형성을 비교한다. 연마 슬러리는 에어 교반기를 이용하여 TMPTA, HDDA, PP, CA3 및 PH1을 혼합함으로써 제조된다. 다음에, CEO1가 연마 슬러리내에 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, 기타 연마 입자가 연마 슬러리에 거의 분산될때까지 연마 슬러리내에 점증적으로 혼합된다. 물질의 양은 표 46에 나열되어 있다.
실시예103 내지 실시예108용의 연마 슬러리 형성
물질 실시예 103 실시예 104 실시예 105 실시예 106 실시예 107 실시예 108
TMPTA 9.38 9.38 9.38 9.54 9.54 9.54
HDDA 28.13 28.13 28.13 28.61 28.61 28.13
PP 37.50 37.50 37.50 36.85 36.85 37.5
CA3 4.95 4.95 4.95 4.95 4.95 4.95
PH1 3.00 3.00 3.00 2.4 2.4 3.00
CEO1- 123.75 123.75 123.75 120 120 120
SIZR 56.0 0 0 0 0 0
SIN 0 75 0 0 0 0
YZR1 0 0 120 0 0 80
ZR 0 0 0 125 0 0
YZR2 0 0 0 0 125 45
실시예103 내지 실시예108용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅳ에 따라 구성된다. 그 결과의 연마물품이 검사 과정ⅩⅤ에 따라 검사된다. 그 검사 결과는 표 47에 나열되어 있다. 절단율은 제거된 실리콘 산화물의 Å/분으로서 측정된다. 검사 길이는 변한다.
_분의 검사후의 절단율 실시예 103 실시예 104 실시예 105 실시예 106 실시예 107 실시예 108
2 210 780 2330 2070 1460 2720
4 280 630 1860 2100 2140 2610
6 340 2470 1670 2090 2470
8 2290 1980 2310 2420
10 2560 1340 2360 2400
12 2540 950 2430 2320
14 2550 2230 2440
16 2610 2330 2510
18 2160 2100 2470
20 2500 1530 2340
22 2310
24 2420
26 2380
28 2350
30 2430
32 2410
34 2590
36 2520
38 2330
40 2570
이 데이터는 연마 코팅내의 연마 입자의 종류와 양에 좌우되어 제거되는 실리콘 산화물의 양에서 차이가 존재한다는 것을 나타낸다.
실시예108에 대해서는 40분의 검사(즉, 20개의 웨이퍼) 후, 연마 물품은 2.5분 동안 웨이퍼(21번째 검사 웨이퍼)를 처리하기 위해 사용된다. 이 단계 후, 검사 웨이퍼는 증류수로 세척되고 깨끗한 공기 스트림을 사용하여 건조된다. 웨이퍼의 표면 다듬질은 미국 아리조나주의 턱슨에 소재한 Wyko Interferometer에서 제조한 모델번호 #RST Plus를 사용하여 측정된다. 표면 다듬질은 5개의 상이한 위치에서 측정된다. 검사 결과는 표 48에 나열된다.
실시예108에 의한 21번째 검사 웨이퍼의 표면 다듬질 측정치
위치 RMS* Ra
1 0.79nm 0.62nm
2 0.93nm 0.75nm
3 0.95nm 0.75nm
4 0.95nm 0.76nm
5 0.77nm 0.62nm
RMS*는 기준 평면으로부터의 편차의 제곱 평균을 나타낸다.
실시예109
본 실시예용의 연마 슬러리는 암모니아 염을 함유한다. 연마 슬러리는 에어 교반기를 이용하여 TMPTA, HDDA, CA3 및 PH1을 혼합함으로써 제조된다. 다음에, CEO1이 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, 다른 연마 입자 및 NHC가 거의 분산될때까지 연마 슬러리내에 점증적으로 혼합된다. 물질의 양은 표 49에 나열되어 있다.
실시예109용의 연마 슬러리
물질 실시예 109
TMPTA 18.75
HDDA 56.25
CA3 4.95
PH1 3.00
CEO1 80
ZR 147.2
NHC 10.4
실시예109용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅳ에 따라 구성된다. 그 결과의 연마 물품이 검사 과정ⅩⅥ에 따라 검사된다. 그 검사 결과는 표 50에 나열되어 있다. 절단율은 제거된 실리콘 이산화물의 Å/분으로서 측정된다. 검사 길이는 5분이며, 절단율은 1분의 처리 후에 측정된다.
절단율 실시예 109
1분의 검사후 740
2분의 검사후 640
3분의 검사후 740
4분의 검사후 680
5분의 검사후 580
실시예110 및 실시예111
본 실시예들용의 연마 슬러리는 착화 작용제를 함유한다. 연마 슬러리는 에어 교반기를 이용하여 TMPTA, HDDA, PP, CA3 또는 KD2 및 PH1을 혼합함으로써 제조된다. 다음에, 연마 입자가 연마 슬러리내에 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, TANA 또는 SAA가 거의 분산될때까지 연마 슬러리내로 점증적으로 혼합된다. 연마 슬러리용의 물질의 양은 표 51에 나열되어 있다.
실시예110 및 실시예111용의 연마 슬러리 형성
물질 실시예 110 실시예 111
TMPTA 11.25 14.26
HDDA 33.75 42.77
PP 30 0
CA3 4.95 0
KD2 0 3.04
PH1 3 1.82
YZR1 87.47 0
ZRO 83.05 0
YZR2 0 221.68
TANA 20 0
SAA 0 20
실시예110 및 실시예111용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅳ에 따라 구성된다. 그 결과의 연마 물품이 검사 과정ⅩⅥ에 따라 검사된다. 그 검사 결과는 표 52에 나열된다. 절단율은 제거된 실리콘 산화물의 Å/분으로서 측정된다. 검사 길이는 가변적이고, 절단율은 1분의 처리 후에 측정된다.
절단율(Å/분) 실시예 110 실시예 111
1분의 검사후 200 1270
2분의 검사후 150 340
3분의 검사후 230
상기 데이터로부터 상이한 연마 슬러리로 구성되는 연마 물품으로 상이한 절단율이 획득된다는 것을 알 수 있다.
실시예111의 연마 물품은 검사 과정ⅩⅦ에 따라 검사된다. TIR은 검사 전에 500㎛가 되는 것으로 측정된다. 검사 후의 TIR은 40㎛이다.
실시예112 내지 실시예114
본 실시예들은 연마 물품을 구성하기 위해 사용된 각종의 연마 슬러리 형성을 비교한다. 연마 슬러리는 에어 교반기를 사용하여 TMPTA, HDDA, PP, CA3, KD2 및 PH1을 혼합함으로써 제조된다. 다음에, CEO1이 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, 지르코니아 및/또는 산화세륨이 이들 입자가 거의 분산될때까지 연마 슬러리내에 혼합된다. 연마 슬러리용의 물질의 양은 표 53에 그램 단위로 나열되어 있다.
실시예112 내지 실시예114용의 연마 슬러리 형성
물질 실시예 112 실시예 113 실시예 114
TMPTA 8.44 10 18.01
HDDA 25.31 30 54.02
PP 41.25 35 0
CA3 4.95 4.95 0
KD2 0 0 3.84
PH1 2.4 3 2.88
CEO1 124 76.14 151.5
ZRO 0 178.96 354.11
CACO3 69 0 0
실시예112 내지 실시예114의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅳ에 따라 구성된다. 이 결과의 연마 물품은 검사 과정ⅩⅦ에 따라 검사된다. 그 검사 결과가 표 54에 나열되어 있다.
실시예 처리 이전의 TIR 처리 이후의 TIR
112 600nm 210nm
113 600nm 183nm
114 600nm 72nm
이 데이터는 상이한 연마 코팅 형성이 상이한 TIR레벨을 획득한다는 것을 나타내고 있다.
실시예115 내지 실시예117
본 실시예들은 연마 물품을 구성하기 위해 사용되는 각종의 연마 슬러리 형성을 비교한다. 연마 슬러리는 에어 교반기를 사용하여 HDDA, MA2, CA3 및 PH7을 혼합함으로써 제조된다. 다음에, CEO1이 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, CACO3, CACO2 및 CACO4가 이들 입자가 거의 분산될때까지 연마 슬러리내에 점증적으로 혼합된다. 연마 슬러리용의 물질의 양은 표 55에 나열되어 있다.
실시예115 내지 실시예117용의 연마 슬러리 형성
물질 실시예 115 실시예 116 실시예 117
HDDA 7.50 9.00 10.51
MA2 67.53 66.0 64.50
CA3 4.95 4.97 4.95
PH7 2.40 2.42 2.42
CEO1 123.78 123.78 123.75
CACO3 84.03 84.01 83.05
CACO2 5.62 5.61 5.62
CACO4 1.41 1.41 1.40
실시예115 내지 실시예117용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅵ에 따라 구성된다. 그 결과의 연마 물품은 검사 과정ⅩⅩ에 따라 검사된다. 그 검사 결과는 표 56에 나열되어 있다.
실시예 평균절단율 (Å/분)
115 3400
116 400
117 100
상기 데이터로부터 연마 코팅의 형성에 좌우되어 절단율에서 차이가 존재한다는 것을 알 수 있다.
실시예118 내지 실시예122
본 실시예들은 각종의 산화세륨 연마 입자를 비교한다. 연마 슬러리는 실시예77 내지 실시예80에 대해 설명된 바와 같이 제조된다. 물질의 양은 표 57a 및 표 57b에 나열되어 있다.
실시예118 내지 실시예122용의 연마 슬러리 형성
물질 실시예 118 실시예 119 실시예 120 실시예 121 실시예 122
TMPTA 8.47 8.46 8.46 8.44 8.44
HDDA 25.35 25.34 25.53 25.34 25.31
PP 41.29 41.3 41.27 41.28 41.25
CA3 4.96 4.98 4.96 4.96 4.95
PH7 2.40 2.44 2.41 2.42 2.42
CEO1 123.77 0 0 0 0
CEO3 0 123.80 0 0 0
물질 실시예 118 실시예 119 실시예 120 실시예 121 실시예 122
CEO4 0 0 0 123.76 0
CEO5 0 0 123.76 0 0
CEO6 0 0 0 0 123.75
CACO3 60.41 60.41 60.43 60.41 60.40
CACO2 5.64 3.62 5.63 5.61 5.60
CACO4 1.42 1.43 1.40 1.41 1.40
실시예118 내지 실시예122용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅵ에 따라 구성된다. 그 결과의 연마 물품은 검사 과정ⅩⅨ에 따라 검사된다. 그 검사 결과가 표 58에 나열되어 있다.
실시예 평균절단율 (Å/분)
118 1846
119 1651
120 859
121 1368
122 490
실시예123 및 실시예124
본 실시예들은 유체 매질내에 존재하는 외부 윤활제가 없는 고착된 연마 물품의 절단율에 대해 유체 매질내에 존재하는 외부 윤활제를 갖는 고착된 연마 물품의 절단율을 비교한다. 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 따라 구성된다. 연마 슬러리는 에어 교반기를 사용하여 8.44부의 TMPTA, 25.31부의 HDDA, 41.25부의 PP, 4.95부의 CA3 및 2.40부의 PH7을 혼합함으로써 제조된다. EKDMAD, 123.75부의 산화세륨 연마 입자(CEO1)가 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, 60.40부의 CACO3, 5.60부의 CACO2 및 1.40부의 CACO4가 이들 입자가 거의 분산될때까지 연마 슬러리에 점증적으로 혼합된다.
실시예123의 연마 물품은 검사 과정Ⅲ에 따라 검사된다. 실시예124의 연마 물품은 유체 매질이 미국 커넥티컷주의 댄버리에 소재한 Union Carbide에서 제조한 상표명 "Polyox 1105"으로부터 상업적으로 이용가능한 0.5중량%의 수용해성 폴리에틸렌 산화물 중합체를 함유한다는 것을 제외하고는 검사 과정Ⅲ에 따라 검사된다. 검사 결과는 표 59에 나열되어 있다.
실시예 평균절단율 (Å/분)
123 1610
124 1420
실시예125 내지 실시예129
본 실시예들은 연마 물품을 구성하기 위해 사용된 각종의 연마 슬러리 형성을 비교한다. 연마 슬러리는 에어 교반기를 이용하여 MA3, HDDA, PP, CA3 및 PH7을 혼합함으로써 제조된다. 다음에, 산화세륨 연마 입자가 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, CACO3 및 CACO4가 이들 입자가 거의 분산될때까지 연마 슬러리에 점증적으로 혼합된다. 연마 슬러리용의 물질의 양은 그램 단위로 표 60에 나열되어 있다.
실시예125 내지 실시예129용의 연마 슬러리 형성
물질 실시예 125 실시예 126 실시예 127 실시예 128 실시예 129
MA3 8.44 11.25 16.85 18.75 15.0
HDDA 25.32 33.75 50.55 56.25 45.0
PP 41.25 30.0 7.50 0 15.0
CA3 4.95 4.95 4.95 4.95 4.95
PH7 2.70 2.70 2.70 6.0 2.70
CEO1 123.75 123.75 123.75 123.75 123.75
CACO3 67.36 67.36 67.36 67.36 67.36
CACO4 8.0 8.0 13.0 15.0 13.0
실시예125 내지 실시예129용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅳ에 따라 구성된다. 그 결과의 연마 물품은 검사 과정ⅩⅡ에 따라 검사된다. 그 검사 결과가 표 61에 나열되어 있다.
실시예 평균절단율 (Å/분)
125 1996
126 1107.5
127 320.0
128 146.7
129 420.0
상기의 데이터로부터 연마 슬러리의 형성에 좌우되어 절단율에서 차이가 존재한다는 것을 명백히 알 수 있다.
실시예130 및 실시예131
본 실시예들은 연마 물품을 구성하기 위해 사용되는 각종의 연마 슬러리 형성을 비교한다. 연마 슬러리는 에어 교반기를 사용하여 TMPTA, MA4, PP, CA3 및 PH7을 혼합함으로써 제조된다. 다음에, 산화세륨 연마 입자가 거의 분산될때까지 연마 슬러내에 점증적으로 첨가된다. 이에 후속하여, CACO3가 이들의 입자가 거의 분산될때까지 연마 슬러리에 점증적으로 혼합된다. 연마 슬러리용의 물질의 양은 그램 단위로 표 62에 나열되어 있다.
실시예130 및 실시예131용의 연마 슬러리 형성
물질 실시예 130 실시예 131
TMPTA 11.25 8.44
MA4 33.25 25.32
PP 30 41.25
CA3 4.95 4.95
PH7 2.4 2.4
CEO1 123.75 123.75
CACO3 67.36 67.36
실시예130 및 실시예131용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅳ에 따라 구성된다. 그 결과의 연마 물품이 검사 과정ⅩⅡ에 따라 검사된다. 검사 결과가 표 63에 나열되어 있다.
실시예 평균절단율 (Å/분)
130 466.7
131 1876.7
상기 데이터로부터 연마 슬러리의 형성물에 좌우되어 절단율에서 차이가 존재한다는 것을 명백히 알 수 있다.
실시예132 내지 실시예134
본 실시예들은 실시예77 내지 실시예80에 설명된 선구물질에 의해 제조되고, 연마 물품을 구성하기 위해 사용되는 각종의 연마 슬러리 형성을 비교한다. 물질의 양은 표 64에 나열되어 있다.
실시예132 내지 실시예134용의 연마 슬러리 형성
물질 실시예 132 실시예 133 실시예 134
MA2 18.75 3.68 3.68
HDDA 56.25 11.03 11.03
CA3 4.95 0.97 1.41
PH7 6.0 1.18 1.18
CEO1 123.75 24.26 35.26
CACO3 67.36 13.20 13.20
CACO4 8 3.57 0
실시예132 내지 실시예134용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅳ에 따라 구성된다. 그 결과의 연마 물품이 검사 과정ⅩⅡ에 따라 검사된다. 검사 결과가 표 65에 나열되어 있다.
실시예 평균절단율 (Å/분)
132 583.3
133 516.7
134 340.0
상기의 데이터로부터 연마 슬러리의 형성에 좌우되어 절단율에서 차이가 존재한다는 것을 명백히 알 수 있다.
실시예135 및 실시예136
본 실시예들은 분산재를 함유하고 있는 연마 슬러리의 점도에 대해 분산재를 함유하지 않는 연마 슬러리의 점도를 비교한다. 연마 슬러리는 에어 교반기를 사용하여 TMPTA, HDDA, PP, KD2 및 PH7을 혼합함으로써 제조된다. 다음에, 산화세륨 입자가 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 다음에, DA2가 연마 슬러리에 첨가된다. 이에 후속하여, CACO2가 이들 입자가 거의 분산될때까지 연마 슬러리에 점증적으로 혼합된다. 연마 슬러리용의 물질의 양이 표 66에 나열되어 있다.
실시예135 및 실시예136용의 연마 슬러리 형성
물질 실시예 135 실시예 136
TMPTA 8.44 8.44
HDDA 25.31 25.31
PP 41.25 41.25
KD2 2.40 2.40
PH7 2.40 2.40
CEO1 123.75 123.75
DA2 0 2.40
CACO2 67.40 67.40
실시예135에 대응하는 연마 슬러리는 후막의 반죽이다. 이러한 후박의 연마 슬러리를 연마 물품을 형성하도록 처리하기는 용이하지 않을 것이다. 실시예135에 대응하는 연마 슬러리는 약 50,000센티푸즈 미만의 개략적인 점도를 갖는다. 이 점도 범위에서, 연마 슬러리는 연마 물품을 형성하기 위해 더욱 용이하게 처리될 수 있다. 또한, 이 데이터로부터 소량의 분산재를 첨가함으로써 그 결과의 연마 슬러리의 점도를 낮출 수 있다는 것을 알 수 있다.
실시예137
본 실시예는 연마 입자로서 지르콘 불투명화된 글래스 프릿(zircon opacified glass frit)을 사용한다. 연마 슬러리는 에어 교반기를 사용하여 3.75g의 TMPTA, 11.25g의 HDDA, 22.51g의 PP, 0.96g의 CA3 및 1.21g의 PH7을 혼합함으로써 구성된다. 다음에, 47.50g의 지르콘 불투명화된 글래스 프릿이 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, 20.02g의 CACO3, 2.02g의 CACO2 및 2.01g의 CACO4가 이들의 입자가 거의 분산될때까지 연마 슬러리에 점증적으로 혼합된다. 지르코니아 불투명화된 글래스 프릿은 3.67㎛의 평균 입경을 가지며, 25∼50중량%의 실리카, 약 14%의 지르코니아, 14%의 알루미늄, 붕소 산화물 및 산화칼슘을 함유한다. 이 입자는 미국 오하이오주의 클리브랜드에 소재한 Ferro Corporation에서 제조한 상표명 "CZ-110"으로부터 상업적으로 이용가능하다. 실시예137용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅵ에 따라 구성된다. 그 결과의 연마 물품은 검사 과정ⅩⅡ에 따라 검사된다. 33초 후, 멈춤 링으로부터 웨이퍼가 벗겨진다. 절단율은 360Å/분으로 추정된다.
실시예138 및 실시예139
본 실시예들은 연마 물품을 제조하기 위한 각종의 처리 및 그 결과의 연마 물품의 절단 성능을 비교한다. 두 실시예에는 동일한 연마 슬러리가 사용되었다. 연마 슬러리는 에어 교반기를 이용하여 8.44부의 TMPTA, 25.31부의 HDDA, 41.25부의 PP, 4.95부의 CA3 및 2.40부의 PH7을 혼합함으로써 제조된다. 다음에, 123.75부의 산화세륨 연마 입자(CEO1)가 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, 60.40부의 CACO3, 5.60부의 CACO2 및 1.40부의 CACO4가 이들 입자가 거의 분산될때까지 연마 슬러리에 점증적으로 혼합된다.
실시예138용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅴ에 따라 구성된다.
실시예139용의 연마 물품은 다음의 변경을 제외하고는 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅴ에 따라 구성된다. 연마 슬러리는 고무 스퀴지를 사용하여 제조 툴내에 코팅된다. PFF백킹이 연마 슬러리의 상면에 위치되고, 그 결과의 복합물이 약 840Pa(40psi)로 2개의 고무 롤 사이에 개재된다. 폴리에스테르 필름 백킹/연마 슬러리는 제조 툴로부터 견인된다. 다음에, 이 구성물은 알루미늄 보드 페이스 업에 대해 테이퍼되고, 자외선광에 노출된다. 샘플이 10.7m/분에서 157.5Watt/㎝(400Watt/inch)로 설정된 2개의 자외선 램프를 2회 통과하여 보내진다. 연마 슬러리가 제조 툴로부터 제거되는 사이의 시간 대 연마 슬러리가 자외선광에 노출되는 시간은 수 분이다.
그 결과의 연마 물품이 검사 과정ⅩⅨ에 따라 검사된다. 그 검사 결과는 표 67에 나열되어 있다. 절단율은 Å/분으로 주어진다.
실시예 검사 과정ⅩⅨ
138 1846
139 2044
실시예140
본 실시예는 연마 물품에 의해 제거되는 실리콘 이산화물의 양에 대한 유체 매질의 pH의 영향을 예시한다. 연마 물품은 연마 슬러리를 먼저 제조함으로써 구성된다. 에어 교반기를 사용하여 8.44부의 TMPTA, 25.31부의 HDDA, 41.25부의 PP, 4.95부의 CA3 및 2.4부의 PH7을 함께 혼합한다. 다음에, 123.75부의 산화세륨 연마 입자(CEO1)가 연마 슬러리내에 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, 60.42부의 CACO3, 5.56부의 CACO2 및 1.39부의 CACO4가 이들의 입자가 연마 슬러리내에 거의 분산될때까지 연마 슬러리에 점증적으로 혼합된다. 실시예142용의 연마 물품은 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅴ에 따라 구성된다.
이 결과의 연마 물품은 pH 레벨이 변화된다는 점을 제외하고는 검사 과정ⅩⅨ에 따라 검사된다. 검사 결과는 표 68에 나열되어 있다.
상이한 pH 레벨
pH 절단율(Å/분)
1433
8.5 1530
9.5 1248
10.5 1550
11.5 1366
12.5 1316
실시예141 내지 실시예144
본 실시예들은 실시예77 내지 실시예80에 설명된 바와 같이 제조되고, 연마 슬러리를 구성하기 위해 사용되는 각종의 연마 슬러리 형성을 비교한다. 물질의 양은 표 69에 나열되어 있다.
실시예141 내지 실시예144용의 연마 슬러리 형성
물질 실시예 141 실시예 142 실시예 143 실시예 144
TMPTA 8.44 8.44 8.44 8.44
HDDA 25.31 25.31 25.31 25.31
PP 41.25 41.25 41.25 41.25
DA3 1.0 2.0 3.18 4.0
PH7 2.40 2.40 2.40 2.40
CEO1 123.75 123.75 123.75 123.75
CACO3 60.42 60.42 60.42 60.42
CACO2 5.56 5.56 5.56 5.56
CACO4 1.39 1.39 1.39 1.39
실시예141 내지 실시예144용의 연마 슬러리는 패턴#1을 사용하여 연마 슬러리를 제조하기 위한 일반 과정Ⅴ에 따라 구성된다. 그 결과의 연마 물품은 pH가 10.5라는 점을 제외하고는 검사 과정ⅩⅨ에 따라 검사된다. 검사 결과는 표 70에 나열되어 있다. 절단율은 Å/분으로 나열된다.
실시예 검사 과정ⅩⅨ
141 1746
142 1168
143 646
1449 984
상기 데이터는 연마 물질이 연마 슬러리의 형성에 좌우되어 상이한 절단율을 발생한다는 것을 나타낸다.
실시예145
본 실시예는 연마 물품에 의해 제거된 실리콘 이산화물의 양에 대한 상이한 멈춤 링 물질의 영향을 예시한다. 연마 슬러리는 에어 교반기를 이용하여 320.63부의 TMPTA, 961.88부의 HDDA, 1567.50부의 PP, 188.10부의 CA3 및 91.20부의 PH1을 혼합함으로써 제조된다. 다음에, 4702.50부의 CEO1이 연마 슬러리에 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, 2296부의 CACO3, 211부의 CACO2 및 52.8부의 CACO4가 이들의 입자가 연마 슬러리에 거의 분산될때까지 점증적으로 혼합된다. 실시예145용의 연마 물품은 자외선광 복사선이 필름 백킹을 통과하여 전달된다는 점을 제외하고는 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅱ에 따라 구성된다.
멈춤 링은 내경이 10.2㎝(4인치)이고 외경이 12.7㎝(5인치)이며 두께가 0.95㎝(0.375인치)인 환형 링이며, 전술된 바와 같이 각종의 페놀 복합 물질로 제조된다.
멈춤 링용의 물질은 미국 미네소타 에덴 프레어리에 소재한 Minnesota Plastics로부터 상업적으로 이용가능하다.
연마 물품은 Rodel 멈춤 링을 다른 멈춤 링으로 대체하여 검사 과정ⅩⅣ에 따라 검사된다. LE 검사 홀더에 대한 게이지 또는 라인 압력은 약 280Pa(40psi)이다. 검사 결과가 표 71에 나열되어 있다.
멈춤 링ⅩⅩⅩ은 종이/페놀 복합물로 구성된다.
멈춤 링 LE는 코튼 클로쓰/페놀 복합물로 구성된다.
멈춤 링 N1은 나일론 클로쓰/페놀 복합물로 구성된다.
검사과정Ⅰ; 상이한 멈춤 링
검사 홀더 절단율(Å/분)
XXX 390
LE 380
N1 1410
데이터는 연마 물질이 멈춤 링을 구성하기 위해 사용된 물질에 좌우되어 상이한 절단율을 발생한다는 것을 나타낸다.
실시예146
본 실시예용의 연마 물품은 중합체 충진제 입자를 함유한다. 연마 슬러리는 에어 교반기를 이용하여 6.57부의 TMPTA, 19.75부의 HDDA, 48.77부의 PP, 2.50부의 DA1 및 26.0부의 PH7을 함께 혼합함으로써 제조된다. 다음에, 123.8부의 CEO1이 연마 슬러리에 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, 26.0부의 PLFP의 입자가 연마 슬러리에 거의 분산될때까지 연마 슬러리에 점증적으로 혼합된다. 실시예146용의 연마 물품은 연마 물품이 연마 물품 위의 석영 플레이트와 함께 7.6m/분(25피트/분)으로 자외선 램프 아래에 오직 1회 통과 노출된다.
그 결과의 연마 물품은 검사 과정ⅩⅨ에 따라 검사되고, 1170Å/분의 평균 절단율을 갖는다.
실시예147
본 실시예는 연마 슬러리내의 질화붕소의 사용을 예시한다. 연마 슬러리는 에어 교반기를 이용하여 18.75g의 TMPTA, 56.45g의 HDDA, 4.0g의 KD2 및 2.4g의 PH1을 혼합함으로써 구성된다. 다음에, 173.45g의 CEO1이 거의 분산될때까지 연마 슬러리에 점증적으로 첨가된다. 이에 후속하여, 79.04g의 육방정계 질화붕소(등급 A-01, 입경 3∼5㎛인 미국 매사츄세츠주의 뉴튼에 소재한 H.C. Starck Inc.로부터 상업적으로 이용가능함)가 거의 분산될때까지 점증적으로 첨가된다. 슬러리는 패턴#1을 사용하여 연마 물품을 제조하기 위한 일반 과정Ⅰ에 설명된 바와 같이 연마 물품을 구성하기 위해 사용된다.
연마 물품은 검사 과정ⅩⅡ에 따라 검사된다. 평균 절단율(10개의 측정치의 평균)은 594Å/분이다.

Claims (15)

  1. 반도체 웨이퍼의 노출 표면을 개질시키는 방법에 있어서,
    (a) 복수의 연마 입자 및 소정 패턴의 형태로 배열된 결합제를 함유하는 3차원 직물 고착화된 연마 물품으로 상기 노출 표면을 코팅하는 단계와;
    (b) 상기 웨이퍼의 상기 표면을 개질시키기 위해 상기 웨이퍼 및 상기 고착된 연마 물품을 상대적으로 이동시키는 단계를 포함하는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  2. 제1항에 있어서, 상기 노출 표면을 용액의 존재시에 상기 고착화된 연마 물품과 접촉시키는 단계를 추가로 포함하는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  3. 제2항에 있어서, 상기 노출 표면을 적어도 약 5의 pH를 갖는 용액의 존재시에 상기 고착된 연마 물품과 접촉시키는 단계를 추가로 포함하는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  4. 제1항에 있어서, 상기 노출 표면은 실리콘 산화물을 함유하는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  5. 제1항에 있어서, 처리된 반도체 웨이퍼의 노출 표면을 개질시키는 단계를 추가로 포함하는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  6. 제1항에 있어서, 약 20Å 이하의 Ra값을 갖는 표면을 생성하기 위해 상기 웨이퍼의 상기 표면을 개질시키도록 상기 웨이퍼와 상기 고착된 연마 물품을 상대적으로 이동시키는 단계를 추가로 포함하는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  7. 제1항에 있어서, 상기 웨이퍼의 상기 표면을 개질시키기 위해 적어도 약 500Å/분의 평균 절단율을 획득하도록 상기 웨이퍼와 상기 고착된 연마 물품을 상대적으로 이동시키는 단계를 추가로 포함하는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  8. 제1항에 있어서, 상기 고착된 연마 물품은 상기 연마 입자 및 상기 결합제를 함유하는 표면을 갖는 백킹을 연마 코팅의 형태로 포함하는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  9. 제1항에 있어서, 상기 연마 입자는 약 5㎛ 이하의 평균 입경을 갖는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  10. 제1항에 있어서, 상기 연마 입자는 필수적으로 산화세륨 입자로 구성되는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  11. 제1항에 있어서, 상기 결합제는 열경화성 유기 중합체 수지를 함유하는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  12. 제1항에 있어서, 상기 결합제는 가소제가 존재하지 않을때의 동일 연마 물품에 비해 상기 연마 물품의 침식성을 증가시키기에 충분한 양으로 가소제를 추가 함유하는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  13. 제12항에 있어서, 상기 결합제는 가소제와 수지의 중량합을 기초로 적어도 약 25중량%의 상기 가소제를 함유하는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  14. 제1항에 있어서, 상기 고착된 연마 물품은 복수의 연마 복합물 및 결합제를 소정 패턴의 형태로 함유하는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
  15. 제14항에 있어서, 상기 연마 복합물은 정육면체형, 원통형, 프리즘형, 직사각형, 피라미드형, 사절두된 피라미드형, 원추형, 사절두된 원추형, 십자형, 평평한 상면을 갖는 포스트형, 반구형 및 이들의 조합으로 구성되는 군에서 선택된 형상을 갖는 것을 특징으로 하는 반도체 웨이퍼 노출 표면의 개질 방법.
KR10-1998-0702143A 1995-09-22 1996-09-19 반도체웨이퍼의노출면을개질시키는방법 KR100456208B1 (ko)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US416195P 1995-09-22 1995-09-22
US60/004161 1995-09-22
US55772795A 1995-11-13 1995-11-13
US08/557,727 1995-11-13
US8/557727 1995-11-13
US8/557,727 1995-11-13
US8/694014 1996-08-08
US08/694,014 1996-08-08
US8/694,014 1996-08-08
US08/694,014 US5958794A (en) 1995-09-22 1996-08-08 Method of modifying an exposed surface of a semiconductor wafer

Publications (2)

Publication Number Publication Date
KR19990063679A true KR19990063679A (ko) 1999-07-26
KR100456208B1 KR100456208B1 (ko) 2005-01-24

Family

ID=27357580

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0702143A KR100456208B1 (ko) 1995-09-22 1996-09-19 반도체웨이퍼의노출면을개질시키는방법

Country Status (12)

Country Link
US (1) US5958794A (ko)
EP (1) EP0852063B1 (ko)
JP (3) JPH11512874A (ko)
KR (1) KR100456208B1 (ko)
CN (1) CN1099127C (ko)
AU (1) AU7241396A (ko)
CA (1) CA2231159A1 (ko)
DE (1) DE69633339T2 (ko)
IL (1) IL123520A (ko)
MX (1) MX9802098A (ko)
MY (1) MY120676A (ko)
WO (1) WO1997011484A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014161001A1 (en) * 2013-03-29 2014-10-02 Saint-Gobain Abrasives, Inc. Abrasive particles having particular shapes and methods of forming such particles

Families Citing this family (400)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413156B1 (en) * 1996-05-16 2002-07-02 Ebara Corporation Method and apparatus for polishing workpiece
US5692950A (en) * 1996-08-08 1997-12-02 Minnesota Mining And Manufacturing Company Abrasive construction for semiconductor wafer modification
US5876268A (en) * 1997-01-03 1999-03-02 Minnesota Mining And Manufacturing Company Method and article for the production of optical quality surfaces on glass
US6602439B1 (en) * 1997-02-24 2003-08-05 Superior Micropowders, Llc Chemical-mechanical planarization slurries and powders and methods for using same
US5910471A (en) * 1997-03-07 1999-06-08 Minnesota Mining And Manufacturing Company Abrasive article for providing a clear surface finish on glass
US5888119A (en) * 1997-03-07 1999-03-30 Minnesota Mining And Manufacturing Company Method for providing a clear surface finish on glass
US6231629B1 (en) 1997-03-07 2001-05-15 3M Innovative Properties Company Abrasive article for providing a clear surface finish on glass
US9868100B2 (en) 1997-04-04 2018-01-16 Chien-Min Sung Brazed diamond tools and methods for making the same
MY125856A (en) * 1997-04-30 2006-08-30 Minnesota Mining & Mfg Method of planarizing the upper surface of a semiconductor wafer
US8092707B2 (en) * 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6194317B1 (en) * 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
US6224465B1 (en) 1997-06-26 2001-05-01 Stuart L. Meyer Methods and apparatus for chemical mechanical planarization using a microreplicated surface
US6121143A (en) * 1997-09-19 2000-09-19 3M Innovative Properties Company Abrasive articles comprising a fluorochemical agent for wafer surface modification
US5928394A (en) * 1997-10-30 1999-07-27 Minnesota Mining And Manufacturing Company Durable abrasive articles with thick abrasive coatings
US6884721B2 (en) * 1997-12-25 2005-04-26 Shin-Etsu Handotai Co., Ltd. Silicon wafer storage water and silicon wafer storage method
WO1999042250A1 (en) 1998-02-19 1999-08-26 Minnesota Mining And Manufacturing Company Abrasive article and method for grinding glass
US6435947B2 (en) 1998-05-26 2002-08-20 Cabot Microelectronics Corporation CMP polishing pad including a solid catalyst
US6177026B1 (en) * 1998-05-26 2001-01-23 Cabot Microelectronics Corporation CMP slurry containing a solid catalyst
JP3006591B2 (ja) * 1998-07-02 2000-02-07 信濃電気製錬株式会社 ロール研磨用多孔質砥石及びロール表面の研磨方法
JP2000079551A (ja) * 1998-07-06 2000-03-21 Canon Inc コンディショニング装置及びコンディショニング方法
JP3858462B2 (ja) 1998-07-30 2006-12-13 株式会社日立製作所 半導体装置の製造方法
JP3560484B2 (ja) 1998-08-05 2004-09-02 昭和電工株式会社 Lsiデバイス研磨用研磨材組成物及び研磨方法
TW408420B (en) * 1998-08-14 2000-10-11 Mosel Vitelic Inc A method to measure if the connecting via in the metal wiring layer is aligned correctly
KR100462132B1 (ko) * 1998-08-31 2004-12-17 히다치 가세고교 가부시끼가이샤 금속용 연마액 및 연마 방법
US6232231B1 (en) * 1998-08-31 2001-05-15 Cypress Semiconductor Corporation Planarized semiconductor interconnect topography and method for polishing a metal layer to form interconnect
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6656023B1 (en) * 1998-11-06 2003-12-02 Beaver Creek Concepts Inc In situ control with lubricant and tracking
US6390890B1 (en) 1999-02-06 2002-05-21 Charles J Molnar Finishing semiconductor wafers with a fixed abrasive finishing element
US6346202B1 (en) 1999-03-25 2002-02-12 Beaver Creek Concepts Inc Finishing with partial organic boundary layer
US6428388B2 (en) 1998-11-06 2002-08-06 Beaver Creek Concepts Inc. Finishing element with finishing aids
US6541381B2 (en) 1998-11-06 2003-04-01 Beaver Creek Concepts Inc Finishing method for semiconductor wafers using a lubricating boundary layer
US6291349B1 (en) 1999-03-25 2001-09-18 Beaver Creek Concepts Inc Abrasive finishing with partial organic boundary layer
US6293851B1 (en) 1998-11-06 2001-09-25 Beaver Creek Concepts Inc Fixed abrasive finishing method using lubricants
US6719615B1 (en) 2000-10-10 2004-04-13 Beaver Creek Concepts Inc Versatile wafer refining
US6267644B1 (en) 1998-11-06 2001-07-31 Beaver Creek Concepts Inc Fixed abrasive finishing element having aids finishing method
US6739947B1 (en) 1998-11-06 2004-05-25 Beaver Creek Concepts Inc In situ friction detector method and apparatus
US7131890B1 (en) 1998-11-06 2006-11-07 Beaver Creek Concepts, Inc. In situ finishing control
US6634927B1 (en) 1998-11-06 2003-10-21 Charles J Molnar Finishing element using finishing aids
US6568989B1 (en) 1999-04-01 2003-05-27 Beaver Creek Concepts Inc Semiconductor wafer finishing control
FR2785614B1 (fr) * 1998-11-09 2001-01-26 Clariant France Sa Nouveau procede de polissage mecano-chimique selectif entre une couche d'oxyde de silicium et une couche de nitrure de silicium
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6169034B1 (en) * 1998-11-25 2001-01-02 Advanced Micro Devices, Inc. Chemically removable Cu CMP slurry abrasive
US6033987A (en) * 1999-01-15 2000-03-07 Winbond Electronics Corp. Method for mapping and adjusting pressure distribution of CMP processes
JP2002535843A (ja) * 1999-01-21 2002-10-22 ロデール ホールディングス インコーポレイテッド 改良された研磨パッド、及び、これに関連する方法
US6641463B1 (en) 1999-02-06 2003-11-04 Beaver Creek Concepts Inc Finishing components and elements
US6179887B1 (en) 1999-02-17 2001-01-30 3M Innovative Properties Company Method for making an abrasive article and abrasive articles thereof
US6056794A (en) * 1999-03-05 2000-05-02 3M Innovative Properties Company Abrasive articles having bonding systems containing abrasive particles
US6428392B1 (en) * 1999-03-23 2002-08-06 Seimi Chemical Co., Ltd. Abrasive
US6551933B1 (en) 1999-03-25 2003-04-22 Beaver Creek Concepts Inc Abrasive finishing with lubricant and tracking
US6752844B2 (en) * 1999-03-29 2004-06-22 Intel Corporation Ceric-ion slurry for use in chemical-mechanical polishing
WO2000059680A1 (fr) * 1999-03-30 2000-10-12 Nikon Corporation Corps de polissage, dispositif de polissage, procede de polissage et procede de fabrication d'un dispositif a semi-conducteur
US6296557B1 (en) * 1999-04-02 2001-10-02 Micron Technology, Inc. Method and apparatus for releasably attaching polishing pads to planarizing machines in mechanical and/or chemical-mechanical planarization of microelectronic-device substrate assemblies
US6217418B1 (en) * 1999-04-14 2001-04-17 Advanced Micro Devices, Inc. Polishing pad and method for polishing porous materials
JP2000301450A (ja) * 1999-04-19 2000-10-31 Rohm Co Ltd Cmp研磨パッドおよびそれを用いたcmp処理装置
US6634929B1 (en) 1999-04-23 2003-10-21 3M Innovative Properties Company Method for grinding glass
US6458018B1 (en) 1999-04-23 2002-10-01 3M Innovative Properties Company Abrasive article suitable for abrading glass and glass ceramic workpieces
US20020077037A1 (en) * 1999-05-03 2002-06-20 Tietz James V. Fixed abrasive articles
JP4030247B2 (ja) * 1999-05-17 2008-01-09 株式会社荏原製作所 ドレッシング装置及びポリッシング装置
WO2000072223A1 (en) * 1999-05-24 2000-11-30 Potomac Photonics, Inc. A pulse-position system for miniature structures
US6306012B1 (en) * 1999-07-20 2001-10-23 Micron Technology, Inc. Methods and apparatuses for planarizing microelectronic substrate assemblies
JP2001105329A (ja) * 1999-08-02 2001-04-17 Ebara Corp 研磨用砥石
US6238448B1 (en) * 1999-08-16 2001-05-29 R & D Technology, Inc. Grinding stones
US6443812B1 (en) 1999-08-24 2002-09-03 Rodel Holdings Inc. Compositions for insulator and metal CMP and methods relating thereto
US6376381B1 (en) * 1999-08-31 2002-04-23 Micron Technology, Inc. Planarizing solutions, planarizing machines, and methods for mechanical and/or chemical-mechanical planarization of microelectronic substrate assemblies
US6420266B1 (en) * 1999-11-02 2002-07-16 Alien Technology Corporation Methods for creating elements of predetermined shape and apparatuses using these elements
US6623579B1 (en) * 1999-11-02 2003-09-23 Alien Technology Corporation Methods and apparatus for fluidic self assembly
US6479395B1 (en) * 1999-11-02 2002-11-12 Alien Technology Corporation Methods for forming openings in a substrate and apparatuses with these openings and methods for creating assemblies with openings
US6432826B1 (en) 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6832948B1 (en) * 1999-12-03 2004-12-21 Applied Materials Inc. Thermal preconditioning fixed abrasive articles
WO2001043920A1 (en) * 1999-12-14 2001-06-21 Rodel Holdings, Inc. Method of manufacturing a polymer or polymer composite polishing pad
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
US6294470B1 (en) 1999-12-22 2001-09-25 International Business Machines Corporation Slurry-less chemical-mechanical polishing
US6358850B1 (en) 1999-12-23 2002-03-19 International Business Machines Corporation Slurry-less chemical-mechanical polishing of oxide materials
US6607428B2 (en) * 2000-01-18 2003-08-19 Applied Materials, Inc. Material for use in carrier and polishing pads
JP3971075B2 (ja) * 2000-01-18 2007-09-05 株式会社Tmp 仕上げ研磨用ラッピングオイル組成物
US6746311B1 (en) 2000-01-24 2004-06-08 3M Innovative Properties Company Polishing pad with release layer
US6616801B1 (en) 2000-03-31 2003-09-09 Lam Research Corporation Method and apparatus for fixed-abrasive substrate manufacturing and wafer polishing in a single process path
US6261959B1 (en) 2000-03-31 2001-07-17 Lam Research Corporation Method and apparatus for chemically-mechanically polishing semiconductor wafers
US6428394B1 (en) 2000-03-31 2002-08-06 Lam Research Corporation Method and apparatus for chemical mechanical planarization and polishing of semiconductor wafers using a continuous polishing member feed
EP1268130A1 (en) * 2000-03-31 2003-01-02 Lam Research Fixed abrasive linear polishing belt and system using the same
US6626743B1 (en) 2000-03-31 2003-09-30 Lam Research Corporation Method and apparatus for conditioning a polishing pad
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6616513B1 (en) * 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
KR100737879B1 (ko) * 2000-04-24 2007-07-10 주식회사 사무코 반도체 웨이퍼의 제조방법
US6638144B2 (en) 2000-04-28 2003-10-28 3M Innovative Properties Company Method of cleaning glass
US6413286B1 (en) * 2000-05-03 2002-07-02 Saint-Gobain Abrasives Technology Company Production tool process
US6500056B1 (en) 2000-06-30 2002-12-31 Lam Research Corporation Linear reciprocating disposable belt polishing method and apparatus
US6435952B1 (en) 2000-06-30 2002-08-20 Lam Research Corporation Apparatus and method for qualifying a chemical mechanical planarization process
US6495464B1 (en) * 2000-06-30 2002-12-17 Lam Research Corporation Method and apparatus for fixed abrasive substrate preparation and use in a cluster CMP tool
US6361414B1 (en) 2000-06-30 2002-03-26 Lam Research Corporation Apparatus and method for conditioning a fixed abrasive polishing pad in a chemical mechanical planarization process
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
US6646348B1 (en) * 2000-07-05 2003-11-11 Cabot Microelectronics Corporation Silane containing polishing composition for CMP
US20020016139A1 (en) * 2000-07-25 2002-02-07 Kazuto Hirokawa Polishing tool and manufacturing method therefor
JP2002057130A (ja) * 2000-08-14 2002-02-22 Three M Innovative Properties Co Cmp用研磨パッド
US6776699B2 (en) * 2000-08-14 2004-08-17 3M Innovative Properties Company Abrasive pad for CMP
KR100481651B1 (ko) * 2000-08-21 2005-04-08 가부시끼가이샤 도시바 화학 기계 연마용 슬러리 및 반도체 장치의 제조 방법
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
MXPA03001954A (es) 2000-09-08 2003-09-10 3M Innovative Properties Co Hoja abrasiva, metodo de manufactura de la misma y metodo de abrasion de un conector de fibra optica.
DE60125808T2 (de) 2000-10-06 2007-10-11 3M Innovative Properties Co., St. Paul Keramische aggregatteilchen
MXPA03003063A (es) 2000-10-16 2004-02-12 3M Innovative Properties Co Metodo para elaborar particulas de agregado ceramico.
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US20020090901A1 (en) * 2000-11-03 2002-07-11 3M Innovative Properties Company Flexible abrasive product and method of making and using the same
US20050020189A1 (en) * 2000-11-03 2005-01-27 3M Innovative Properties Company Flexible abrasive product and method of making and using the same
US6413869B1 (en) * 2000-11-06 2002-07-02 Advanced Micro Devices, Inc. Dielectric protected chemical-mechanical polishing in integrated circuit interconnects
US6645624B2 (en) 2000-11-10 2003-11-11 3M Innovative Properties Company Composite abrasive particles and method of manufacture
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US6554688B2 (en) 2001-01-04 2003-04-29 Lam Research Corporation Method and apparatus for conditioning a polishing pad with sonic energy
US6875091B2 (en) 2001-01-04 2005-04-05 Lam Research Corporation Method and apparatus for conditioning a polishing pad with sonic energy
US6612916B2 (en) 2001-01-08 2003-09-02 3M Innovative Properties Company Article suitable for chemical mechanical planarization processes
EP1356502A1 (en) * 2001-01-16 2003-10-29 Cabot Microelectronics Corporation Ammonium oxalate-containing polishing system and method
US6612917B2 (en) 2001-02-07 2003-09-02 3M Innovative Properties Company Abrasive article suitable for modifying a semiconductor wafer
US6632129B2 (en) 2001-02-15 2003-10-14 3M Innovative Properties Company Fixed abrasive article for use in modifying a semiconductor wafer
WO2002066207A1 (en) * 2001-02-20 2002-08-29 Ebara Corporation Polishing apparatus and dressing method
US6726534B1 (en) 2001-03-01 2004-04-27 Cabot Microelectronics Corporation Preequilibrium polishing method and system
US6796883B1 (en) 2001-03-15 2004-09-28 Beaver Creek Concepts Inc Controlled lubricated finishing
US6752698B1 (en) 2001-03-19 2004-06-22 Lam Research Corporation Method and apparatus for conditioning fixed-abrasive polishing pads
US20030017797A1 (en) * 2001-03-28 2003-01-23 Kendall Philip E. Dual cured abrasive articles
US6568997B2 (en) 2001-04-05 2003-05-27 Rodel Holdings, Inc. CMP polishing composition for semiconductor devices containing organic polymer particles
US6540935B2 (en) * 2001-04-05 2003-04-01 Samsung Electronics Co., Ltd. Chemical/mechanical polishing slurry, and chemical mechanical polishing process and shallow trench isolation process employing the same
US6767427B2 (en) * 2001-06-07 2004-07-27 Lam Research Corporation Apparatus and method for conditioning polishing pad in a chemical mechanical planarization process
DE10128924A1 (de) * 2001-06-15 2003-01-23 Philips Corp Intellectual Pty Verfahren zum Umsetzen eines im wesentlichen scheibenförmigen Werkstücks sowie Vorrichtung zum Durchführen dieses Verfahrens
US6485355B1 (en) 2001-06-22 2002-11-26 International Business Machines Corporation Method to increase removal rate of oxide using fixed-abrasive
DE10131668B4 (de) * 2001-06-29 2006-05-18 Infineon Technologies Ag Verfahren zur abrasiven Bearbeitung von Oberflächen, auf Halbleiter-Wafern
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US6811470B2 (en) 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
US7121919B2 (en) * 2001-08-30 2006-10-17 Micron Technology, Inc. Chemical mechanical polishing system and process
US20030211747A1 (en) * 2001-09-13 2003-11-13 Nyacol Nano Technologies, Inc Shallow trench isolation polishing using mixed abrasive slurries
US7156717B2 (en) 2001-09-20 2007-01-02 Molnar Charles J situ finishing aid control
US7070480B2 (en) * 2001-10-11 2006-07-04 Applied Materials, Inc. Method and apparatus for polishing substrates
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US6645052B2 (en) * 2001-10-26 2003-11-11 Lam Research Corporation Method and apparatus for controlling CMP pad surface finish
US7416674B2 (en) * 2001-11-08 2008-08-26 Axsun Technologies, Inc. Method for fabricating micro optical elements using CMP
WO2003042310A1 (en) * 2001-11-15 2003-05-22 Samsung Electronics Co., Ltd. Additive composition, slurry composition including the same, and method of polishing an object using the slurry composition
US6685540B2 (en) 2001-11-27 2004-02-03 Cabot Microelectronics Corporation Polishing pad comprising particles with a solid core and polymeric shell
US6821897B2 (en) * 2001-12-05 2004-11-23 Cabot Microelectronics Corporation Method for copper CMP using polymeric complexing agents
US6730592B2 (en) * 2001-12-21 2004-05-04 Micron Technology, Inc. Methods for planarization of metal-containing surfaces using halogens and halide salts
US20030119316A1 (en) * 2001-12-21 2003-06-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using oxidizing agents
US6884723B2 (en) * 2001-12-21 2005-04-26 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using complexing agents
US7049237B2 (en) * 2001-12-21 2006-05-23 Micron Technology, Inc. Methods for planarization of Group VIII metal-containing surfaces using oxidizing gases
US7121926B2 (en) 2001-12-21 2006-10-17 Micron Technology, Inc. Methods for planarization of group VIII metal-containing surfaces using a fixed abrasive article
US6846232B2 (en) 2001-12-28 2005-01-25 3M Innovative Properties Company Backing and abrasive product made with the backing and method of making and using the backing and abrasive product
US6702866B2 (en) * 2002-01-10 2004-03-09 Speedfam-Ipec Corporation Homogeneous fixed abrasive polishing pad
US6830503B1 (en) 2002-01-11 2004-12-14 Cabot Microelectronics Corporation Catalyst/oxidizer-based CMP system for organic polymer films
US7316603B2 (en) * 2002-01-22 2008-01-08 Cabot Microelectronics Corporation Compositions and methods for tantalum CMP
US7097541B2 (en) 2002-01-22 2006-08-29 Cabot Microelectronics Corporation CMP method for noble metals
US6527622B1 (en) 2002-01-22 2003-03-04 Cabot Microelectronics Corporation CMP method for noble metals
US6620029B2 (en) 2002-01-30 2003-09-16 International Business Machines Corporation Apparatus and method for front side chemical mechanical planarization (CMP) of semiconductor workpieces
US6841480B2 (en) * 2002-02-04 2005-01-11 Infineon Technologies Ag Polyelectrolyte dispensing polishing pad, production thereof and method of polishing a substrate
US7199056B2 (en) * 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
US6776810B1 (en) * 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US20030162398A1 (en) 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US6943114B2 (en) * 2002-02-28 2005-09-13 Infineon Technologies Ag Integration scheme for metal gap fill, with fixed abrasive CMP
KR100442873B1 (ko) * 2002-02-28 2004-08-02 삼성전자주식회사 화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적기계적 폴리싱 방법
US6852020B2 (en) * 2003-01-22 2005-02-08 Raytech Innovative Solutions, Inc. Polishing pad for use in chemical—mechanical planarization of semiconductor wafers and method of making same
US7037184B2 (en) * 2003-01-22 2006-05-02 Raytech Innovation Solutions, Llc Polishing pad for use in chemical-mechanical planarization of semiconductor wafers and method of making same
US6682575B2 (en) 2002-03-05 2004-01-27 Cabot Microelectronics Corporation Methanol-containing silica-based CMP compositions
US7235296B2 (en) * 2002-03-05 2007-06-26 3M Innovative Properties Co. Formulations for coated diamond abrasive slurries
JP4034096B2 (ja) * 2002-03-19 2008-01-16 日本碍子株式会社 半導体支持装置
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US7670623B2 (en) 2002-05-31 2010-03-02 Materials Modification, Inc. Hemostatic composition
US6841079B2 (en) 2002-05-31 2005-01-11 3M Innovative Properties Company Fluorochemical treatment for silicon articles
US6604987B1 (en) 2002-06-06 2003-08-12 Cabot Microelectronics Corporation CMP compositions containing silver salts
US6641630B1 (en) 2002-06-06 2003-11-04 Cabot Microelectronics Corp. CMP compositions containing iodine and an iodine vapor-trapping agent
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US6974777B2 (en) * 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
US6638391B1 (en) * 2002-06-19 2003-10-28 United Microelectronics Corp. Wafer carrier assembly for a chemical mechanical polishing apparatus and a polishing method using the same
US7091259B2 (en) * 2002-07-03 2006-08-15 3M Innovative Properties Company Dental fillers, pastes, and compositions prepared therefrom
US6964601B2 (en) * 2002-07-12 2005-11-15 Raytech Innovative Solutions, Llc Method for securing a polishing pad to a platen for use in chemical-mechanical polishing of wafers
US6811474B2 (en) 2002-07-19 2004-11-02 Cabot Microelectronics Corporation Polishing composition containing conducting polymer
US7021993B2 (en) * 2002-07-19 2006-04-04 Cabot Microelectronics Corporation Method of polishing a substrate with a polishing system containing conducting polymer
US7044989B2 (en) * 2002-07-26 2006-05-16 3M Innovative Properties Company Abrasive product, method of making and using the same, and apparatus for making the same
JP2004074330A (ja) * 2002-08-13 2004-03-11 Ebara Corp 固定砥粒研磨工具およびその製造方法
US20040127045A1 (en) * 2002-09-12 2004-07-01 Gorantla Venkata R. K. Chemical mechanical planarization of wafers or films using fixed polishing pads and a nanoparticle composition
US7579071B2 (en) * 2002-09-17 2009-08-25 Korea Polyol Co., Ltd. Polishing pad containing embedded liquid microelements and method of manufacturing the same
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US6803353B2 (en) 2002-11-12 2004-10-12 Atofina Chemicals, Inc. Copper chemical mechanical polishing solutions using sulfonated amphiprotic agents
US7560160B2 (en) * 2002-11-25 2009-07-14 Materials Modification, Inc. Multifunctional particulate material, fluid, and composition
JP3835799B2 (ja) * 2002-11-26 2006-10-18 日本ミクロコーティング株式会社 テクスチャ加工用研磨スラリー及び方法
US6911393B2 (en) 2002-12-02 2005-06-28 Arkema Inc. Composition and method for copper chemical mechanical planarization
EP1590127A1 (en) 2003-01-10 2005-11-02 3M Innovative Properties Company Pad constructions for chemical mechanical planarization applications
US6908366B2 (en) * 2003-01-10 2005-06-21 3M Innovative Properties Company Method of using a soft subpad for chemical mechanical polishing
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
JP2004273547A (ja) * 2003-03-05 2004-09-30 Kao Corp 研磨速度選択比向上剤
US7007972B1 (en) 2003-03-10 2006-03-07 Materials Modification, Inc. Method and airbag inflation apparatus employing magnetic fluid
US6951504B2 (en) * 2003-03-20 2005-10-04 3M Innovative Properties Company Abrasive article with agglomerates and method of use
US6982501B1 (en) 2003-05-19 2006-01-03 Materials Modification, Inc. Magnetic fluid power generator device and method for generating power
US7200956B1 (en) 2003-07-23 2007-04-10 Materials Modification, Inc. Magnetic fluid cushioning device for a footwear or shoe
US20050025973A1 (en) * 2003-07-25 2005-02-03 Slutz David E. CVD diamond-coated composite substrate containing a carbide-forming material and ceramic phases and method for making same
US7160178B2 (en) * 2003-08-07 2007-01-09 3M Innovative Properties Company In situ activation of a three-dimensional fixed abrasive article
TWI223370B (en) * 2003-08-28 2004-11-01 Powerchip Semiconductor Corp Method of piping defect detection
US6869336B1 (en) * 2003-09-18 2005-03-22 Novellus Systems, Inc. Methods and compositions for chemical mechanical planarization of ruthenium
US7084814B2 (en) * 2003-09-23 2006-08-01 Uniwill Computer Corp. Planar inverted F antenna
US7087529B2 (en) * 2003-10-02 2006-08-08 Amcol International Corporation Chemical-mechanical polishing (CMP) slurry and method of planarizing surfaces
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
US7448389B1 (en) 2003-10-10 2008-11-11 Materials Modification, Inc. Method and kit for inducing hypoxia in tumors through the use of a magnetic fluid
US7344988B2 (en) * 2003-10-27 2008-03-18 Dupont Air Products Nanomaterials Llc Alumina abrasive for chemical mechanical polishing
US20050159085A1 (en) * 2003-10-30 2005-07-21 Scott Brandon S. Method of chemically mechanically polishing substrates
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
JP2005150235A (ja) 2003-11-12 2005-06-09 Three M Innovative Properties Co 半導体表面保護シート及び方法
US7223156B2 (en) * 2003-11-14 2007-05-29 Amcol International Corporation Method chemical-mechanical polishing and planarizing corundum, GaAs, GaP and GaAs/GaP alloy surfaces
US7112123B2 (en) * 2004-06-14 2006-09-26 Amcol International Corporation Chemical-mechanical polishing (CMP) slurry containing clay and CeO2 abrasive particles and method of planarizing surfaces
BRPI0416947A (pt) * 2003-11-26 2007-02-13 3M Innovative Properties Co método para abradar uma superfìcie de uma peça de trabalho
US7288021B2 (en) * 2004-01-07 2007-10-30 Cabot Microelectronics Corporation Chemical-mechanical polishing of metals in an oxidized form
JPWO2005073439A1 (ja) * 2004-02-02 2007-09-13 信越半導体株式会社 シリコン単結晶及びシリコンウェーハ及びそれらの製造装置並びに製造方法
US20050176251A1 (en) * 2004-02-05 2005-08-11 Duong Chau H. Polishing pad with releasable slick particles
US6951509B1 (en) * 2004-03-09 2005-10-04 3M Innovative Properties Company Undulated pad conditioner and method of using same
US20050206007A1 (en) * 2004-03-18 2005-09-22 Lei Li Structure and method for contact pads having a recessed bondable metal plug over of copper-metallized integrated circuits
US7135122B2 (en) * 2004-03-31 2006-11-14 Freudenberg-Nok General Partnership Polytetrafluoroethylene composites
JP2005288645A (ja) * 2004-04-01 2005-10-20 Hitachi Maxell Ltd 固定砥粒研削研磨用工具
US20050227590A1 (en) * 2004-04-09 2005-10-13 Chien-Min Sung Fixed abrasive tools and associated methods
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7776758B2 (en) 2004-06-08 2010-08-17 Nanosys, Inc. Methods and devices for forming nanostructure monolayers and devices including such monolayers
US7968273B2 (en) * 2004-06-08 2011-06-28 Nanosys, Inc. Methods and devices for forming nanostructure monolayers and devices including such monolayers
US7198549B2 (en) * 2004-06-16 2007-04-03 Cabot Microelectronics Corporation Continuous contour polishing of a multi-material surface
US7161247B2 (en) 2004-07-28 2007-01-09 Cabot Microelectronics Corporation Polishing composition for noble metals
US7514016B2 (en) * 2004-07-30 2009-04-07 Hitachi Global Storage Technologies Netherlands, Bv Methodology of chemical mechanical nanogrinding for ultra precision finishing of workpieces
US20070060026A1 (en) 2005-09-09 2007-03-15 Chien-Min Sung Methods of bonding superabrasive particles in an organic matrix
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US20060068088A1 (en) * 2004-09-28 2006-03-30 Hae-Do Jeong Chemical mechanical polishing pad with micro-mold and production method thereof
US7563383B2 (en) * 2004-10-12 2009-07-21 Cabot Mircroelectronics Corporation CMP composition with a polymer additive for polishing noble metals
US20060088976A1 (en) * 2004-10-22 2006-04-27 Applied Materials, Inc. Methods and compositions for chemical mechanical polishing substrates
US7404756B2 (en) * 2004-10-29 2008-07-29 3M Innovative Properties Company Process for manufacturing optical and semiconductor elements
US20060094322A1 (en) * 2004-10-29 2006-05-04 Ouderkirk Andrew J Process for manufacturing a light emitting array
US7531105B2 (en) * 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7504044B2 (en) * 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US20060096179A1 (en) * 2004-11-05 2006-05-11 Cabot Microelectronics Corporation CMP composition containing surface-modified abrasive particles
US7615479B1 (en) 2004-11-08 2009-11-10 Alien Technology Corporation Assembly comprising functional block deposited therein
TWI323741B (en) * 2004-12-16 2010-04-21 K C Tech Co Ltd Abrasive particles, polishing slurry, and producing method thereof
US6997785B1 (en) * 2004-12-23 2006-02-14 3M Innovative Properties Company Wafer planarization composition and method of use
JP4745789B2 (ja) * 2004-12-27 2011-08-10 三ツ星ベルト株式会社 Vリブドベルト及びvリブドベルトの製造方法
US7591865B2 (en) * 2005-01-28 2009-09-22 Saint-Gobain Abrasives, Inc. Method of forming structured abrasive article
US7449124B2 (en) * 2005-02-25 2008-11-11 3M Innovative Properties Company Method of polishing a wafer
US7538035B2 (en) * 2005-03-18 2009-05-26 Hitachi Global Storage Technologies Netherlands B.V. Lapping of gold pads in a liquid medium for work hardening the surface of the pads
KR100641348B1 (ko) * 2005-06-03 2006-11-03 주식회사 케이씨텍 Cmp용 슬러리와 이의 제조 방법 및 기판의 연마 방법
US7494519B2 (en) * 2005-07-28 2009-02-24 3M Innovative Properties Company Abrasive agglomerate polishing method
US7169031B1 (en) 2005-07-28 2007-01-30 3M Innovative Properties Company Self-contained conditioning abrasive article
US20070049184A1 (en) * 2005-08-24 2007-03-01 International Business Machines Corporation Retaining ring structure for enhanced removal rate during fixed abrasive chemical mechanical polishing
US7438626B2 (en) * 2005-08-31 2008-10-21 Micron Technology, Inc. Apparatus and method for removing material from microfeature workpieces
US20070066186A1 (en) * 2005-09-22 2007-03-22 3M Innovative Properties Company Flexible abrasive article and methods of making and using the same
US7618306B2 (en) * 2005-09-22 2009-11-17 3M Innovative Properties Company Conformable abrasive articles and methods of making and using the same
US7803203B2 (en) 2005-09-26 2010-09-28 Cabot Microelectronics Corporation Compositions and methods for CMP of semiconductor materials
US7594845B2 (en) 2005-10-20 2009-09-29 3M Innovative Properties Company Abrasive article and method of modifying the surface of a workpiece
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
WO2007094869A2 (en) * 2005-10-31 2007-08-23 Applied Materials, Inc. Electrochemical method for ecmp polishing pad conditioning
WO2008020867A2 (en) * 2005-11-21 2008-02-21 Q2100, Inc. Methods of making and using metal oxide nanoparticles
US20070116423A1 (en) * 2005-11-22 2007-05-24 3M Innovative Properties Company Arrays of optical elements and method of manufacturing same
US20070128991A1 (en) * 2005-12-07 2007-06-07 Yoon Il-Young Fixed abrasive polishing pad, method of preparing the same, and chemical mechanical polishing apparatus including the same
US7226345B1 (en) 2005-12-09 2007-06-05 The Regents Of The University Of California CMP pad with designed surface features
EP1968476A1 (en) * 2005-12-29 2008-09-17 3M Innovative Properties Company Abrasive tool including agglomerate particles and an elastomer, and related methods
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US7897061B2 (en) 2006-02-01 2011-03-01 Cabot Microelectronics Corporation Compositions and methods for CMP of phase change alloys
US20090044458A1 (en) * 2006-03-03 2009-02-19 Sandro Giovanni Giuseppe Ferronato System for indicating the grade of an abrasive
DE102006011113A1 (de) * 2006-03-08 2007-09-13 Tesa Ag Thermisch vernetzte Acrylat-Hotmelts mit organischen Füllstoffen
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
CN101966697B (zh) 2006-04-19 2015-04-22 东洋橡胶工业株式会社 抛光垫的制造方法
US7368066B2 (en) * 2006-05-31 2008-05-06 Cabot Microelectronics Corporation Gold CMP composition and method
US8759216B2 (en) 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
US20070298240A1 (en) * 2006-06-22 2007-12-27 Gobena Feben T Compressible abrasive article
KR100755598B1 (ko) * 2006-06-30 2007-09-06 삼성전기주식회사 질화물 반도체 발광소자 어레이
FI121654B (sv) 2006-07-10 2011-02-28 Kwh Mirka Ab Oy Förfarande för tillverkning av en flexibel sliprondell och en flexibel sliprondell
DE102006062872B4 (de) * 2006-07-13 2012-06-14 Peter Wolters Gmbh Verfahren zum gleichzeitigen beidseitigen Schleifen mehrerer Halbleiterscheiben
DE102006062871B4 (de) * 2006-07-13 2012-06-21 Peter Wolters Gmbh Verfahren zum gleichzeitigen beidseitigen Schleifen mehrerer Halbleiterscheiben
TWI337915B (en) * 2006-07-14 2011-03-01 Saint Gobain Abrasives Inc Backingless abrasive article
US20080014532A1 (en) * 2006-07-14 2008-01-17 3M Innovative Properties Company Laminate body, and method for manufacturing thin substrate using the laminate body
US8581108B1 (en) 2006-08-23 2013-11-12 Rockwell Collins, Inc. Method for providing near-hermetically coated integrated circuit assemblies
US8617913B2 (en) 2006-08-23 2013-12-31 Rockwell Collins, Inc. Alkali silicate glass based coating and method for applying
US7915527B1 (en) 2006-08-23 2011-03-29 Rockwell Collins, Inc. Hermetic seal and hermetic connector reinforcement and repair with low temperature glass coatings
US8084855B2 (en) 2006-08-23 2011-12-27 Rockwell Collins, Inc. Integrated circuit tampering protection and reverse engineering prevention coatings and methods
US8174830B2 (en) * 2008-05-06 2012-05-08 Rockwell Collins, Inc. System and method for a substrate with internal pumped liquid metal for thermal spreading and cooling
US8166645B2 (en) 2006-08-23 2012-05-01 Rockwell Collins, Inc. Method for providing near-hermetically coated, thermally protected integrated circuit assemblies
US8637980B1 (en) 2007-12-18 2014-01-28 Rockwell Collins, Inc. Adhesive applications using alkali silicate glass for electronics
US8076185B1 (en) 2006-08-23 2011-12-13 Rockwell Collins, Inc. Integrated circuit protection and ruggedization coatings and methods
US8591764B2 (en) * 2006-12-20 2013-11-26 3M Innovative Properties Company Chemical mechanical planarization composition, system, and method of use
US7497885B2 (en) 2006-12-22 2009-03-03 3M Innovative Properties Company Abrasive articles with nanoparticulate fillers and method for making and using them
US8083820B2 (en) * 2006-12-22 2011-12-27 3M Innovative Properties Company Structured fixed abrasive articles including surface treated nano-ceria filler, and method for making and using the same
US20090136785A1 (en) * 2007-01-03 2009-05-28 Nanosys, Inc. Methods for nanopatterning and production of magnetic nanostructures
US20080246076A1 (en) * 2007-01-03 2008-10-09 Nanosys, Inc. Methods for nanopatterning and production of nanostructures
US20080233845A1 (en) * 2007-03-21 2008-09-25 3M Innovative Properties Company Abrasive articles, rotationally reciprocating tools, and methods
US8323072B1 (en) 2007-03-21 2012-12-04 3M Innovative Properties Company Method of polishing transparent armor
CA2681316C (en) * 2007-03-21 2016-07-19 3M Innovative Properties Company Methods of removing defects in surfaces
JP5466638B2 (ja) * 2007-07-05 2014-04-09 エーシーエム リサーチ (シャンハイ) インコーポレーテッド 半導体基板を洗浄する装置及び方法
FI20075533L (fi) * 2007-07-10 2009-01-11 Kwh Mirka Ab Oy Hiomatuote ja menetelmä tämän valmistamiseksi
US20090017323A1 (en) * 2007-07-13 2009-01-15 3M Innovative Properties Company Layered body and method for manufacturing thin substrate using the layered body
US20090017248A1 (en) * 2007-07-13 2009-01-15 3M Innovative Properties Company Layered body and method for manufacturing thin substrate using the layered body
CN104708539A (zh) * 2007-09-28 2015-06-17 宋健民 具有镶嵌研磨块的cmp衬垫修整器和相关方法
WO2009058463A1 (en) * 2007-10-31 2009-05-07 3M Innovative Properties Company Composition, method and process for polishing a wafer
ITMC20070237A1 (it) * 2007-12-12 2009-06-13 Ghines Srl Utensile abrasivo perfezionato.
US8363189B2 (en) * 2007-12-18 2013-01-29 Rockwell Collins, Inc. Alkali silicate glass for displays
US8080073B2 (en) 2007-12-20 2011-12-20 3M Innovative Properties Company Abrasive article having a plurality of precisely-shaped abrasive composites
WO2009088606A2 (en) * 2007-12-31 2009-07-16 3M Innovative Properties Company Plasma treated abrasive article and method of making same
US8616266B2 (en) 2008-09-12 2013-12-31 Rockwell Collins, Inc. Mechanically compliant thermal spreader with an embedded cooling loop for containing and circulating electrically-conductive liquid
US8205337B2 (en) * 2008-09-12 2012-06-26 Rockwell Collins, Inc. Fabrication process for a flexible, thin thermal spreader
US8650886B2 (en) 2008-09-12 2014-02-18 Rockwell Collins, Inc. Thermal spreader assembly with flexible liquid cooling loop having rigid tubing sections and flexible tubing sections
US8221089B2 (en) * 2008-09-12 2012-07-17 Rockwell Collins, Inc. Thin, solid-state mechanism for pumping electrically conductive liquids in a flexible thermal spreader
US8017872B2 (en) * 2008-05-06 2011-09-13 Rockwell Collins, Inc. System and method for proportional cooling with liquid metal
JP2009302136A (ja) * 2008-06-10 2009-12-24 Panasonic Corp 半導体集積回路
US20090307986A1 (en) * 2008-06-12 2009-12-17 Hung-Hui Huang Polishing composition and making method thereof for polishing a substrate
CN101827686B (zh) * 2008-07-03 2013-07-17 旭硝子株式会社 研磨玻璃衬底的方法、制造玻璃衬底的方法和制造磁盘用玻璃衬底的方法
JP5351967B2 (ja) 2008-08-28 2013-11-27 スリーエム イノベイティブ プロパティズ カンパニー 構造化研磨物品、その製造方法、及びウエハの平坦化における使用
JP2010062269A (ja) * 2008-09-02 2010-03-18 Three M Innovative Properties Co ウェーハ積層体の製造方法、ウェーハ積層体製造装置、ウェーハ積層体、支持層剥離方法、及びウェーハの製造方法
US8119040B2 (en) 2008-09-29 2012-02-21 Rockwell Collins, Inc. Glass thick film embedded passive material
DE102009038942B4 (de) * 2008-10-22 2022-06-23 Peter Wolters Gmbh Vorrichtung zur beidseitigen Bearbeitung von flachen Werkstücken sowie Verfahren zur gleichzeitigen beidseitigen Material abtragenden Bearbeitung mehrerer Halbleiterscheiben
DE102008059044B4 (de) * 2008-11-26 2013-08-22 Siltronic Ag Verfahren zum Polieren einer Halbleiterscheibe mit einer verspannt-relaxierten Si1-xGex-Schicht
US8754021B2 (en) * 2009-02-27 2014-06-17 Advanced Technology Materials, Inc. Non-amine post-CMP composition and method of use
US20110104989A1 (en) * 2009-04-30 2011-05-05 First Principles LLC Dressing bar for embedding abrasive particles into substrates
US9221148B2 (en) 2009-04-30 2015-12-29 Rdc Holdings, Llc Method and apparatus for processing sliders for disk drives, and to various processing media for the same
US8801497B2 (en) 2009-04-30 2014-08-12 Rdc Holdings, Llc Array of abrasive members with resilient support
TWI417169B (zh) * 2009-06-11 2013-12-01 Wei En Chen Cutting tools with the top of the complex cutting
USD610430S1 (en) 2009-06-18 2010-02-23 3M Innovative Properties Company Stem for a power tool attachment
US8173552B2 (en) * 2009-08-04 2012-05-08 Intel Corporation Method of fabricating an identification mark utilizing a liquid film assisted by a laser
JP2011071303A (ja) * 2009-09-25 2011-04-07 Toshiba Corp 半導体装置の製造方法
DE102009057593A1 (de) * 2009-12-09 2011-06-16 Siltronic Ag Verfahren zur Herstellung einer Halbleiterscheibe
US20110186453A1 (en) * 2009-12-29 2011-08-04 Saint-Gobain Abrasives, Inc. Method of cleaning a household surface
CN102892553B (zh) 2010-05-11 2016-04-27 3M创新有限公司 用于化学机械平面化的具有表面活性剂的固定磨料垫片
DE102010032501B4 (de) 2010-07-28 2019-03-28 Siltronic Ag Verfahren und Vorrichtung zum Abrichten der Arbeitsschichten einer Doppelseiten-Schleifvorrichtung
DE102010042040A1 (de) 2010-10-06 2012-04-12 Siltronic Ag Verfahren zum Schleifen einer Halbleiterscheibe
DE102010050900A1 (de) 2010-11-10 2012-05-10 Esk Ceramics Gmbh & Co. Kg Bornitrid-Agglomerate, Verfahren zu deren Herstellung und deren Verwendung
CN102114615B (zh) * 2010-12-21 2012-08-08 珠海市吉昌稀土有限公司 稀土抛光盘
DE102011003006B4 (de) 2011-01-21 2013-02-07 Siltronic Ag Verfahren zur Bereitstellung jeweils einer ebenen Arbeitsschicht auf jeder der zwei Arbeitsscheiben einer Doppelseiten-Bearbeitungsvorrichtung
US20140311044A1 (en) * 2011-04-25 2014-10-23 Bando Chemical Industries, Ltd. Polishing film
US20120302148A1 (en) * 2011-05-23 2012-11-29 Rajeev Bajaj Polishing pad with homogeneous body having discrete protrusions thereon
US9108299B2 (en) * 2011-06-14 2015-08-18 3M Innovative Properties Company Self-contained fibrous buffing article
DE102011080323A1 (de) 2011-08-03 2013-02-07 Siltronic Ag Verfahren zum Einebnen einer Halbleiterscheibe mit verbesserter Kantenschonung
DE102011082857B4 (de) 2011-09-16 2020-02-20 Siltronic Ag Verfahren zur gleichzeitigen beidseitigen Material abtragenden Bearbeitung wenigstens dreier Werkstücke
JP5712906B2 (ja) * 2011-11-15 2015-05-07 信越化学工業株式会社 基板の製造方法
US9067297B2 (en) 2011-11-29 2015-06-30 Nexplanar Corporation Polishing pad with foundation layer and polishing surface layer
US9067298B2 (en) * 2011-11-29 2015-06-30 Nexplanar Corporation Polishing pad with grooved foundation layer and polishing surface layer
DE102011089570A1 (de) 2011-12-22 2013-06-27 Siltronic Ag Führungskäfig zum beidseitigen Schleifen von mindestens einem scheibenförmigen Werkstück zwischen zwei rotierenden Arbeitsscheiben einer Schleifvorrichtung, Verfahren zur Herstellung des Führungskäfigs und Verfahren zum gleichzeitigen beidseitigen Schleifen von scheibenförmigen Werkstücken unter Verwendung des Führungskäfigs
KR102074138B1 (ko) 2011-12-30 2020-02-07 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 형상화 연마입자 및 이의 형성방법
KR101667943B1 (ko) 2012-01-10 2016-10-20 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 복잡한 형상들을 가지는 연마 입자들 및 이의 성형 방법들
TWI573864B (zh) 2012-03-14 2017-03-11 卡博特微電子公司 具有高移除率及低缺陷率之對氧化物及氮化物有選擇性之cmp組成物
US8916061B2 (en) 2012-03-14 2014-12-23 Cabot Microelectronics Corporation CMP compositions selective for oxide and nitride with high removal rate and low defectivity
DE102012206708A1 (de) 2012-04-24 2013-10-24 Siltronic Ag Verfahren zum Polieren einer Halbleiterscheibe
BR112014029317B1 (pt) 2012-05-23 2022-05-31 Saint-Gobain Ceramics & Plastics, Inc Partículas abrasivas moldadas e métodos de formação das mesmas
KR101417274B1 (ko) * 2012-05-23 2014-07-09 삼성전자주식회사 연마패드 및 그 제조방법
US9597769B2 (en) 2012-06-04 2017-03-21 Nexplanar Corporation Polishing pad with polishing surface layer having an aperture or opening above a transparent foundation layer
CN104822493B (zh) * 2012-06-27 2018-07-03 3M创新有限公司 磨料制品
CN104428105A (zh) * 2012-07-06 2015-03-18 3M创新有限公司 带涂层磨料制品
US9633863B2 (en) 2012-07-11 2017-04-25 Cabot Microelectronics Corporation Compositions and methods for selective polishing of silicon nitride materials
US9435915B1 (en) 2012-09-28 2016-09-06 Rockwell Collins, Inc. Antiglare treatment for glass
JP5982580B2 (ja) * 2012-10-15 2016-08-31 サンーゴバン アブレイシブズ,インコーポレイティド 特定の形状を有する研磨粒子およびこのような粒子の形成方法
JP5373171B1 (ja) * 2012-10-20 2013-12-18 株式会社ナノテム 砥石およびそれを用いた研削・研磨装置
DE102013201663B4 (de) 2012-12-04 2020-04-23 Siltronic Ag Verfahren zum Polieren einer Halbleiterscheibe
JP6016301B2 (ja) 2013-02-13 2016-10-26 昭和電工株式会社 単結晶SiC基板の表面加工方法、その製造方法及び単結晶SiC基板の表面加工用研削プレート
KR20200022534A (ko) 2013-03-12 2020-03-03 쓰리엠 이노베이티브 프로퍼티즈 컴파니 접합된 연마 용품
DE102013205448A1 (de) 2013-03-27 2014-10-16 Siltronic Ag Verfahren zum Polieren eines Substrates aus Halbleitermaterial
WO2014179419A1 (en) 2013-05-03 2014-11-06 Cabot Corporation Chemical mechanical planarization slurry composition comprising composite particles, process for removing material using said composition, cmp polishing pad and process for preparing said composition
US10071459B2 (en) 2013-09-25 2018-09-11 3M Innovative Properties Company Multi-layered polishing pads
KR102289629B1 (ko) * 2013-09-25 2021-08-17 쓰리엠 이노베이티브 프로퍼티즈 컴파니 세라믹 연마 복합재 폴리싱 용액
US20160214232A1 (en) * 2013-10-04 2016-07-28 3M Innovative Properties Company Bonded abrasive articles and methods
US20160263722A1 (en) * 2013-10-18 2016-09-15 3M Innovative Properties Company Coated abrasive article and method of making the same
JP6561058B2 (ja) 2013-12-09 2019-08-14 スリーエム イノベイティブ プロパティズ カンパニー 集塊性研磨粒子、その粒子を含む研磨物品、及びその製造方法
WO2015095154A1 (en) 2013-12-20 2015-06-25 Cabot Corporation Metal oxide-polymer composite particles for chemical mechanical planarization
KR102081045B1 (ko) 2013-12-31 2020-02-26 생-고뱅 어브레이시브즈, 인코포레이티드 형상화 연마 입자들을 포함하는 연마 물품
US9771507B2 (en) 2014-01-31 2017-09-26 Saint-Gobain Ceramics & Plastics, Inc. Shaped abrasive particle including dopant material and method of forming same
KR102350350B1 (ko) 2014-04-03 2022-01-14 쓰리엠 이노베이티브 프로퍼티즈 컴파니 폴리싱 패드 및 시스템과 이의 제조 및 사용 방법
JP6358740B2 (ja) * 2014-04-08 2018-07-18 山口精研工業株式会社 研磨用組成物
JP6358739B2 (ja) * 2014-04-08 2018-07-18 山口精研工業株式会社 研磨用組成物
CN111331524B (zh) 2014-04-14 2022-04-29 圣戈本陶瓷及塑料股份有限公司 包括成形磨粒的研磨制品
US10058970B2 (en) 2014-05-02 2018-08-28 3M Innovative Properties Company Interrupted structured abrasive article and methods of polishing a workpiece
JP6611414B2 (ja) * 2014-05-27 2019-11-27 スリーエム イノベイティブ プロパティズ カンパニー 塗装表面の仕上げ方法及び研磨材料
US9149904B1 (en) 2014-06-13 2015-10-06 Seagate Technology Llc Platen for wafer polishing having diamond-ceramic composites
CN104308760B (zh) * 2014-10-13 2017-02-01 天津市职业大学 一种采用纳米聚集结构磨料的固定磨料抛光布
DE102014220888B4 (de) 2014-10-15 2019-02-14 Siltronic Ag Vorrichtung und Verfahren zum doppelseitigen Polieren von scheibenförmigen Werkstücken
US9914864B2 (en) 2014-12-23 2018-03-13 Saint-Gobain Ceramics & Plastics, Inc. Shaped abrasive particles and method of forming same
US10196551B2 (en) 2015-03-31 2019-02-05 Saint-Gobain Abrasives, Inc. Fixed abrasive articles and methods of forming same
TWI634200B (zh) 2015-03-31 2018-09-01 聖高拜磨料有限公司 固定磨料物品及其形成方法
TWI603813B (zh) * 2015-04-20 2017-11-01 中國砂輪企業股份有限公司 研磨工具及其製造方法
TWI609742B (zh) * 2015-04-20 2018-01-01 中國砂輪企業股份有限公司 研磨工具
WO2016201104A1 (en) 2015-06-11 2016-12-15 Saint-Gobain Ceramics & Plastics, Inc. Abrasive article including shaped abrasive particles
US10906156B2 (en) 2015-09-08 2021-02-02 3M Innovative Properties Company Flexible abrasive rotary tool
KR102591496B1 (ko) * 2015-09-08 2023-10-18 쓰리엠 이노베이티브 프로퍼티즈 컴파니 연마 응집체를 가진 연마 회전 공구
TWI769988B (zh) 2015-10-07 2022-07-11 美商3M新設資產公司 拋光墊與系統及其製造與使用方法
US11431100B2 (en) * 2016-03-25 2022-08-30 Commscope Technologies Llc Antennas having lenses formed of lightweight dielectric materials and related dielectric materials
WO2017165342A1 (en) 2016-03-25 2017-09-28 Commscope Technologies Llc Antennas having lenses formed of lightweight dielectric materials and related dielectric materials
SI3455321T1 (sl) 2016-05-10 2022-10-28 Saint-Gobain Ceramics & Plastics, Inc. Metode oblikovanja abrazivnih delcev
KR102313436B1 (ko) 2016-05-10 2021-10-19 생-고뱅 세라믹스 앤드 플라스틱스, 인코포레이티드 연마 입자들 및 그 형성 방법
WO2018009737A1 (en) 2016-07-08 2018-01-11 Saint-Gobain Abrasives, Inc. Abrasive articles and methods of forming the same
WO2018064642A1 (en) 2016-09-29 2018-04-05 Saint-Gobain Abrasives, Inc. Fixed abrasive articles and methods of forming same
US10563105B2 (en) 2017-01-31 2020-02-18 Saint-Gobain Ceramics & Plastics, Inc. Abrasive article including shaped abrasive particles
US11214712B2 (en) 2017-02-24 2022-01-04 Illumina, Inc. Calcium carbonate slurry
EP3642293A4 (en) 2017-06-21 2021-03-17 Saint-Gobain Ceramics&Plastics, Inc. PARTICULATE MATERIALS AND METHOD FOR MANUFACTURING THEREOF
WO2019055134A1 (en) 2017-09-15 2019-03-21 Commscope Technologies Llc METHODS FOR PREPARING COMPOSITE DIELECTRIC MATERIAL
CN107718729A (zh) * 2017-11-10 2018-02-23 江苏瑞和磨料磨具有限公司 一种柔软耐水强力磨砂布
WO2019108805A2 (en) * 2017-11-30 2019-06-06 Saint-Gobain Abrasives, Inc. Abrasive articles and methods of forming same
EP3790942A1 (en) * 2018-05-10 2021-03-17 3M Innovative Properties Company Abrasive articles including soft shaped abrasive particles
CN109321204A (zh) * 2018-10-24 2019-02-12 河南省力量钻石股份有限公司 一种多颗粒附聚型磨粒体、制备方法及其应用
CN109434675B (zh) * 2018-12-20 2024-07-23 东莞金太阳研磨股份有限公司 一种精抛棉及其制备方法
TR201903909A2 (tr) * 2019-03-15 2020-10-21 Cukurova Kimya Enduestrisi A S Aşındırıcı elemanlar için bir reçine ve bunun için bir üretim yöntemi.
KR20220116556A (ko) 2019-12-27 2022-08-23 세인트-고바인 세라믹스 앤드 플라스틱스, 인크. 연마 물품 및 이의 형성 방법
DE102020104238A1 (de) 2020-02-18 2021-08-19 Berliner Glas GmbH Verfahren und Poliervorrichtung zur Bearbeitung eines plattenförmigen Bauteils, und plattenförmiges Bauteil, insbesondere elektrostatische Haltevorrichtung oder Immersions-Wafertafel
CN111879585B (zh) * 2020-07-27 2023-08-01 北京市永康药业有限公司 一种检测用药品自动研磨装置
US11434312B2 (en) 2020-12-15 2022-09-06 Canon Kabushiki Kaisha Photocurable composition for forming cured layers with high thermal stability
CN113929466B (zh) * 2021-12-16 2022-02-25 山东金鸿新材料股份有限公司 一种铝-碳化硼复合材料的制备方法
CN114406911A (zh) * 2021-12-31 2022-04-29 苏州赛尔科技有限公司 一种小尺寸mis封装材料切割用树脂超薄切割刀及制备方法
CN114605922B (zh) * 2022-03-18 2023-05-09 北京通美晶体技术股份有限公司 一种快速抛光的化学抛光液及其制备方法
CN115026729B (zh) * 2022-06-28 2023-06-20 东风柳州汽车有限公司 一种用于规圆精修珩磨头的加工方法

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1910444A (en) * 1931-02-13 1933-05-23 Carborundum Co Process of making abrasive materials
US2115897A (en) * 1935-05-15 1938-05-03 Carborundum Co Abrasive article
US2286208A (en) * 1940-12-03 1942-06-16 Carborundum Co Granular coated article and its manufacture
US2485295A (en) * 1947-09-17 1949-10-18 Adolph J Larson Pack of abrasive coated sheets
US2667435A (en) * 1951-09-21 1954-01-26 Westinghouse Electric Corp Low temperature fabrication of molybdenum and alloys thereof
US2952951A (en) * 1952-07-28 1960-09-20 Simpson Harry Arthur Abrasive or like materials and articles
US2755607A (en) * 1953-06-01 1956-07-24 Norton Co Coated abrasives
US3188265A (en) * 1957-11-12 1965-06-08 Minnesota Mining & Mfg Packaging films
US2888785A (en) * 1958-06-30 1959-06-02 Thelma E Kellican Eraser cleaner
US3041156A (en) * 1959-07-22 1962-06-26 Norton Co Phenolic resin bonded grinding wheels
US3324608A (en) * 1965-01-27 1967-06-13 Thompson Proc Co Inc Facing assembly for lens grinding tools and the like
US3605349A (en) * 1969-05-08 1971-09-20 Frederick B Anthon Abrasive finishing article
US3594865A (en) * 1969-07-10 1971-07-27 American Velcro Inc Apparatus for molding plastic shapes in molding recesses formed in moving endless wire dies
US3849949A (en) * 1972-10-10 1974-11-26 Minnesota Mining & Mfg Roll of pressure sensitive adhesive, adhesive coated abrasive discs and dispenser
US3916584A (en) * 1973-03-22 1975-11-04 Minnesota Mining & Mfg Spheroidal composite particle and method of making
US3875703A (en) * 1973-12-26 1975-04-08 Joseph V Clemente Flexible sanding disc unit
US3911562A (en) * 1974-01-14 1975-10-14 Signetics Corp Method of chemical polishing of planar silicon structures having filled grooves therein
US4138228A (en) * 1977-02-02 1979-02-06 Ralf Hoehn Abrasive of a microporous polymer matrix with inorganic particles thereon
US4314827A (en) * 1979-06-29 1982-02-09 Minnesota Mining And Manufacturing Company Non-fused aluminum oxide-based abrasive mineral
US4663890A (en) * 1982-05-18 1987-05-12 Gmn Georg Muller Nurnberg Gmbh Method for machining workpieces of brittle hard material into wafers
US4509581A (en) * 1982-05-20 1985-04-09 Wirtz Manufacturing Company, Inc. Machine for continuously casting battery grids
US5527368C1 (en) 1983-03-11 2001-05-08 Norton Co Coated abrasives with rapidly curable adhesives
US4563388A (en) * 1983-03-28 1986-01-07 Minnesota Mining And Manufacturing Company Polyolefin substrate coated with acrylic-type normally tacky and pressure-sensitive adhesive and a method of making same
US4623364A (en) * 1984-03-23 1986-11-18 Norton Company Abrasive material and method for preparing the same
US4642126A (en) * 1985-02-11 1987-02-10 Norton Company Coated abrasives with rapidly curable adhesives and controllable curvature
CA1254238A (en) * 1985-04-30 1989-05-16 Alvin P. Gerk Process for durable sol-gel produced alumina-based ceramics, abrasive grain and abrasive products
US4652275A (en) * 1985-08-07 1987-03-24 Minnesota Mining And Manufacturing Company Erodable agglomerates and abrasive products containing the same
US4652274A (en) * 1985-08-07 1987-03-24 Minnesota Mining And Manufacturing Company Coated abrasive product having radiation curable binder
US4671851A (en) * 1985-10-28 1987-06-09 International Business Machines Corporation Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4773920B1 (en) * 1985-12-16 1995-05-02 Minnesota Mining & Mfg Coated abrasive suitable for use as a lapping material.
US4749617A (en) * 1985-12-18 1988-06-07 Minnesota Mining And Manufacturing Company Composite article containing rigid layers
US4770671A (en) * 1985-12-30 1988-09-13 Minnesota Mining And Manufacturing Company Abrasive grits formed of ceramic containing oxides of aluminum and yttrium, method of making and using the same and products made therewith
US4644703A (en) * 1986-03-13 1987-02-24 Norton Company Plural layered coated abrasive
US4927432A (en) * 1986-03-25 1990-05-22 Rodel, Inc. Pad material for grinding, lapping and polishing
US4751138A (en) * 1986-08-11 1988-06-14 Minnesota Mining And Manufacturing Company Coated abrasive having radiation curable binder
US4799939A (en) * 1987-02-26 1989-01-24 Minnesota Mining And Manufacturing Company Erodable agglomerates and abrasive products containing the same
US4735632A (en) * 1987-04-02 1988-04-05 Minnesota Mining And Manufacturing Company Coated abrasive binder containing ternary photoinitiator system
US4881951A (en) * 1987-05-27 1989-11-21 Minnesota Mining And Manufacturing Co. Abrasive grits formed of ceramic containing oxides of aluminum and rare earth metal, method of making and products made therewith
US4933234A (en) * 1987-08-13 1990-06-12 Minnesota Mining And Manufacturing Company Primed polymeric surfaces for cyanoacrylate adhesives
US4906523A (en) * 1987-09-24 1990-03-06 Minnesota Mining And Manufacturing Company Primer for surfaces containing inorganic oxide
JP2707264B2 (ja) * 1987-12-28 1998-01-28 ハイ・コントロール・リミテッド 研磨シートおよびその製造方法
US4930266A (en) * 1988-02-26 1990-06-05 Minnesota Mining And Manufacturing Company Abrasive sheeting having individually positioned abrasive granules
US4974373A (en) * 1988-03-14 1990-12-04 Tokyo Magnetic Printing Co., Ltd. Abrasive tools
US4885332A (en) * 1988-04-11 1989-12-05 Minnesota Mining And Manufacturing Company Photocurable abrasion resistant coatings comprising silicon dioxide dispersions
US5104929A (en) * 1988-04-11 1992-04-14 Minnesota Mining And Manufacturing Company Abrasion resistant coatings comprising silicon dioxide dispersions
US5254194A (en) * 1988-05-13 1993-10-19 Minnesota Mining And Manufacturing Company Coated abrasive sheet material with loop material for attachment incorporated therein
CH675250A5 (ko) * 1988-06-17 1990-09-14 Lonza Ag
US4879258A (en) * 1988-08-31 1989-11-07 Texas Instruments Incorporated Integrated circuit planarization by mechanical polishing
US5011508A (en) * 1988-10-14 1991-04-30 Minnesota Mining And Manufacturing Company Shelling-resistant abrasive grain, a method of making the same, and abrasive products
US4903440A (en) * 1988-11-23 1990-02-27 Minnesota Mining And Manufacturing Company Abrasive product having binder comprising an aminoplast resin
US4959265A (en) * 1989-04-17 1990-09-25 Minnesota Mining And Manufacturing Company Pressure-sensitive adhesive tape fastener for releasably attaching an object to a fabric
US5014468A (en) * 1989-05-05 1991-05-14 Norton Company Patterned coated abrasive for fine surface finishing
US5061294A (en) * 1989-05-15 1991-10-29 Minnesota Mining And Manufacturing Company Abrasive article with conductive, doped, conjugated, polymer coat and method of making same
US5011513A (en) * 1989-05-31 1991-04-30 Norton Company Single step, radiation curable ophthalmic fining pad
US4997461A (en) * 1989-09-11 1991-03-05 Norton Company Nitrified bonded sol gel sintered aluminous abrasive bodies
US5141790A (en) * 1989-11-20 1992-08-25 Minnesota Mining And Manufacturing Company Repositionable pressure-sensitive adhesive tape
US5199227A (en) * 1989-12-20 1993-04-06 Minnesota Mining And Manufacturing Company Surface finishing tape
US5020283A (en) * 1990-01-22 1991-06-04 Micron Technology, Inc. Polishing pad with uniform abrasion
US5177908A (en) * 1990-01-22 1993-01-12 Micron Technology, Inc. Polishing pad
US5104421B1 (en) * 1990-03-23 1993-11-16 Fujimi Abrasives Co.,Ltd. Polishing method of goods and abrasive pad therefor
US5085671A (en) * 1990-05-02 1992-02-04 Minnesota Mining And Manufacturing Company Method of coating alumina particles with refractory material, abrasive particles made by the method and abrasive products containing the same
US5607488A (en) 1990-05-21 1997-03-04 Wiand; Ronald C. Molded abrasive article and process
US5174795A (en) * 1990-05-21 1992-12-29 Wiand Ronald C Flexible abrasive pad with ramp edge surface
US5137542A (en) * 1990-08-08 1992-08-11 Minnesota Mining And Manufacturing Company Abrasive printed with an electrically conductive ink
US5370718A (en) * 1990-08-22 1994-12-06 Hitachi Maxell, Ltd. Abrasive tape
US5077870A (en) * 1990-09-21 1992-01-07 Minnesota Mining And Manufacturing Company Mushroom-type hook strip for a mechanical fastener
US5152917B1 (en) * 1991-02-06 1998-01-13 Minnesota Mining & Mfg Structured abrasive article
US5107626A (en) * 1991-02-06 1992-04-28 Minnesota Mining And Manufacturing Company Method of providing a patterned surface on a substrate
US5378251A (en) * 1991-02-06 1995-01-03 Minnesota Mining And Manufacturing Company Abrasive articles and methods of making and using same
US5236472A (en) * 1991-02-22 1993-08-17 Minnesota Mining And Manufacturing Company Abrasive product having a binder comprising an aminoplast binder
DE69206685T2 (de) * 1991-06-06 1996-07-04 Commissariat Energie Atomique Poliermaschine mit einem gespannten Feinschleifband und einem verbesserten Werkstückträgerkopf
US5197999A (en) * 1991-09-30 1993-03-30 National Semiconductor Corporation Polishing pad for planarization
US5320706A (en) * 1991-10-15 1994-06-14 Texas Instruments Incorporated Removing slurry residue from semiconductor wafer planarization
US5437754A (en) * 1992-01-13 1995-08-01 Minnesota Mining And Manufacturing Company Abrasive article having precise lateral spacing between abrasive composite members
US5219462A (en) * 1992-01-13 1993-06-15 Minnesota Mining And Manufacturing Company Abrasive article having abrasive composite members positioned in recesses
US5368618A (en) * 1992-01-22 1994-11-29 Minnesota Mining And Manufacturing Company Method of making a coated abrasive article
US5256170A (en) * 1992-01-22 1993-10-26 Minnesota Mining And Manufacturing Company Coated abrasive article and method of making same
US5264010A (en) * 1992-04-27 1993-11-23 Rodel, Inc. Compositions and methods for polishing and planarizing surfaces
US5445996A (en) * 1992-05-26 1995-08-29 Kabushiki Kaisha Toshiba Method for planarizing a semiconductor device having a amorphous layer
US5203884A (en) * 1992-06-04 1993-04-20 Minnesota Mining And Manufacturing Company Abrasive article having vanadium oxide incorporated therein
US5213591A (en) * 1992-07-28 1993-05-25 Ahmet Celikkaya Abrasive grain, method of making same and abrasive products
MY114512A (en) * 1992-08-19 2002-11-30 Rodel Inc Polymeric substrate with polymeric microelements
US5307593A (en) * 1992-08-31 1994-05-03 Minnesota Mining And Manufacturing Company Method of texturing rigid memory disks using an abrasive article
US5232875A (en) * 1992-10-15 1993-08-03 Micron Technology, Inc. Method and apparatus for improving planarity of chemical-mechanical planarization operations
AU679005B2 (en) * 1992-12-17 1997-06-19 Minnesota Mining And Manufacturing Company Reduced viscosity slurries, abrasive articles made therefrom, and methods of making said articles
US5342419A (en) * 1992-12-31 1994-08-30 Minnesota Mining And Manufacturing Company Abrasive composites having a controlled rate of erosion, articles incorporating same, and methods of making and using same
US5435816A (en) * 1993-01-14 1995-07-25 Minnesota Mining And Manufacturing Company Method of making an abrasive article
US5424224A (en) * 1993-01-19 1995-06-13 Texas Instruments Incorporated Method of surface protection of a semiconductor wafer during polishing
CA2113318A1 (en) * 1993-01-28 1994-07-29 Robert J. Jantschek Abrasive attachment system for rotative abrading applications
JP2966235B2 (ja) * 1993-06-04 1999-10-25 古舘 忠夫 可塑性柔軟砥石
GB9309972D0 (en) 1993-05-14 1993-06-30 De Beers Ind Diamond Tool insert
JP2622069B2 (ja) * 1993-06-30 1997-06-18 三菱マテリアル株式会社 研磨布のドレッシング装置
US5549962A (en) * 1993-06-30 1996-08-27 Minnesota Mining And Manufacturing Company Precisely shaped particles and method of making the same
US5378252A (en) * 1993-09-03 1995-01-03 Minnesota Mining And Manufacturing Company Abrasive articles
US5489235A (en) * 1993-09-13 1996-02-06 Minnesota Mining And Manufacturing Company Abrasive article and method of making same
US5453312A (en) * 1993-10-29 1995-09-26 Minnesota Mining And Manufacturing Company Abrasive article, a process for its manufacture, and a method of using it to reduce a workpiece surface
US5454844A (en) * 1993-10-29 1995-10-03 Minnesota Mining And Manufacturing Company Abrasive article, a process of making same, and a method of using same to finish a workpiece surface
US5441598A (en) * 1993-12-16 1995-08-15 Motorola, Inc. Polishing pad for chemical-mechanical polishing of a semiconductor substrate
US5391210A (en) * 1993-12-16 1995-02-21 Minnesota Mining And Manufacturing Company Abrasive article
US5417725A (en) * 1994-02-01 1995-05-23 Graves; Gordon C. Penetration and fixture freeing agent
US5422316A (en) * 1994-03-18 1995-06-06 Memc Electronic Materials, Inc. Semiconductor wafer polisher and method
USD366365S (en) 1994-05-11 1996-01-23 Minnesota Mining And Manufacturing Company Coated abrasive sheet article
US5607341A (en) 1994-08-08 1997-03-04 Leach; Michael A. Method and structure for polishing a wafer during manufacture of integrated circuits
US5643044A (en) 1994-11-01 1997-07-01 Lund; Douglas E. Automatic chemical and mechanical polishing system for semiconductor wafers
US5525100A (en) * 1994-11-09 1996-06-11 Norton Company Abrasive products
JP2616736B2 (ja) 1995-01-25 1997-06-04 日本電気株式会社 ウエーハ研磨装置
JP3305557B2 (ja) 1995-04-10 2002-07-22 大日本印刷株式会社 研磨テープ、その製造方法および研磨テープ用塗工剤
US5609517A (en) 1995-11-20 1997-03-11 International Business Machines Corporation Composite polishing pad
US5645736A (en) 1995-12-29 1997-07-08 Symbios Logic Inc. Method for polishing a wafer
US5624303A (en) 1996-01-22 1997-04-29 Micron Technology, Inc. Polishing pad and a method for making a polishing pad with covalently bonded particles
US5645682A (en) 1996-05-28 1997-07-08 Micron Technology, Inc. Apparatus and method for conditioning a planarizing substrate used in chemical-mechanical planarization of semiconductor wafers
US5782675A (en) 1996-10-21 1998-07-21 Micron Technology, Inc. Apparatus and method for refurbishing fixed-abrasive polishing pads used in chemical-mechanical planarization of semiconductor wafers

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014161001A1 (en) * 2013-03-29 2014-10-02 Saint-Gobain Abrasives, Inc. Abrasive particles having particular shapes and methods of forming such particles
US9457453B2 (en) 2013-03-29 2016-10-04 Saint-Gobain Abrasives, Inc./Saint-Gobain Abrasifs Abrasive particles having particular shapes and methods of forming such particles
US10179391B2 (en) 2013-03-29 2019-01-15 Saint-Gobain Abrasives, Inc. Abrasive particles having particular shapes and methods of forming such particles

Also Published As

Publication number Publication date
DE69633339D1 (de) 2004-10-14
JP4515316B2 (ja) 2010-07-28
CN1099127C (zh) 2003-01-15
JP2005260261A (ja) 2005-09-22
KR100456208B1 (ko) 2005-01-24
MX9802098A (es) 1998-05-31
EP0852063A1 (en) 1998-07-08
CA2231159A1 (en) 1997-03-27
WO1997011484A1 (en) 1997-03-27
JP2009076927A (ja) 2009-04-09
IL123520A (en) 2002-02-10
EP0852063B1 (en) 2004-09-08
MY120676A (en) 2005-11-30
JPH11512874A (ja) 1999-11-02
AU7241396A (en) 1997-04-09
IL123520A0 (en) 1998-10-30
DE69633339T2 (de) 2005-09-22
CN1197543A (zh) 1998-10-28
US5958794A (en) 1999-09-28

Similar Documents

Publication Publication Date Title
KR100456208B1 (ko) 반도체웨이퍼의노출면을개질시키는방법
EP1015175B1 (en) Abrasive articles comprising a fluorochemical agent for wafer surface modification
EP0949986B1 (en) Method for the production of optical quality surfaces on glass
JP6899219B2 (ja) 複数の研磨要素の異なるセットを有する研磨材
EP1458524B1 (en) Method of making an abrasive product
JP4933716B2 (ja) 研磨パッド
CA2287404C (en) Method of planarizing the upper surface of a semiconductor wafer
US6923840B2 (en) Flexible abrasive product and method of making and using the same
KR100810205B1 (ko) 유리 그라인딩 방법
WO1995011773A1 (en) Abrasive article, a process of making same, and a method of using same to finish a workpiece surface
JPH07188429A (ja) 研磨用品、その製造方法およびワークピースの表面を減らすためにそれを使用する方法
US20050020189A1 (en) Flexible abrasive product and method of making and using the same
EP1489652A2 (en) Method of modifying a surface of a semiconductor wafer
TW309631B (en) Method of modifying an exposed surface of a semiconductor wafer

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121009

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20131001

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20141007

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20151002

Year of fee payment: 12

EXPY Expiration of term