DE69531571T2 - Verbesserungen in Bezug auf Halbleitervorrichtungen - Google Patents

Verbesserungen in Bezug auf Halbleitervorrichtungen Download PDF

Info

Publication number
DE69531571T2
DE69531571T2 DE69531571T DE69531571T DE69531571T2 DE 69531571 T2 DE69531571 T2 DE 69531571T2 DE 69531571 T DE69531571 T DE 69531571T DE 69531571 T DE69531571 T DE 69531571T DE 69531571 T2 DE69531571 T2 DE 69531571T2
Authority
DE
Germany
Prior art keywords
lines
layer
dielectric layer
several
section
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69531571T
Other languages
English (en)
Other versions
DE69531571D1 (de
Inventor
Robert H. Garland Havemann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Application granted granted Critical
Publication of DE69531571D1 publication Critical patent/DE69531571D1/de
Publication of DE69531571T2 publication Critical patent/DE69531571T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Fixed Capacitors And Capacitor Manufacturing Machines (AREA)

Description

  • GEBIET DER ERFINDUNG
  • Diese Erfindung betrifft allgemein die Herstellung von Halbleitervorrichtungen und insbesondere das Strukturieren metallischer Verbindungsschichten mit einem Submikrometerabstand, wobei eine niedrige Permittivität aufweisende Materialien zwischen Leitungen verwendet werden.
  • HINTERGRUND DER ERFINDUNG
  • Halbleiter werden weitverbreitet in integrierten Schaltungen für elektronische Anwendungen einschließlich Radios und Fernsehgeräten verwendet. Diese integrierten Schaltungen verwenden typischerweise zahlreiche in einkristallinem Silicium hergestellte Transistoren. Viele integrierte Schaltungen enthalten nun zahlreiche Metallisierungsebenen für Zwischenverbindungen. Es wird bei sich verkleinernden Geometrien und zunehmender funktioneller Dichte unbedingt erforderlich, die RC-Zeitkonstante innerhalb von Mehrebenen-Metallisierungssystemen zu verkleinern.
  • In JP-A-5 160 278 und US-A-S 155 576 sind Vorrichtungen offenbart, bei denen eine niedrige Permittivität aufweisende dielektrische Materialien zwischen Leitern bereitgestellt sind, um die wechselseitige Kapazität zwischen ihnen zu verringern. Zusätzlich ist in IBM Technical Disclosure Bulletin, Band 34, Nr. 9, S. 220 eine Verbindungsstruktur offenbart, bei der ein Material mit einer niedrigen Permittivität zwischen Leitern bereitgestellt ist, das auch eine nachfolgende durch mechanische Spannungen induzierte Rißbildung verringer.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Wenngleich das in der Vergangenheit zum Isolieren von Metalleitungen voneinander verwendete Dielektrikum typischerweise Siliciumdioxid war, richteten sich neuere Trends auf die Verwendung von Materialien mit niedrigen Dielektrizitätskonstanten, um die RC-Zeitkonstante zu verringern. Viele Isolatoren mit einer niedrigen Dielektrizitätskonstanten sind entweder reine Polymere (beispielsweise Parylen, Teflon, Polyimid) oder organisches Spin-On-Glas (OSOG, beispielsweise Silsesquioxan und Siloxanglas). Die strukturelle Festigkeit dieser Materialien mit einer niedrigen Permittivität ist im allgemeinen schlechter als diejenige von Siliciumdioxid.
  • Demgemäß hat die Verwendung von Materialien mit einer niedrigen Permittivität in der Halbleiterindustrie zu einem Bedarf an einem Verfahren zum Verbessern der strukturellen Unterstützung eines Halbleiterwafers geführt. Es sind hier eine Halbleitervorrichtung und ein Verfahren offenbart, welche dieses Problem in einer neuartigen Weise lösen. Materialien mit einer niedrigen Permittivität werden nur in Bereichen mit dicht beabstandeten Leitungen verwendet, wodurch die unerwünschte Kapazität zwischen dicht beabstandeten Leitungen verringer wird, während traditionelle dielektrische Materialien anderswo verwendet werden, wodurch eine starke strukturelle Unterstützung bereitgestellt wird.
  • Gemäß der vorliegenden Erfindung ist ein Verfahren zum Strukturieren einer Metallschicht (14) auf einem Halbleitersubstrat (12) vorgesehen, welches die folgenden Schritte aufweist:
  • Einteilen der Metallschicht (14) in mindestens einen ersten Abschnitt (15) und einen zweiten Abschnitt (17),
  • Ausbilden mehrerer erster Leitungen (16) in dem ersten Abschnitt (15) der Metallschicht (14), wobei der Abstand zwischen jeder ersten Leitung (16) und jeder benachbarten ersten Leitung (16) ausreicht, um eine kapazitive Kopplung zwischen ihnen im wesentlichen zu verhindern,
  • Aufbringen einer ersten dielektrischen Schicht (26) auf wenigstens die mehreren ersten Leitungen (16), wobei die erste dielektrische Schicht (26) aus einem Material mit einer guten mechanischen Festigkeit gebildet ist,
  • Ausbilden mehrerer zweiter Leitungen (18) in dem zweiten Abschnitt (17) der Metallschicht (14), wobei der Abstand zwischen jeder zweiten Leitung (18) und jeder benachbarten zweiten Leitung (18) kleiner als ein Mikrometer ist,
  • Aufbringen einer zweiten dielektrischen Schicht (34) wenigstens zwischen den mehreren zweiten Leitungen (18), wobei die zweite dielektrische Schicht (34) ein Material mit einer niedrigen Permittivität mit einer Dielektrizitätskonstanten von weniger als drei aufweist, um eine kapazitive Kopplung zwischen ihnen im wesentlichen zu verhindern, und
  • Aufbringen einer dritten dielektrischen Schicht (36) auf die erste dielektrische Schicht (26) und die zweite dielektrische Schicht (34), wobei die dritte dielektrische Schicht (36) aus einem Material mit einer guten mechanischen Festigkeit gebildet ist.
  • Vorzugsweise wird eine Metallschicht auf ein Substrat aufgebracht. Die Metallschicht hat einen ersten Abschnitt und einen zweiten Abschnitt. Eine dielektrische Ätzstoppschicht wird auf die Metallschicht aufgebracht, und eine Resistschicht wird auf die dielektrische Ätzstoppschicht aufgebracht. Die Resistschicht wird strukturiert, um ein Leitermuster zu bilden. Die Resistschicht wird entfernt, und die dielektrische Ätzstoppschicht und die Metallschicht werden geätzt, um Metalleitungen zu bilden, wobei weit beabstandete Leitungen im ersten Abschnitt der Metallschicht gebildet werden. Ein Material mit einer niedrigen Permittivität wird zwischen den dicht beabstandeten Leitungen aufgebracht. Eine zweite strukturelle dielektrische Schicht wird auf das Material mit einer niedrigen Permittivität und die dicht beabstandeten Leitungen aufgebracht.
  • Ein Vorteil der Erfindung umfaßt eine verbesserte strukturelle Festigkeit durch Anordnen eines strukturell schwachen Materials mit einer niedrigen Permittivität nur dort, wo es erforderlich ist, nämlich in Bereichen mit dicht beabstandeten Leitungen.
  • Ein weiterer Vorteil der Erfindung umfaßt eine Verringerung der Streukapazität dicht beabstandeter Metalleitungen. Eine erste strukturelle dielektrische Schicht oder eine dielektrische Ätzstoppschicht verbleibt auf den Metalleitungen, woraus sich eine vergrößerte Höhe des Materials mit einer niedrigen Permittivität auf dicht beabstandeten Metalleitungen ergibt. Hierdurch wird ermöglicht, daß sich das Material mit einer niedrigen Permittivität über den Oberteil der Metalleitungen hinaus erstreckt, wodurch eine Vergrößerung des Prozeßspielraums bereitgestellt wird.
  • Ein weiterer Vorteil der Erfindung besteht darin, daß Kontaktlöcher zu darunterliegenden Metalleitungen durch ein strukturell intaktes und qualitativ hochwertiges dielektrisches Material ausgebildet werden können, so daß traditionelle Prozesse zur Bildung von Kontaktlöchern verwendet werden können.
  • KURZBESCHREIBUNG DER ZEICHNUNG
  • In der Zeichnung, welche einen integralen Teil der Beschreibung bildet und welche in Zusammenhang damit zu lesen ist, wobei gleiche Bezugszahlen und Symbole in den verschiedenen Ansichten ähnliche Komponenten bezeichnen, sofern nichts anderes angegeben ist, zeigen:
  • die 1A1D, 2A2D und 3A3D Schnittansichten eines Abschnitts einer Halbleitervorrichtung zur Erläuterung mehrerer Schritte bei der Anwendung der Erfindung auf eine typische Vorrichtung,
  • die 4A und 4B eine auf die dicht beabstandeten Metalleitungen aufgebrachte Passivierungsschicht,
  • die 5A5E und 6A6E Schnittansichten eines Abschnitts einer Halbleitervorrichtung zur Erläuterung mehrerer Schritte bei der Anwendung einer alternativen Ausführungsform der Erfindung auf eine typische Vorrichtung und
  • die 7A7C Draufsichten eines Wafers und möglicher Strukturierungskonfigurationen für die Metallschicht.
  • AUSFÜHRLICHE BESCHREIBUNG DER BEVORZUGTEN AUS-FÜHRUNGSFORMEN
  • Die Herstellung und die Verwendung der gegenwärtig bevorzugten Ausführungsformen werden nachstehend detailliert erörtert.
  • Entsprechende Bezugszahlen und Symbole bezeichnen in den verschiedenen Figuren entsprechende Teile, sofern nichts anderes angegeben ist. Die nachstehende Tabelle 1 bietet einen Überblick über die Elemente der Ausführungsformen und der Zeichnung.
  • Tabelle 1
    Figure 00050001
  • Figure 00060001
  • Figure 00070001
  • Figure 00080001
  • Die 13 zeigen eine Ausführungsform der vorliegenden Erfindung. 1A zeigt einen Halbleiterwafer 10, der ein Substrat 12 aufweist, das beispielsweise Transistoren, Dioden und andere Halbleiterelemente (nicht dargestellt) enthält, welche auf dem Fachgebiet wohlbekannt sind. Der Halbleiterwafer 10 kann auch Metallschichten enthalten. Eine Metallschicht 14 wurde auf das Substrat 12 aufgebracht. Die Metallschicht 14 kann beispielsweise eine Aluminiumlegierung oder eine Titanwolfram/Aluminiumlegierungs-Bischicht aufweisen und ist typischerweise 0,5 bis 2 μm dick. Gemäß dieser Erfindung wurde die Metallschicht 14 in zwei Abschnitte unterteilt, nämlich einen ersten Abschnitt 15, in dem weit beabstandete Leitungen ausgebildet werden, und einen zweiten Abschnitt 17, in dem dicht beabstandete Leitungen ausgebildet werden.
  • 1B zeigt eine erste Resistschicht 20, die auf die Metallschicht 14 aufgebracht wurde. Der Wafer wird dann mit einem ersten Retikel 22 mit einem vorgegebenen Muster maskiert. Das erste Retikel 22 ist so konfiguriert, daß nur die weit beabstandeten Leitungen 16 strukturiert werden und die Bereiche der Metallschicht 14, die schließlich die dicht beabstandeten Leitungen (den zweiten Abschnitt 17 der Metallschicht 14) bilden werden, zu dieser Zeit nicht strukturiert werden. Die nicht bedeckten Abschnitte der ersten Resistschicht 20 werden belichtet, wie in 1B dargestellt ist. Die belichteten Abschnitte 24 der ersten Resistschicht 20 werden entwickelt und dann entfernt. Die Metallschicht 14 wird dann geätzt, um weit beabstandete Leitungen 16 zu bilden, wie in 1C dargestellt ist. Die weit beabstandeten Leitungen 16 können typischerweise ein Abstands-Seitenverhältnis von weniger als eins aufweisen. (Das Abstands-Seitenverhältnis ist die Höhe der Metalleitung verglichen mit dem Abstand zwischen Leitungen (also geteilt durch diesen)). Im allgemeinen sind die weit beabstandeten Leitungen 16 um Entfernungen beabstandet, die typischerweise das Eineinhalbfache des minimalen Abstands von Leitung zu Leitung betragen oder größer sind als dieser Wert. Die Abstände zwischen diesen weit beabstandeten Leitungen 16 sind ausreichend, um übermäßige kapazitive Effekte zu verhindern, und sie machen daher keine Materialien mit einer niedrigen Permittivität zur Isolation notwendig. Daher wird die erste Resistschicht 20 abgehoben, woraus sich die in 1D dargestellte Struktur ergibt. An diesem Punkt wurden die weit beabstandeten Leitungen 16 gebildet, während der zweite Abschnitt 17 der Metallschicht 14, an dem dicht beabstandete Leitungen gebildet werden, ungeätzt bleibt.
  • Als nächstes wird die erste strukturelle dielektrische Schicht 26 auf der Metallschicht 14 aufgebracht, wie in 2A dargestellt ist. Dieses dielektrische Material kann in einem ähnlichen Muster wie die Topographie des darunterliegenden Metalls fließen, Wellen oder Höcker bilden und ist typischerweise auf der Metallschicht 14 und den weit beabstandeten Metalleitungen 16 0,25 bis 2 μm dick. Daraufhin kann die erste strukturelle dielektrische Schicht 26 planarisiert werden und dabei vorzugsweise durch CMP (chemisch-mechanisches Polieren) global planarisiert werden, wie in 2B dargestellt ist. Eine zweite Resistschicht 28 wird auf die erste strukturelle dielektrische Schicht 26 aufgebracht. Daraufhin wird ein zweites Retikel 30, das das Muster für die dicht beabstandeten Leitungen 18 enthält, auf den Wafer 10 aufgebracht. Die nicht bedeckten Abschnitte der zweiten Resistschicht 28 werden belichtet, wie in 2C dargestellt ist. Die belichteten Bereiche 32 der zweiten Resistschicht 28 werden entwickelt und dann entfernt. Die erste strukturelle dielektrische Schicht 26 und die Metallschicht 14 werden dann geätzt (im allgemeinen in zwei getrennten Ätzschritten), um dicht beabstandete Leitungen 18 zu bilden, wie in 2D dargestellt ist.
  • Beispielsweise kann die SiO2-Schicht unter Verwendung von CHF3-RIE (reaktives Ionenätzen) geätzt werden, und es kann dann die Metallschicht 14 mit BCl3 in einem getrennten Ätzprozeß geätzt werden. Die dicht beabstandeten Leitungen 18 haben typischerweise ein Abstands-Seitenverhältnis im Bereich größer oder gleich eins. Im allgemeinen sind die dicht beabstandeten Leitungen 18 um weniger als einen μm voneinander beabstandet, und der Abstand kann der minimalen Lei tungsbreite (Leiterbreite) gleichen. Die Abstände zwischen den Leitungen sind klein genug, um möglicherweise zu einer erheblichen Parasitärkapazität zu führen, so daß die Struktur von einer dielektrischen Schicht profitieren würde, die ein Material mit einer niedrigen Permittivität als dielektrisches Material enthält.
  • Daraufhin wird die zweite Resistschicht 28 abgehoben, wodurch sich die in 3A dargestellte Struktur ergibt. An diesem Punkt wurden dicht beabstandete Leitungen 18 gebildet. Als nächstes wird ein Material 34 mit einer niedrigen Permittivität auf den Wafer aufgebracht, wodurch die Räume zwischen den dicht beabstandeten Leitungen 18 entweder teilweise oder vollständig gefüllt werden, wie in 3B dargestellt ist. Das Material 34 mit einer niedrigen Permittivität besteht aus einem Material mit einer niedrigen Dielektrizitätskonstanten, vorzugsweise einem Polymerdielektrikum, wie Parylen oder Teflon mit einer Dielektrizitätskonstanten von weniger als etwa 3. Das Material 34 mit einer niedrigen Permittivität wird dann bis zu einem Niveau am Oberteil der ersten dielektrischen Schicht 26 oder darunter entfernt, beispielsweise zurückgeätzt (möglicherweise mit einem zeitlich festgelegten Ätzen) (3C). Gemäß dieser Ausführungsform sollte das Material 34 mit einer niedrigen Permittivität vorzugsweise nicht über den Oberteil der dicht beabstandeten Metalleitungen 18 heruntergeätzt werden. Vorzugsweise liegt das Material 34 mit einer niedrigen Permittivität in einem Abstand, der 30–50% der Dicke der Metalleitung 18 entspricht, über dem Oberteil der dicht beabstandeten Metalleitungen 18, um die Streukapazität zwischen Metalleitungen 18 an den Ecken und den Oberteilen der dicht beabstandeten Metalleitungen 18 zu beseitigen oder zu verringern. Das Verringern der Streukapazität ist ein Vorteil dieser Ausführungsform, der sich aus der vergrößerten Höhe der Schicht 34 mit einer niedrigen Dielektrizitätskonstanten, die sich über den Oberteil der dicht beabstandeten Metalleitungen 18 hinaus erstrecken kann, ergibt.
  • Schließlich wird eine zweite strukturelle dielektrische Schicht 36 sowohl auf die weit beabstandeten als auch auf die dicht beabstandeten Leitungen (16, 18) aufgebracht, wie in 3D dargestellt ist. Vorzugsweise wird PETEOS (plas ma-angereichtertes Tetraethoxysilan) für die zweite strukturelle dielektrische Schicht 36 verwendet.
  • 4A zeigt weitere Verarbeitungsschritte, die nach dem in 3A dargestellten Schritt angewendet werden können, wobei eine Passivierungsschicht 38 auf freigelegten Abschnitten der ersten strukturellen dielektrischen Schicht 26 und auf Seitenwänden der dicht beabstandeten Metalleitungen 18 gebildet wird. Diese Passivierungsschicht 38 ist vorteilhaft, weil sie eine Reaktion zwischen den Metalleitungen 18 und dem Material 34 mit einer niedrigen Permittivität verhindert. In den 3B bis 3D dargestellte nachfolgende Schritte werden zur Bildung der in 4B dargestellten resultierenden Struktur ausgeführt.
  • Als nächstes wird eine alternative Ausführungsform der Erfindung beschrieben, wie in den 5 bis 6 dargestellt ist. 5A zeigt einen Halbleiterwafer 10, der eine auf ein Substrat 12 aufgebrachte Metallschicht 14 aufweist. Wiederum wurde die Metallschicht 14 in zwei Abschnitte eingeteilt, nämlich einen ersten Abschnitt 15, in dem weit beabstandete Leitungen gebildet werden, und einen zweiten Abschnitt 17, in dem dicht beabstandete Leitungen gebildet werden. Eine dielektrische Ätzstoppschicht 39, beispielsweise organisches Spin-On-Glas (OSOG) mit einer niedrigen Dielektrizitätskonstanten wird auf die Metallschicht 14 aufgebracht. Eine erste Resistschicht 46 gemäß der dritten Ausführungsform wird dann auf die Metallschicht 14 aufgebracht. Die erste Resistschicht 46 besteht vorzugsweise aus Photoresist, oder es können andere Resists, wie lichtempfindliches Polyimid, verwendet werden.
  • Der Wafer 10 wird mit dem ersten Retikel 44 gemäß der dritten Ausführungsform maskiert, das das Leitermuster enthält. Das erste Retikel 44 ist so konfiguriert, daß die weit beabstandeten und die dicht beabstandeten Leitungen gleichzeitig strukturiert werden. Die unbedeckten Abschnitte der ersten Resistschicht 46 werden belichtet, wie in 5B dargestellt ist. Die belichteten Abschnitte 48 der ersten Resistschicht werden entwickelt und entfernt. Die dielektrische Ätzstoppschicht 39 und die Metallschicht 14 werden, typischerweise in getrennten Schritten, geätzt (5C). Die erste Resistschicht 46 wird abgehoben, und die erste strukturelle dielektrische Schicht 26 wird auf den ganzen Wafer 10 aufgebracht und kann dann planarisiert werden (5D). Die zweite Resistschicht 50 gemäß der dritten Ausführungsform wird aufgebracht und in einem Muster belichtet, so daß die weit beabstandeten Leitungen 16 der Metallschicht 14 mit der zweiten Resistschicht 50 bedeckt bleiben (5E). Die zweite Resistschicht 50 gemäß der dritten Ausführungsform besteht vorzugsweise aus Photoresist, könnte jedoch auch ein lichtempfindliches Polyimid aufweisen.
  • Die erste strukturelle dielektrische Schicht 26 wird von den dicht beabstandeten Leitungen 18 der Metallschicht 14 geätzt (6A). Die zweite Resistschicht 50 gemäß der dritten Ausführungsform wird dann entfernt (6B). Das Material 34 mit einer niedrigen Permittivität wird auf den gesamten Wafer 10 aufgebracht (6C) und bis zu einem Niveau bei dem oder unter dem Oberteil der dielektrischen Ätzstoppschicht 39 zurückgeätzt (6D). Die dielektrische Ätzstoppschicht 39 dient als ein Ätzstopp für das Ätzmittel für die dielektrische Schicht 34 mit einer niedrigen Permittivität. Schließlich wird die zweite strukturelle dielektrische Schicht 36 über der dielektrischen Ätzstoppschicht 39 oberhalb der dicht beabstandeten Metalleitungen 18, dem Material 34 mit einer niedrigen Permittivität und möglicherweise über der ersten strukturellen dielektrischen Schicht 26 aufgebracht, wie in 6E dargestellt ist.
  • Typischerweise bestehen die erste strukturelle dielektrische Schicht 26 und die zweite strukturelle dielektrische Schicht 36 für die dritte Ausführungsform aus einem Oxid und besteht die dielektrische Ätzstoppschicht 39 aus einem OSOG mit einer niedrigen Dielektrizitätskonstanten von weniger als 3. Es können jedoch auch andere Kombinationen von Materialien verwendet werden. Beispielsweise kann die dielektrische Ätzstoppschicht 39 aus einem Oxid bestehen, während die erste und die zweite strukturelle dielektrische Schicht 26 und 36 beide aus Teflon oder Parylen bestehen können. Die letztgenannte Kombination kann insbesondere mit Materialien 34 mit einer niedrigen Permittivität kompatibel sein, die Aerogele oder Xerogele aufweisen.
  • Die in 4A dargestellten Schritte könnten auch angewendet werden. Nachdem der erste Photoresist 46 gemäß der dritten Ausführungsform entfernt wurde (aus 5C), kann eine Passivierungsschicht 38 auf den Seitenwänden sowohl der weit beabstandeten Metalleitungen 16 als auch der dicht beabstandeten Metalleitungen 18 gebildet werden (nicht dargestellt). Diese Passivierungsschicht 38 ist für dicht beabstandete Metalleitungen 18 besonders vorteilhaft, weil sie eine Reaktion zwischen den dicht beabstandeten Metalleitungen 18 und dem Material 34 mit einer niedrigen Permittivität verhindert.
  • 7A zeigt eine Darstellung der obersten Ebene des Musters für den Abschnitt 17 der Metallschicht 14, in dem die dicht beabstandeten Leitungen 18 gebildet werden, wie in den 13 dargestellt ist. Das erste Metallmuster 40 blockiert den zweiten Abschnitt 17 der Metallschicht 14, um den Bereich vor dem ersten Strukturierungsschritt zu schützen. Das zweite Metallmuster 42 weist Ätzlinien auf, die sich etwas über den Rand des ersten Metallmusters 40 hinaus erstrecken, um eine Toleranzfehlanpassung zwischen dem ersten Strukturierungsschritt und dem zweiten Strukturierungsschritt zuzulassen. Hierdurch wird verhindert, daß Kurzschlüsse und unerwünschtes Metall in der sich ergebenden Metallschicht gebildet werden. Es ist wichtig, daß entweder eine vollständige Metalleitung oder keine an allen Kanten gebildet wird, an denen sich die zwei Metallmuster 40 und 42 treffen.
  • Es sind mindestens zwei alternative Verfahren zum Blockieren der Bereiche verfügbar, in denen dicht beabstandete Leitungen gebildet werden. 7B zeigt einen Abschnitt von Leitungen, der sowohl weit beabstandete Leitungen 16 als auch dicht beabstandete Leitungen 18 enthält. Ein Verfahren würde darin bestehen, nur die benachbarten Abschnitte der dicht beabstandeten Leitungen zu maskieren, wie innerhalb des gepunktet dargestellten Kastens in 7B gezeigt ist. Bei diesem Verfahren könnten jedoch Fehlausrichtungsprobleme erzeugt werden (es sei denn, es wird das Verfahren aus den 5A5E und 6A6E verwendet), weil die Abschnitte einer einzigen Metalleitung in zwei verschiedenen Schritten gebildet werden. Alternativ könnte für eine Leitung mit mindestens ei nem Abschnitt, der dicht beabstandet ist, wie in 7C dargestellt ist, die gesamte Leitung blockiert werden. Hierdurch können mögliche Fehlausrichtungsprobleme verhindert werden, die bei dem Verfahren aus 7B auftreten könnten.
  • Das beschriebene Verfahren der Verwendung eines zwei Schritte aufweisenden Metallätzprozesses zur Bildung sowohl weit beabstandeter als auch dicht beabstandeter Leitungen in einer Metallschicht zum selektiven Füllen von Zwischenräumen zwischen dicht beabstandeten Leitungen mit einem Material mit einer niedrigen Permittivität kann gegenüber den herkömmlichen Prozessen entscheidende Vorteile bieten. Erstens ist das strukturell schwache Material mit einer niedrigen Permittivität auf Bereiche beschränkt, die von ihm profitieren. In den Bereichen, in denen das Material mit einer niedrigen Permittivität nicht erforderlich ist, bietet die strukturelle dielektrische Schicht eine höhere strukturelle Unterstützung. Das Ergebnis ist eine insgesamt stärkere Struktur mit besseren Wärmeübertragungseigenschaften (weil die Wärmeübertragung von Materialien mit einer niedrigen Permittivität im allgemeinen schlecht ist).
  • Wenngleich zweitens gemäß der ersten Ausführungsform ein zusätzlicher Maskierungsschritt erforderlich ist, ist die Ausrichtung im allgemeinen nicht kritisch und kann beispielsweise durch Blockieren der ganzen Leitung für dicht beabstandete Leitungen vermieden werden.
  • Drittens kann die ganze Metallschicht auf einmal strukturiert werden, wodurch die möglichen Ausrichtungsprobleme der ersten Ausführungsform beseitigt werden. Das Retikel, das den Resist strukturiert, um die weit beabstandeten Leitungen zu maskieren, kann verhältnismäßig leicht aus dem für die Metallschicht existierenden Retikel erzeugt werden. Demgemäß kann die Implementation dieser Ausführungsform in aktuelle Prozeßabläufe einfacher sein.
  • Viertens haben die erste strukturelle dielektrische Schicht 26 auf den dicht beabstandeten Leitungen 18 gemäß der ersten und der zweiten Ausführungsform und die dielektrische Ätzstoppschicht 39 auf den dicht beabstandeten Leitungen 18 gemäß der dritten Ausführungsform den zusätzlichen Vorteil, daß die Streukapazität zwischen dicht beabstandeten Leitungen 18 verringert wird. Diese dielektrischen Schichten (26, 39) ermöglichen eine vergrößerte Höhe des Materials 34 mit einer niedrigen Permittivität zwischen dicht beabstandeten Leitungen 18, wodurch es möglich wird, daß sich das Material mit einer niedrigen Permittivität über den Oberteil der Metalleitungen hinaus erstreckt. Dies bietet eine Vergrößerung des Prozeßspielraums.
  • Ein fünfter Vorteil der Erfindung besteht darin, daß Kontaktlöcher zu darunterliegenden Metalleitungen durch ein strukturell intaktes und qualitativ hochwertiges Dielektrikum (die erste strukturelle dielektrische Schicht 26 auf den dicht beabstandeten Leitungen 18 gemäß der ersten und der zweiten Ausführungsform und die dielektrische Ätzstoppschicht 39 auf den dicht beabstandeten Leitungen 18 gemäß der dritten Ausführungsform) gebildet werden können, so daß traditionelle Kontaktloch-Bildungsprozesse eingesetzt werden können.

Claims (16)

  1. Verfahren zum Strukturieren einer Metallschicht (14) auf einem Halbleitersubstrat (12), welches die folgenden Schritte aufweist: Einteilen der Metallschicht (14) in mindestens einen ersten Abschnitt (15) und einen zweiten Abschnitt (17), Ausbilden mehrerer erster Leitungen (16) in dem ersten Abschnitt (15) der Metallschicht (14), wobei der Abstand zwischen jeder ersten Leitung (16) und jeder benachbarten ersten Leitung (16) ausreicht, um eine kapazitive Kopplung zwischen ihnen im wesentlichen zu verhindern, Aufbringen einer ersten dielektrischen Schicht (26) auf wenigstens die mehreren ersten Leitungen (16), wobei die erste dielektrische Schicht (26) aus einem Material mit einer guten mechanischen Festigkeit gebildet ist, Ausbilden mehrerer zweiter Leitungen (18) in dem zweiten Abschnitt (17) der Metallschicht (14), wobei der Abstand zwischen jeder zweiten Leitung (18) und jeder benachbarten zweiten Leitung (18) kleiner als ein Mikrometer ist, Ausbringen einer zweiten dielektrischen Schicht (34) wenigstens zwischen den mehreren zweiten Leitungen (18), wobei die zweite dielektrische Schicht (34) ein Material mit einer niedrigen Permittivität mit einer Dielektrizitätskonstanten von weniger als drei aufweist, um eine kapazitive Kopplung zwischen ihnen im wesentlichen zu verhindern, und Aufbringen einer dritten dielektrischen Schicht (36) auf die erste dielektrische Schicht (26) und die zweite dielektrische Schicht (34), wobei die dritte dielektrische Schicht (36) aus einem Material mit einer guten mechanischen Festigkeit gebildet ist.
  2. Verfahren nach Anspruch 1, wobei bei dem Schritt des Ausbildens mehrerer erster Leitungen (16) mehrere erste Leitungen (16) mit einem Seitenverhältnis von weniger als eins gebildet werden.
  3. Verfahren nach Anspruch 1 oder 2, wobei bei dem Schritt des Ausbildens mehrerer zweiter Leitungen (18) mehrere zweite Leitungen (18) mit einem Seitenverhältnis größer oder gleich eins gebildet werden.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei der Schritt des Ausbildens der mehreren ersten Leitungen (16) die folgenden Schritte aufweist: Ausbilden einer ersten Maskierungsschicht (20) über der Metallschicht (14), wobei die erste Maskierungsschicht (20) den zweiten Abschnitt (17) der Metallschicht (14) maskiert und belichtete Abschnitte (24) des ersten Abschnitts (15) der Metallschicht (14), worin die mehreren ersten Leitungen (16) zu bilden sind, bereitstellt, Entfernen der belichteten Abschnitte (24) des ersten Abschnitts (15) der Metallschicht (14), um die mehreren ersten Leitungen (16) zu definieren, und Entfernen der ersten Maskierungsschicht (20).
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei der Schritt des Ausbildens der mehreren zweiten Leitungen (18) die folgenden Schritte aufweist: Ausbilden einer zweiten Maskierungsschicht (28) über der ersten dielektrischen Schicht (26), wobei die zweite Maskierungsschicht (28) den ersten Abschnitt (15) der Metallschicht (14) maskiert und belichtete Abschnitte (32) des zweiten Abschnitts (17) der Metallschicht (14), worin die mehreren ersten Leitungen (16) zu bilden sind, bereitstellt, Entfernen der belichteten Abschnitte (32) des zweiten Abschnitts (17) der Metallschicht (14), um die mehreren zweiten Leitungen (18) zu definieren, und Entfernen der zweiten Maskierungsschicht (28).
  6. Verfahren nach einem der Ansprüche 1 bis 3, wobei der Schritt des Ausbildens der mehreren ersten Leitungen (16) und der mehreren zweiten Leitungen (18) die folgenden Schritte aufweist: Ausbilden einer Maskierungsschicht (46) über der Metallschicht (14), wobei die Maskierungsschicht (46) belichtete Abschnitte (48) des ersten Abschnitts (15) bzw. des zweiten Abschnitts (17) der Metallschicht (14) bereitstellt, worin die mehreren ersten Leitungen (16) und die mehreren zweiten Leitungen (18) zu bilden sind, Entfernen der belichteten Abschnitte (48) des ersten Abschnitts (15) und des zweiten Abschnitts (17) der Metallschicht (14), um die mehreren ersten Leitungen (16) bzw. die mehreren zweiten Leitungen (18) zu definieren, und Entfernen der Maskierungsschicht (46).
  7. Verfahren nach Anspruch 6, wobei weiter vor dem Schritt des Ausbildens der Maskierungsschicht (46) eine Ätzstoppschicht (39) auf die Metallschicht (14) aufgebracht wird.
  8. Verfahren nach einem der Ansprüche 1 bis 5, wobei weiter vor dem Schritt des Aufbringens der zweiten dielektrischen Schicht (34) eine Passivierungsschicht (38) über der ersten dielektrischen Schicht (26) und den zweiten Leitungen (18) ausgebildet wird.
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei beim Schritt des Ausbildens der zweiten dielektrischen Schicht (34) wenigstens zwischen den mehreren zweiten Leitungen (18) eine zweite dielektrische Schicht (34) mit einer Fläche unterhalb einer Fläche der ersten dielektrischen Schicht (26) und oberhalb einer Fläche der mehreren zweiten Leitungen (18) bereitgestellt wird, um eine Streukapazität zwischen benachbarten der mehreren zweiten Leitungen (18) im wesentlichen zu beseitigen.
  10. Verfahren nach Anspruch 9, wobei beim Schritt des Ausbildens der zweiten dielektrischen Schicht (34) wenigstens zwischen den mehreren zweiten Leitungen (18) die zweite dielektrische Schicht (34) so gebildet wird, daß sich das Material mit einer niedrigen Permittivität entlang jeder Seitenwand der mehreren zweiten Leitungen (18) erstreckt.
  11. Verfahren nach einem der vorhergehenden Ansprüche, wobei beim Schritt des Aufbringens einer zweiten dielektrischen Schicht (34) eine zweite dielektrische Schicht (34) aufgebracht wird, die aus einem Material besteht, welches aus Polymerdielektrika, wie Teflon, Aerogel, einem Luftspalt oder PETEOS, ausgewählt wird.
  12. Verfahren nach einem der vorhergehenden Ansprüche, wobei beim Schritt des Aufbringens einer dritten dielektrischen Schicht (36) eine dritte dielektrische Schicht (36) so aufgebracht wird, daß wenigstens ein Abschnitt der dritten dielektrischen Schicht zwischen jeder von der ersten oder zweiten Leitung (16, 18) bzw. jeder von der benachbarten ersten oder zweiten Leitung (16, 18) angeordnet wird.
  13. Halbleitervorrichtung, welche aufweist: mehrere erste Metalleitungen (16), die auf einem ersten Bereich (15) eines Substrats (12) angeordnet sind, wobei der Abstand zwischen jeder ersten Leitung (16) und jeder benachbarten ersten Leitung (16) ausreicht, um kapazitive Wirkungen zwischen ihnen im wesentlichen zu verhindern, eine erste dielektrische Schicht (26), die wenigstens über den mehreren ersten Leitungen (16) ausgebildet ist, wobei die erste dielektrische Schicht (26) aus einem Material mit einer guten mechanischen Festigkeit gebildet ist, mehrere zweite Metalleitungen (18), die auf einem zweiten Bereich (17) des Substrats (12) angeordnet sind, wobei der Abstand zwischen jeder zweiten Leitung (18) und jeder benachbarten zweiten Leitung (18) kleiner als ein Mikrometer ist, eine zweite dielektrische Schicht (34), die wenigstens zwischen den mehreren zweiten Leitungen (18) ausgebildet ist und ein Material mit einer niedrigen Permittivität aufweist, das eine Dielektrizitätskonstante von weniger als drei hat, um eine kapazitive Kopplung zwischen benachbarten der mehreren zweiten Leitungen (18) im wesentlichen zu verhindern, und eine dritte dielektrische Schicht (36), die über der ersten dielektrischen Schicht (26) und der zweiten dielektrischen Schicht (34) ausgebildet ist, wobei die dritte dielektrische Schicht (36) aus einem Material mit einer guten mechanischen Festigkeit gebildet ist.
  14. Vorrichtung nach Anspruch 13, wobei die mehreren ersten Leitungen (16) ein Seitenverhältnis von weniger als eins aufweisen.
  15. Vorrichtung nach Anspruch 13 oder 14, wobei die mehreren zweiten Leitungen (18) ein Seitenverhältnis von größer oder gleich eins aufweisen.
  16. Vorrichtung nach einem der Ansprüche 13 bis 15, wobei die zweite dielektrische Schicht (34) ein Material mit einer niedrigen Permittivität aufweist, das sich entlang jeder Seitenwand der mehreren zweiten Leitungen (18) erstreckt.
DE69531571T 1994-05-27 1995-05-26 Verbesserungen in Bezug auf Halbleitervorrichtungen Expired - Lifetime DE69531571T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US25014294A 1994-05-27 1994-05-27
US250142 1994-05-27

Publications (2)

Publication Number Publication Date
DE69531571D1 DE69531571D1 (de) 2003-10-02
DE69531571T2 true DE69531571T2 (de) 2004-04-08

Family

ID=22946465

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69531571T Expired - Lifetime DE69531571T2 (de) 1994-05-27 1995-05-26 Verbesserungen in Bezug auf Halbleitervorrichtungen

Country Status (6)

Country Link
US (3) US5751066A (de)
EP (1) EP0689246B1 (de)
JP (1) JPH0864598A (de)
KR (1) KR950034755A (de)
DE (1) DE69531571T2 (de)
TW (1) TW291586B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004028057B4 (de) * 2003-06-09 2015-12-17 Infineon Technologies Ag Verfahren zur Ausbildung einer zusammengesetzten intermetallischen dielektrischen Struktur

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0701277B1 (de) * 1994-05-27 2008-02-27 Texas Instruments Incorporated Verbindungsverfahren mit Benutzung eines porösen Isolators zur Reduzierung der Kapazitäten zwischen Leiterbahnen
JPH0855913A (ja) * 1994-06-07 1996-02-27 Texas Instr Inc <Ti> サブミクロン相互接続の選択的空隙充填方法
TW439003B (en) * 1995-11-17 2001-06-07 Semiconductor Energy Lab Display device
JP2910713B2 (ja) * 1996-12-25 1999-06-23 日本電気株式会社 半導体装置の製造方法
JP3159093B2 (ja) 1996-12-25 2001-04-23 日本電気株式会社 半導体装置およびその製造方法
US5960316A (en) * 1997-03-31 1999-09-28 Intel Corporation Method to fabricate unlanded vias with a low dielectric constant material as an intraline dielectric
US6010957A (en) * 1997-06-25 2000-01-04 Advanced Micro Devices Semiconductor device having tapered conductive lines and fabrication thereof
JPH1140665A (ja) * 1997-07-18 1999-02-12 Nec Corp 半導体集積回路およびその製造方法
US6048803A (en) * 1997-08-19 2000-04-11 Advanced Microdevices, Inc. Method of fabricating a semiconductor device having fluorine bearing oxide between conductive lines
US5977635A (en) * 1997-09-29 1999-11-02 Siemens Aktiengesellschaft Multi-level conductive structure including low capacitance material
US6117763A (en) * 1997-09-29 2000-09-12 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with a low permittivity dielectric layer and contamination due to exposure to water
TW337608B (en) * 1997-10-29 1998-08-01 United Microelectronics Corp Process for producing unlanded via
US5990558A (en) * 1997-12-18 1999-11-23 Advanced Micro Devices, Inc. Reduced cracking in gap filling dielectrics
US5994221A (en) * 1998-01-30 1999-11-30 Lucent Technologies Inc. Method of fabricating aluminum-indium (or thallium) vias for ULSI metallization and interconnects
US6614097B1 (en) 1998-09-30 2003-09-02 Lsi Logic Corporation Method for composing a dielectric layer within an interconnect structure of a multilayer semiconductor device
US6777320B1 (en) * 1998-11-13 2004-08-17 Intel Corporation In-plane on-chip decoupling capacitors and method for making same
US6037668A (en) * 1998-11-13 2000-03-14 Motorola, Inc. Integrated circuit having a support structure
US6090724A (en) * 1998-12-15 2000-07-18 Lsi Logic Corporation Method for composing a thermally conductive thin film having a low dielectric property
US6181011B1 (en) * 1998-12-29 2001-01-30 Kawasaki Steel Corporation Method of controlling critical dimension of features in integrated circuits (ICS), ICS formed by the method, and systems utilizing same
US6071805A (en) * 1999-01-25 2000-06-06 Chartered Semiconductor Manufacturing, Ltd. Air gap formation for high speed IC processing
US6469390B2 (en) 1999-01-26 2002-10-22 Agere Systems Guardian Corp. Device comprising thermally stable, low dielectric constant material
US6486051B1 (en) * 1999-03-17 2002-11-26 Intel Corporation Method for relieving bond stress in an under-bond-pad resistor
FR2803092B1 (fr) * 1999-12-24 2002-11-29 St Microelectronics Sa Procede de realisation d'interconnexions metalliques isolees dans des circuits integres
FR2803093B1 (fr) * 1999-12-24 2002-11-29 St Microelectronics Sa Procede de realisation d'interconnexions metalliques isolees dans des circuits integres
US6313538B1 (en) * 2000-01-21 2001-11-06 Advanced Micro Devices, Inc. Semiconductor device with partial passivation layer
US6303456B1 (en) 2000-02-25 2001-10-16 International Business Machines Corporation Method for making a finger capacitor with tuneable dielectric constant
US6166420A (en) * 2000-03-16 2000-12-26 International Business Machines Corporation Method and structure of high and low K buried oxide for SoI technology
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
DE10059935A1 (de) * 2000-11-28 2002-06-06 Infineon Technologies Ag Dicht gepackte Halbleiterstruktur und Verfahren zum Herstellen einer solchen
US6358845B1 (en) * 2001-03-16 2002-03-19 Taiwan Semiconductor Manufacturing Company Method for forming inter metal dielectric
JP3834589B2 (ja) * 2001-06-27 2006-10-18 株式会社ルネサステクノロジ 半導体装置の製造方法
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7042092B1 (en) * 2001-12-05 2006-05-09 National Semiconductor Corporation Multilevel metal interconnect and method of forming the interconnect with capacitive structures that adjust the capacitance of the interconnect
JP3775354B2 (ja) * 2002-06-20 2006-05-17 松下電器産業株式会社 半導体装置およびその製造方法
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
JP3802002B2 (ja) * 2003-03-27 2006-07-26 三星電子株式会社 半導体装置の製造方法
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7880268B2 (en) * 2006-05-12 2011-02-01 Stmicroelectronics S.A. MIM capacitor
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
WO2009100458A2 (en) * 2008-02-08 2009-08-13 Clean Cell International Inc. Composite nanorod-based structures for generating electricity
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
WO2010118321A2 (en) * 2009-04-10 2010-10-14 Clean Cell International Inc. Composite nanorod-based structures for generating electricity
JP5696679B2 (ja) * 2012-03-23 2015-04-08 富士通株式会社 半導体装置
US9449871B1 (en) * 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4442137A (en) * 1982-03-18 1984-04-10 International Business Machines Corporation Maskless coating of metallurgical features of a dielectric substrate
US4584079A (en) * 1983-10-11 1986-04-22 Honeywell Inc. Step shape tailoring by phase angle variation RF bias sputtering
DE3637513A1 (de) * 1986-11-04 1988-05-11 Semikron Elektronik Gmbh Verfahren zum herstellen feinstrukturierter kontaktelektroden von leistungs-halbleiterbauelementen
JPS63179548A (ja) * 1987-01-21 1988-07-23 Mitsubishi Electric Corp 半導体集積回路装置の配線構造
SE8704588D0 (sv) * 1987-06-17 1987-11-20 Bioboat Ab Contamination removal process
JPH01235254A (ja) * 1988-03-15 1989-09-20 Nec Corp 半導体装置及びその製造方法
US4986878A (en) * 1988-07-19 1991-01-22 Cypress Semiconductor Corp. Process for improved planarization of the passivation layers for semiconductor devices
JPH0289346A (ja) * 1988-09-27 1990-03-29 Toshiba Corp 半導体装置及びその製造方法
JPH0793354B2 (ja) * 1988-11-28 1995-10-09 株式会社東芝 半導体装置の製造方法
US4987101A (en) * 1988-12-16 1991-01-22 International Business Machines Corporation Method for providing improved insulation in VLSI and ULSI circuits
JPH0316223A (ja) * 1989-06-14 1991-01-24 Matsushita Electron Corp 半導体装置の製造方法
US5013691A (en) * 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US5166101A (en) * 1989-09-28 1992-11-24 Applied Materials, Inc. Method for forming a boron phosphorus silicate glass composite layer on a semiconductor wafer
JPH04174541A (ja) * 1990-03-28 1992-06-22 Nec Corp 半導体集積回路及びその製造方法
KR950002948B1 (ko) * 1991-10-10 1995-03-28 삼성전자 주식회사 반도체 장치의 금속층간 절연막 형성방법
JP2825112B2 (ja) * 1992-04-22 1998-11-18 日本電気株式会社 半導体装置
US5441915A (en) * 1992-09-01 1995-08-15 Taiwan Semiconductor Manufacturing Company Ltd. Process of fabrication planarized metallurgy structure for a semiconductor device
US5272117A (en) * 1992-12-07 1993-12-21 Motorola, Inc. Method for planarizing a layer of material
US5278103A (en) * 1993-02-26 1994-01-11 Lsi Logic Corporation Method for the controlled formation of voids in doped glass dielectric films
US5356513A (en) * 1993-04-22 1994-10-18 International Business Machines Corporation Polishstop planarization method and structure
JP2973799B2 (ja) * 1993-04-23 1999-11-08 富士電機株式会社 パワートランジスタモジュール
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004028057B4 (de) * 2003-06-09 2015-12-17 Infineon Technologies Ag Verfahren zur Ausbildung einer zusammengesetzten intermetallischen dielektrischen Struktur

Also Published As

Publication number Publication date
EP0689246B1 (de) 2003-08-27
US5789818A (en) 1998-08-04
US5751066A (en) 1998-05-12
DE69531571D1 (de) 2003-10-02
TW291586B (de) 1996-11-21
EP0689246A1 (de) 1995-12-27
KR950034755A (de) 1995-12-28
US5728628A (en) 1998-03-17
JPH0864598A (ja) 1996-03-08

Similar Documents

Publication Publication Date Title
DE69531571T2 (de) Verbesserungen in Bezug auf Halbleitervorrichtungen
DE69533385T2 (de) Herstellungsverfahren von Verbindungen über Halbleitervorrichtungen
DE10245179B4 (de) Leitungen auf mehreren Ebenen mit reduziertem Rasterabstand und Verfahren zur Herstellung
DE69513501T2 (de) Niedrige dielektrizitätskonstanten-schichtentechnik
DE69321149T2 (de) Halbleiter-Kontaktöffnungsstruktur und -verfahren
DE69616081T2 (de) Verbindungsschema für integrierte schaltungen
DE19737294B4 (de) Halbleiterbaustein mit wenigstens einem Kondensatorelement mit parallelen Kondensatorplatten sowie Verfahren zu seiner Herstellung
DE4434230C2 (de) Chemisch-mechanisches Polierverfahren zum Planieren von Isolierschichten
DE69226819T2 (de) Metallische Planar-Bondfläche mit mehreren Schichten und Verfahren zu ihrer Herstellung
DE69730580T2 (de) Verfahren zur Herstellung eines Halbleiterelements mit zwei Isolatoren unterschiedlicher Dielektrizitätskonstante
DE4310955C2 (de) Verfahren zum Bearbeiten eines Halbleiterwafers
DE68917614T2 (de) Verfahren zum Ausrichten und zur Herstellung eines Verbindungszapfens.
DE10054109C2 (de) Verfahren zum Bilden eines Substratkontakts in einem Feldeffekttransistor, der über einer vergrabenen Isolierschicht gebildet ist
DE69228099T2 (de) Verfahren zur Herstellung von Sacklöchern und hergestellte Struktur
DE69015564T2 (de) Vollverdiefte verbindungsstruktur mit titanium/wolfram und selektivem cvd-wolfram.
DE69535488T2 (de) Verfahren zur Isolierung von Leitungen unter Verwendung von Materialien mit niedriger dielektrischer Konstante und damit hergestellte Strukturen
DE2902665C2 (de)
DE10259785A1 (de) Verfahren zur Bildung einer Struktur für eine Ausrichtmarkierung unter Verwendung von Standardprozessschritten zur Bildung von Transistoren mit vertikalem Gate
DE3544539A1 (de) Halbleiteranordnung mit metallisierungsbahnen verschiedener staerke sowie verfahren zu deren herstellung
DE102006036797A1 (de) Einzel-Damascene mit Einwegschablone und Verfahren dafür
DE19716791B4 (de) Verfahren zum Herstellen von Kontaktöffnungen in einer mehrschichtigen Halbleiterstruktur
DE102007043709B4 (de) Mehrschichtige Halbleiterleistungsschaltung mit einer Durchkontaktierungsstruktur und Verfahren zur Bildung einer Durchkontaktierungsstruktur in einer mehrschichtigen Halbleiter-Leistungsschaltung
DE19719909A1 (de) Zweifaches Damaszierverfahren
DE102022130259A1 (de) Galvanische Isolierung unter Verwendung einer Isolationsunterbrechung zwischen Umverteilungsschichtelektroden
DE3914602A1 (de) Verfahren zum erzeugen von kontaktloechern in isolationsschichten

Legal Events

Date Code Title Description
8364 No opposition during term of opposition