DE102019122576A1 - Separate epitaxieschichten für nanodraht-stapel-gaa-vorrichtung - Google Patents

Separate epitaxieschichten für nanodraht-stapel-gaa-vorrichtung Download PDF

Info

Publication number
DE102019122576A1
DE102019122576A1 DE102019122576.0A DE102019122576A DE102019122576A1 DE 102019122576 A1 DE102019122576 A1 DE 102019122576A1 DE 102019122576 A DE102019122576 A DE 102019122576A DE 102019122576 A1 DE102019122576 A1 DE 102019122576A1
Authority
DE
Germany
Prior art keywords
silicon
substrate
layer
base layer
stack
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102019122576.0A
Other languages
English (en)
Inventor
Tung Ying Lee
Kai-Tai Chang
Meng-Hsuan Hsiao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019122576A1 publication Critical patent/DE102019122576A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02433Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • H01L21/02507Alternating layers, e.g. superlattice
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66272Silicon vertical transistors
    • H01L29/66287Silicon vertical transistors with a single crystalline emitter, collector or base including extrinsic, link or graft base formed on the silicon substrate, e.g. by epitaxy, recrystallisation, after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

Die vorliegende Offenbarung beschreibt Techniken zur Bildung von Gate-All-Around-Vorrichtungen (GAA-Vorrichtungen) aus Stapeln von einzeln gebildeten Nanodraht-Halbleiterstreifen. Die einzeln gebildeten Nanodraht-Halbleiterstreifen sind auf die jeweiligen GAA-Vorrichtungen zugeschnitten. Ein Graben wird in einem ersten Stapel von Epitaxieschichten gebildet, um einen Raum zur Bildung eines zweiten Stapels von Epitaxieschichten zu definieren. Der Grabenboden wird modifiziert, um bestimmte oder bekannte Parameter in den Formen oder kristallinen Facettenausrichtungen aufzuweisen. Die bekannten Parameter des Grabenbodens werden verwendet, um geeignete Prozesse zu wählen, um den Grabenboden mit einer relativ flachen Basisfläche zu füllen.

Description

  • TECHNISCHER HINTERGRUND
  • Komplementäre Metalloxidhalbleiter-Transistoren (CMOS-Transistoren) sind Bausteine für integrierte Schaltungen. Eine schnellere CMOS-Schaltgeschwindigkeit erfordert einen höheren Antriebsstrom, was zu einer fortwährenden Abwärtsskalierung von Gate-Längen von CMOS-Transistoren führt. Eine Verringerung der Gate-Länge führt zu unerwünschten „Kurzkanal-Effekten“, bei welchen die Funktionalität der Stromsteuerung der Gates beeinträchtigt wird. FinFET-Transistoren wurden entwickelt, um unter anderem die Kurzkanal-Effekte zu überwinden. Als ein weiterer Schritt hin zur Verbesserung der elektrostatischen Steuerung der Kanäle wurden Transistoren mit umwickelten Gates entwickelt, wobei ein Gate-Abschnitt einen Halbleiterkanal oder einen Kanalstreifen von der oberen Fläche, der unteren Oberfläche und/oder Seitenwänden hiervon umgeben kann.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der nachstehenden ausführlichen Beschreibung verstehen, wenn sie zusammen mit den beiliegenden Zeichnungen gelesen wird. In den Zeichnungen bezeichnen identische Bezugszeichen ähnliche Elemente oder Handlungen sofern der Kontext nichts anderes angibt. Die Größen und relativen Positionen der Elemente in den Zeichnungen sind nicht notwendigerweise maßstabsgetreu gezeichnet. Tatsächlich können die Abmessungen der verschiedenen Eigenschaften zugunsten der Klarheit der Diskussion willkürlich vergrößert oder verkleinert sein.
    • 1 ist eine Querschnittsansicht einer beispielhaften integrierten Schaltung (IC);
    • 2 ist ein Ablaufdiagramm eines beispielhaften Verfahrens; und
    • 3A bis 3J sind Querschnittsansichten eines Wafers in verschiedenen Stadien eines beispielhaften Verfahrens der Herstellung eines IC mit GAA-Vorrichtungen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegende Offenbarung beschreibt Techniken zur Bildung von Gate-All-Around-Vorrichtungen („GAA-Vorrichtungen“) aus Stapeln von einzeln gebildeten Nanodraht-Halbleiterstreifen. Hierin in der Beschreibung bezieht sich ein „Nanodraht-Streifen“ oder „Nanodraht“ auf eine streifenförmige Struktur, die eine Kantenflächenabmessung von etwa 2 nm bis etwa 50 nm aufweist. Ein „Nanodraht-Streifen“ kann sich auf eine streifenförmige Struktur beziehen, die einen Kantenflächendurchmesser von etwa 2 nm bis etwa 15 nm aufweist. Ein „Nanosheet-Streifen“ kann sich auf eine streifenförmige Struktur beziehen, die eine Kantenfläche mit einer Höhe von etwa 2 nm bis etwa 10 nm und eine Breite von etwa 6 nm bis etwa 50 nm aufweist. Andere Abmessungsparameter der Nanodraht-Halbleiterstreifen sind ebenfalls möglich. In der Beschreibung hierin werden die Begriffe „Nanodraht“ oder „Nanodraht-Streifen“ oder „Streifen“ verwendet, um auf jede Art von streifenförmigen Strukturen zu verweisen, einschließlich, aber nicht beschränkt auf, Nanodraht, Nanosheet oder Nanoslab.
  • Die einzeln gebildeten Nanodraht-Halbleiterstreifen sind auf die jeweiligen GAA-Vorrichtungen zugeschnitten. Beispielsweise sind die Größe, die Materialzusammensetzung und die Positionierung der Streifen einzeln für nFET- und pFET-Vorrichtungen entworfen. Zwischen den nFET- und pFET-Vorrichtungen wird mindestens eine der beiden Arten von Vorrichtungen aus lokal gebildeten Nanodraht-Streifen innerhalb eines definierten Raums gebildet. Die Erfinder beobachteten, dass beim Aufwachsen der Epitaxieschichten in einem definierten Raum, wie etwa einem Graben, die Profile der abgeschiedenen Epitaxieschichten durch die Form der unteren Oberfläche des definierten Raums und der Seitenwandabschnitte neben der unteren Oberfläche beeinflusst werden. Insbesondere beeinflussen die kristallinen Facetten der Seitenwandabschnitte, die an die untere Oberfläche angrenzen, das Wachstum der Epitaxieschichten durch die Seitenwände. In der eigentlichen Produktion können die Formen der Grabenböden absichtlich oder unabsichtlich durch viele Faktoren beeinflusst werden.
  • Die aktuellen Techniken umfassen Verfahren, um einen Grabenbodenabschnitt absichtlich zu modifizieren, um bestimmte oder bekannte Parameter in den Formen oder Ausrichtungen der kristallinen Facetten aufzuweisen. Wenn solche Parameter bestimmt sind, werden die folgenden Herstellungsverfahren entsprechend durchgeführt.
  • Beispielsweise wird ein kristallografisches anisotropes Nassätzen ausgeführt, um einen Aussparungsabschnitt in einem Boden eines Grabens zu bilden. Die Tiefe des Aussparungsabschnitts und der Steigungswinkel der Seitenwand des Aussparungsabschnitts werden dem anisotropen Ätzen entsprechend bestimmt. Wenn diese Parameter festgelegt sind, wird eine Siliziumgermanium-Epitaxieschicht in dem Aussparungsabschnitt gebildet, um den Aussparungsabschnitt zu füllen. Das epitaktische Siliziumgermaniumwachstum wird so gesteuert, dass das Siliziumgermaniummaterial viel schneller entlang der Facettenausrichtung der unteren Ebene des Aussparungsabschnitts wächst, beispielsweise am {100}-Facette-Silizium, als entlang einer Facettenausrichtung der Seitenwand des Aussparungsabschnitts, beispielsweise an der {111} Siliziumfacette. Beispielsweise kann der Siliziumgermanium-Epitaxieprozess so ausgestaltet sein, dass das Wachstum von Siliziumgermanium in der {100}-Facette anstatt in der {111}-Facette zu begünstigen. Durch die Gitterabweichung bleibt das {100}-Facette-Siliziumgermanium nicht an der Seitenwand des Aussparungsabschnitts des {111}-Facette-Siliziums. Da die Siliziumgermanium-Epitaxieschicht im Wesentlichen entlang ihrer {100}-Facette und nicht entlang der {111}-Fläche wächst, ist die Siliziumgermanium-Epitaxieschicht im Wesentlichen flach relativ zu dem Boden des Aussparungsabschnitts, beispielsweise von dem {100}-Facette-Silizium. Wenn die Basis-Siliziumgermanium-Epitaxieschicht im Wesentlichen flach ist, tendieren auch die Epitaxieschichten des Siliziums und Siliziumgermaniums, die über der Basis-Siliziumgermanium-Epitaxieschicht angeordnet sind, dazu, flach zu sein.
  • In einer Verfahrensausführungsform ist ein erster Stapel Epitaxie-Siliziumschichten und Epitaxie-Siliziumgermaniumschichten über einem Substrat gebildet, beispielsweise einem Siliziumsubstrat. Die Epitaxie-Siliziumgermaniumschichten und die Epitaxie-Siliziumschichten sind vertikal in abwechselnder Weise gestapelt. Eine Siliziumgermaniumschicht in dem ersten Stapel weist eine erste Dicke und eine erste Germaniumkonzentration auf, beispielsweise ein atomares Verhältnis von Germanium unter dem Gesamtsilizium und - germanium. Eine Siliziumschicht in dem ersten Stapel weist eine zweite Dicke auf, die sich von der ersten Dicke des Siliziumgermaniums in dem ersten Stapel unterscheiden kann. In einer Ausführungsform werden die Siliziumschichten und die Siliziumgermaniumschichten in dem ersten Stapel unter Verwendung epitaktischer Prozesse gebildet und als Epitaxieschichten bezeichnet.
  • Ein Graben der das Siliziumsubstrat freilegt wird wenigstens teilweise in dem ersten Stapel der Epitaxieschichten gebildet. Optional wird ein Vorrichtungsabstandhalter aus Dielektrikum durch die Seitenwand des Grabens gebildet. Nach der Bildung des Grabens oder nach der Bildung des Vorrichtungsabstandhalters kann eine untere Oberfläche des Grabens eine Aussparung in dem Siliziumsubstrat umfassen. Normalerweise umfasst die Aussparung eine erste Aussparung, die ein relativ flacher Boden der Aussparung ist. Ein zweiter Abschnitt der Aussparung ist ein geneigter Seitenwandabschnitt, der an den flachen Boden angrenzt. Die tatsächlichen Formen oder Parameter der Aussparung können unter den Wafern variieren.
  • Ein kristallografisches anisotropes Ätzen wird ausgeführt, um die Form des Aussparungsabschnitts zu modifizieren. Insbesondere wird die Modifizierung durch den kristallografischen anisotropen Ätzprozess erreicht, der unterschiedliche Ätzraten für verschiedene kristalline Facetten/Ebenen des Substrats aufweist. Beispielsweise wird der kristallografische anisotrope Ätzprozess gesteuert, um höhere Ätzraten an den {110} {100}-Facetten aufzuweisen als an den {111}-Facetten. Infolgedessen wird die Seitenwand des Aussparungsabschnitts geändert, um im Wesentlichen an der {111}-Facette des Siliziumsubstrats zu liegen. Für das Silizium weist die {111}-Facette einen Winkel von etwa 54,7 Grad bezüglich der {100}-Ebene auf. Nach der Modifizierung weist die Seitenwand des Aussparungsabschnitts einen Winkel von etwa 54,7 Grad bezüglich des Bodenabschnitts auf. Andere Winkel der Seitenwand sind ebenfalls möglich, solange die Winkel bekannt und kontrolliert sind. Beispielsweise kann der Winkel der Seitenwand innerhalb eines Bereichs von etwa 45 Grad bis etwa 65 Grad gebildet werden, sodass dieser allgemein an dem Winkel zwischen der {111}-Facettenebene und der {100}-Ebene des Siliziums ausgerichtet sind.
  • Nach Modifizierung des Aussparungsabschnitts wird eine Basisschicht aus Siliziumgermanium in dem Aussparungsabschnitt durch einen Epitaxieprozess gebildet. Siliziumgermanium weist eine gute Lückenfüllungseigenschaft auf, sodass die geringe Unebenheit des Bodens der Aussparung mit der Siliziumgermanium-Basisschicht gefüllt werden kann. Weiter können die Epitaxie-Bedingungen so gewählt und gesteuert werden, dass das Siliziumgermaniummaterial sich nicht an der {111}-Facette bildet, beispielsweise durch Gitterabweichung. Infolgedessen ist die Siliziumgermanium-Basisschicht an den Kantenabschnitten im Wesentlichen facettenfrei und relativ flach. Abhängig von dem Stapel der Epitaxieschichten, die innerhalb des Grabens gebildet werden sollen, kann eine epitaktische Basisschicht aus Silizium über der Siliziumgermanium-Basisschicht gebildet sein. Da die Oberfläche der Siliziumgermanium-Basisschicht relativ flach ist, ist auch die Oberfläche der Silizium-Basisschicht relativ flach. Die obere Fläche der Silizium-Basisschicht ist im Wesentlichen auf einer Ebene mit dem Siliziumsubstrat. Das Siliziumgermanium und die Silizium-Basisschichten werden verwendet, um die Aussparung zu füllen und eine flache Fläche für die nachfolgende Abscheidung Epitaxieschichten innerhalb des Grabens zu erzeugen. Sie werden nicht verwendet, um einen Halbleiterkörper, beispielsweise einen Kanal einer Vorrichtung, zu bilden.
  • Ein zweiter Stapel Silizium-Epitaxieschichten und Siliziumgermanium-Epitaxieschichten sind in dem Graben über den Basisschichten gebildet. In einer Ausführungsform umfasst eine Siliziumgermanium-Epitaxieschicht in dem zweiten Stapel eine dritte Dicke und eine zweite Germaniumkonzentration. Eine Silizium-Epitaxieschicht in dem zweiten Stapel umfasst eine vierte Dicke. In einer Ausführungsform ist eine dritte Dicke der Siliziumgermaniumschicht in dem zweiten Stapel anders als die erste Dicke der Siliziumgermaniumschicht in dem ersten Stapel. Die vierte Dicke der Siliziumschicht in dem zweiten Stapel unterscheidet sich von der zweiten Dicke der Siliziumschicht in dem ersten Stapel. Ferner unterscheidet sich die zweite Germaniumkonzentration der Siliziumgermaniumschicht in dem zweiten Stapel von der ersten Germaniumkonzentration der Siliziumgermaniumschicht in dem ersten Stapel.
  • Der erste Stapel der Epitaxieschichten und der zweite Stapel der Epitaxieschichten werden strukturiert, um eine ersten Finnenregion und eine zweite Finnenregion für eine erste Vorrichtung und eine zweite Vorrichtung zu bilden. Die erste Vorrichtung ist über der ersten Finnenregion gebildet. Die zweite Vorrichtung ist über der zweiten Finnenregion gebildet. Die Siliziumgermanium-Basisschicht und die Silizium-Basisschicht können auch unter der zweiten Finnenregion strukturiert sein. Die Siliziumgermanium-Basisschicht weist eine invertierte, sich verjüngende Seitenwand auf, die einen Winkel von etwa 54,7 Grad zu einer unteren Oberfläche der Siliziumgermaniumschicht aufweist.
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Umsetzung verschiedener Funktionen des beschriebenen Inhalts bereit. Spezifische Beispiele von Komponenten und Anordnungen sind nachfolgend beschrieben, um die vorliegende Beschreibung zu vereinfachen. Diese sind natürlich nur Beispiele und sind nicht als einschränkend vorgesehen. Beispielsweise kann die Bildung eines ersten Merkmals oder eines zweiten Merkmals in der folgenden Beschreibung Ausführungsformen enthalten, bei denen die ersten und zweiten Merkmale in direktem Kontakt ausgebildet sind, und sie kann außerdem Ausführungsformen enthalten, in denen weitere Merkmale zwischen dem ersten und zweiten Merkmal ausgebildet werden können, sodass die ersten und zweiten Merkmale nicht in direktem Kontakt stehen müssen. Weiterhin kann die vorliegende Offenbarung Referenzziffern und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und diktiert nicht für sich eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Ferner können räumlich relative Begriffe wie „unter“, „darunter“, „unterer“, „über“, „oberer“ und ähnliches hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den Figuren illustriert zu beschreiben. Die räumlich relativen Begriffe sollten zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in Verwendung oder Betrieb umfassen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die räumlich relativen Bezeichner, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden.
  • In der folgenden Beschreibung sind bestimmte spezifische Details dargelegt, um ein ausführliches Verständnis der verschiedenen Ausführungsformen der Offenbarung bereitzustellen. Ein Fachmann auf dem Gebiet versteht jedoch, dass die Offenbarung ohne diese spezifischen Details praktiziert werden kann. In andern Fällen sind bekannte Strukturen, die mit elektronischen Komponenten und Herstellungstechniken assoziiert sind, nicht ausführlich beschrieben, um die Beschreibungen der Ausführungsformen dieser Offenbarung nicht unnötig zu verschleiern.
  • Sofern der Zusammenhang es nicht anders verlangt, sind in dieser Beschreibung und den folgenden Ansprüchen das Wort „umfassen“ und seine Variationen, wie etwa „umfasst“ und „umfassend“ in einem offenen, inklusiven Sinn auszulegen, also im Sinn von „einschließlich, aber nicht beschränkt auf“.
  • Die Verwendung von Ordinalzahlen wie erste, zweite und dritte impliziert nicht notwendigerweise eine Rangordnung, sondern dient nur der Unterscheidung zwischen mehreren Fällen einer Handlung oder Struktur.
  • In diesen Vorgaben bedeutet ein Verweis auf „eine Ausführungsform“, dass ein bestimmtes Merkmal, eine Struktur oder Eigenschaft, die in Verbindung mit der Ausführungsform beschrieben ist mindestens einer Ausführungsform enthalten ist. Daher bezieht sich das Auftreten der Begriffe „in einer Ausführungsform“ an verschiedenen Stellen in dieser Vorgabe nicht notwendigerweise immer auf dieselbe Ausführungsform. Weiter können die spezifischen Funktionen, Strukturen oder Eigenschaften in jeder geeigneten Weise in einer oder mehreren Ausführungsformen kombiniert werden.
  • Wie in dieser Beschreibung und den anliegenden Ansprüchen verwendet, umfassen die Singularformen „ein“, „eine“ und „der/die/das“ auch Pluralverweise, sofern der Inhalt nicht klar etwas anderes verlangt. Es sollte angemerkt werden, dass der Begriff „oder“ allgemein in dem Sinn verwendet wird, der „und/oder“ umfasst, sofern der Inhalt nicht klar etwas anders verlangt.
  • Die „Gate All Around“- (GAA) Transistorstrukturen können mit jedem geeigneten Verfahren strukturiert werden. Beispielsweise können die Strukturen unter Verwendung eines oder mehrerer Photolithographieprozesse strukturiert werden, einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse. Allgemein kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Photolithographie und selbstausgerichtete Prozesse, was die Erstellung von Strukturen erlaubt, die beispielsweise Anstellwinkel aufweisen, die kleiner sind, als sonst unter Verwendung eines einzelnen direkten Photolithographieprozesses möglich wäre. Beispielsweise wird in einer Ausführungsform eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Photolithographieprozesses strukturiert. Abstandhalter werden zusammen mit der strukturierten Opferschicht unter Verwendung eines selbstausgerichteten Prozesses gebildet. Die Opferschicht wird dann entfernt und die verbleibenden Abstandhalter können dann verwendet werden, um die GAA-Struktur zu strukturieren.
  • 1 ist eine Querschnittsansicht einer beispielhaften integrierten Schaltungsstruktur „IC“ 100, die eine CMOS-Komponente umfasst. Mit Verweis auf 1 umfasst die IC 100 ein Substrat 102. Optional umfasst das Substrat 102 eine n-dotierte Region „n-Well“ 104 und eine p-dotierte Region „p-Well“ 106. Eine erste Vorrichtung 110, beispielsweise ein pFET, ist über und/oder innerhalb des n-Well 104 gebildet. Eine zweite Vorrichtung 150, beispielsweise ein nFET, wird über und/oder innerhalb des p-Well 106 gebildet. Ein oder mehrere dielektrische Körper 108 (auch bezeichnet als Vorrichtungsabstandhalter 108) sind positioniert, um die erste Vorrichtung 110 und die zweite Vorrichtung 150 voneinander zu trennen. Es sollte beachtet werden, dass abhängig von Vorrichtungsdesigns oder - konfigurationen das n-Well 104 oder das p-Well 106 möglicherweise nicht für das Substrat 102 benötigt werden. In der Beschreibung hierin werden das n-Well 104 und das p-Well 106 zur Illustration verwendet und verallgemeinernd als Substratregion 104 und Substratregion 106 bezeichnet.
  • Die erste Vorrichtung 110 und die zweite Vorrichtung 150 umfassen jeweils einen vertikalen Stapel mehrerer (vier sind rein zu Illustrationszwecken dargestellt) diskreter HalbleiterNanodraht-Streifen 120 bzw. 160. In einer Ausführungsform sind die Nanodraht-Streifen 120 Siliziumgermanium und die Nanodraht-Streifen 160 sind Silizium. Die erste Vorrichtung 110 und die zweite Vorrichtung 150 umfassen je eine Gatestruktur 130, 170, die mindestens einen der jeweiligen diskreten Nanodraht-Streifen 120, 160 umgibt, beispielsweise umwickelt. Die diskreten Nanodraht-Streifen 120, 160 sind als Kanalregionen der Vorrichtungen 110, 150 konfiguriert und bilden Verbindungen mit jeweiligen Source/Drain-Regionen 140, 180. Die Source/Drain-Regionen 140, 180 sind von den jeweiligen Gates 130, 170 durch innere Abstandhalter 134, 174 und/oder äußere Abstandhalter 132, 172 getrennt.
  • In einer Ausführungsform ist die Vorrichtung 110 als ein pFET konfiguriert und die Vorrichtung 150 ist als ein nFET konfiguriert. Die Nanodraht-Streifen 120 sind Siliziumgermanium oder andere geeignete Halbleitermaterialien. Die Source/Drain-Region 140 ist Siliziumgermanium („SiGe“) oder Siliziumgermaniumbor („SiGeB“) oder andere geeignete Halbleitermaterialien für P-Vorrichtungen. Die Source/Drain-Region 180 ist Siliziumkarbonphosphid („SiCP“), Siliziumkarbid („SiC“) oder Siliziumphosphid („SiP“), oder andere geeignete Halbleitermaterialien für N-Vorrichtungen. Die Source/Drain-Regionen 140, 180 können jeweils in verschiedenen Weisen dotiert sein. Beispielsweise ist die Source/Drain-Region 140 mit Bor, Gallium, Indium und anderen geeigneten Dotiermitteln in Gruppe III dotiert. Die Source/Drain-Regionen 180 sind mit Arsen, Phosphor und anderen geeigneten Dotiermitteln in Gruppe V dotiert.
  • 1 zeigt beispielhafte Ausführungsformen der Source/Drain-Strukturen 140, 180. In der dargestellten Ausführungsform kontaktiert die Source/Drain-Struktur 140, 180 jeweils die jeweiligen Nanodraht-Streifen 120, 160 mit den Kantenflächen der Nanodraht-Streifen 120, 160. Ferner grenzt die Source/Drain-Struktur 140, 180 jeweils an eine jeweilige Dielektrikumschicht 142, 182 an. Die Dielektrikumschichten 142, 182 können eine selbe Schicht oder zwei verschiedene Schichten sein. Die Dielektrikumschichten 142, 182 können dasselbe Dielektrikum umfassen wie der Vorrichtungsabstandhalter 108 oder von dem des Vorrichtungsabstandhalters 108 verschiedene Dielektrika umfassen. Die Dielektrikumschichten 142, 182 können Siliziumoxid, Siliziumnitrid, ein Dielektrikum mit niedrigem K-Wert oder andere geeignete Dielektrika sein.
  • 1 zeigt als ein illustratives Beispiel eine beispielhafte strukturelle Konfiguration zwischen den Source/Drain-Regionen 140, 180 und den Kanalregionen 120, 160. Speziell kontaktiert die Source/Drain-Region 140, 180 jeweils die Kantenflächen der jeweiligen Kanäle 120, 160. Diese beispielhafte Ausführungsform begrenzt nicht den Umfang der Offenbarung und anderen Ausführungsformen. Andere strukturelle Konfigurationen der Source/Drain-Struktur 140/180 und der Halbleiter-Nanodraht-Streifen 120/160 sind ebenfalls möglich und in der Offenbarung enthalten. Beispielsweise können sich die Source-Drain-Strukturen 140/180 um mindestens einige der jeweiligen Halbleiter-Nanodraht-Streifen 120/160 wickeln. Die Nanodraht-Streifen 120, 160 können zurückgesetzt sein (wie in 1 dargestellt), oder sich vollständig um die jeweiligen Dielektrikumschichten 142, 182 erstrecken.
  • Das Substrat 102 kann ein Siliziumsubstrat in kristalliner Struktur und/oder andere Halbleiter wie Germanium umfassen. Alternativ oder weiterhin kann das Substrat 102 einen Verbindungshalbleiter wie etwa Siliziumkarbid, Galliumarsenid, Indiumarsenid und Indiumphosphid enthalten. Ferner kann das Substrat 102 eine Silizium-auf-Isolator- (SOI) Struktur enthalten. Das Substrat 102 kann eine Epitaxieschicht umfassen und/oder zur Leistungsverbesserung verspannt werden.
  • In einer Ausführungsform umfasst das Substrat 102 eine Facettenregion der {100}-Facette des Siliziums.
  • In einer Ausführungsform sind die Gatestrukturen 130, 170 jeweils als ein Ersatzmetallgate gebildet. Folgende Beschreibung führt Beispiele von Materialien für die Gatestruktur 130, 170 auf. Die Gateelektroden der Gates 130, 170 (zur Vereinfachung nicht getrennt dargestellt) umfassen jeweils ein leitfähiges Material, beispielsweise ein Metall oder eine Metallverbindung. Geeignete Metallmaterial für die Gateelektrode der Gatestrukturen 130, 170 umfassen Ruthenium, Palladium, Platin, Wolfram, Kobalt, Nickel und/oder leitfähige Metalloxide und andere geeignete P-Metallmaterialien und umfassen Hafnium (Hf), Zirconium (Zr), Titan (Ti), Tantal (Ta), Aluminium (Al), Aluminide und/oder leitfähige Metallkarbide (beispielsweise Hafniumkarbid, Zirconiumkarbid, Titankarbid, und Aluminiumkarbid), sowie andere geeignete Materialien für n-Metallmaterialien. In einigen Beispielen umfassen die Gateelektroden der Gatestrukturen 130, 170 je eine Arbeitsfunktionsschicht, die angepasst ist, eine korrekte Arbeitsfunktion für verbesserte Leistung der Feldeffekttransistorvorrichtungen aufzuweisen. Beispielsweise umfassen geeignete n-Arbeitsfunktionsmetalle Ta, TiAl, TiAlN, TaCN ein anderes n-Arbeitsfunktionsmetall, oder eine Kombination daraus, und p-Arbeitsfunktionsmetallmaterialien umfassen TiN, TaN, ein anderes p-Arbeitsfunktionsmetall, oder eine Kombination daraus. In einigen Beispielen wird eine leitfähige Schicht, wie etwa eine Aluminiumschicht, eine Kupferschicht, eine Kobaltschicht oder eine Wolframschicht über der Arbeitsfunktionsschicht gebildet, sodass die Gateelektroden der Gatestrukturen 130, 170 je eine Arbeitsfunktionsschicht, die über dem Gatedielektrikum angeordnet ist, und eine leitfähige Schicht, die über der Arbeitsfunktionsschicht und unter einer Gateabdeckung (aus Gründen der Einfachheit nicht dargestellt) angeordnet ist, umfassen. In einem Beispiel weisen die Gateelektroden der Gatestrukturen 130, 170 je eine Dicke auf, die von etwa 5 nm bis etwa 40 nm reicht, abhängig von Designanforderungen.
  • In beispielhaften Ausführungsformen umfasst die Gatedielektrikumschicht (aus Gründen der Einfachheit nicht getrennt dargestellt) der Gatestrukturen 130, 170 eine Schnittstellensiliziumoxidschicht (aus Gründen der Einfachheit nicht getrennt dargestellt), beispielsweise thermales oder chemisches Oxid mit einer Dicke von etwa 5 bis etwa 10 Ångstrom (Å). In beispielhaften Ausführungsformen umfasst die Gatedielektrikumschicht ferner ein Dielektrikum mit hoher dielektrischer Konstante (hoher k-Wert), gewählt aus einem oder mehreren von Hafniumoxid (HfO2), Hafniumsiliziumoxid (HfSiO), Hafniumsiliziumoxynitrid (HfSiON), Hafniumtantaloxid (HfTaO), Hafniumtitanoxid (HfTiO), Hafniumzirconiumoxid (HfZrO), Kombinationen daraus und/oder anderen geeigneten Materialien. Ein Dielektrikum mit hohem k-Wert umfasst in einigen Anwendungen eine dielektrische Konstante (k) von mehr als 6. Abhängig von den Designanforderungen wird ein Dielektrikum mit einem dielektrischen Kontaktwert (k) von 7 oder mehr verwendet, die Dielektrikumschicht mit hohem K-Wert kann durch Atomlagenabscheidung (ALD) oder andere geeignete Techniken gebildet werden. Nach hierin beschriebenen Ausführungsformen umfasst die Dielektrikumschicht mit hohem k-Wert der Gatedielektrikumschicht eine Dicke von etwa 10 bis etwa 30 Ångstrom (Å) oder eine andere geeignete Dicke.
  • In einer Ausführungsform wird der äußere Abstandhalter 132, 172 aus einem Dielektrikum mit niedrigem k-Wert gebildet, beispielsweise einem k-Wert unter 3,9, wie etwa Siliziumoxynitrid (SiON), Siliziummonoxid (SiO), Siliziumoxynitrokarbid (SiONC), Siliziumoxykarbid (SiOC), Vakuum und anderen Dielektrika oder anderen geeigneten Materialien. Der äußere Abstandhalter 132, 172 kann durch chemische Dampfphasenabscheidung (CVD), hochdichtes Plasma CVD, Spin-on, Sputtern oder andere geeignete Ansätze gebildet werden.
  • In einer Ausführungsform sind die inneren Abstandhalter 134, 174 aus einem Dielektrikum mit niedrigem K-Wert gebildet. In einigen Ausführungsformen kann das Dielektrikum mit niedrigem k-Wert der inneren Abstandhalter 134, 174 eine andere dielektrische Konstante aufweisen als die des jeweiligen äußeren Abstandhalters 132, 172. Das Material mit niedrigem k-Wert für den inneren Abstandhalter 134, 174 umfasst eines oder mehr aus Siliziumoxynitrid (SiON), Siliziummonoxid (SiO), Siliziumoxynitrokarbide(SiONC), Siliziumoxykarbid (SiOC) oder ein anderes geeignetes Dielektrikum mit niedrigem k-Wert. In einigen Ausführungsformen ist der k-Wert der Materialien des inneren Abstandhalters 134, 174 derselbe, wie der k-Wert des Materials des äußeren Abstandhalters 132, 172. In einem Beispiel umfasst der innere Abstandhalter 134, 174 eine oder mehrere Luftspalten, die an eine oder mehrere der jeweiligen Gatestruktur 130, 170 oder der jeweiligen Source/Drain-Struktur 140, 180 angrenzen.
  • In einer Ausführungsform ist eine Basisschicht 190 innerhalb des Substrats 102 und unter einer oder mehreren der Vorrichtung 110 oder Vorrichtung 150 platziert. 1 zeigt als ein illustratives Beispiel, dass die Basisschicht 190 innerhalb der Substratregion 104 und unter der Vorrichtung 110 positioniert ist, was den Umfang der Offenbarung nicht einschränkt. In einer Ausführungsform umfasst die Basisschicht 190 eine Siliziumgermanium-Basisschicht 192, die direkt über dem darunterliegenden Substrat 102 gebildet ist, beispielsweise dem N-Well 104. In einigen Szenarios ist eine Silizium-Basisschicht 194 über der Siliziumgermanium-Basisschicht 192 gebildet, was beide Teile der Basisschicht 190 ist. In einigen Ausführungsformen kann die Basisschicht 190 mehrere Siliziumgermanium-Basisschichten und mehrere Silizium-Basisschichten umfassen, die vertikal in abwechselnder Weise gestapelt sind. In einer Ausführungsform ist eine Fläche 190S der Basisschicht 190 im Wesentlichen auf derselben Ebene wie eine Fläche 102S des Substrats 102.
  • In einer Ausführungsform umfasst die Basisschicht 190 einen Bodenabschnitt 190B und einen geneigten Seitenwandabschnitt 190SW. Ein Winkel θ1 zwischen dem Bodenabschnitt 190B und dem geneigten Seitenwandabschnitt 190SW liegt in einem Bereich zwischen etwa 5 Grad und etwa 89 Grad. In einer Ausführungsform liegt der Winkel θ1 in einem Bereich zwischen etwa 45 Grad und etwa 65 Grad. In einer weiteren Ausführungsform ist in einem Fall, in dem das Substrat 102 kristallines Silizium ist, der Winkel θ1 im Wesentlichen etwa 54,7 Grad.
  • Eine Dicke T1 der Siliziumgermanium-Basisschicht 192 liegt zwischen etwa 2 nm und der Größe der kritischen Dicke des Siliziumgermaniummaterials der Siliziumgermanium-Basisschicht 192. Die kritische Dicke eines Siliziumgermaniummaterials hängt von einer Germaniumkonzentration des Siliziumgermaniummaterials ab. Die kritische Dicke von Si1-xGe x bezieht sich auf eine maximale Dicke von Si1-xGex, die über Si aufgewachsen werden kann, bevor die Verspannung durch Bildung von Abweichungsverschiebungen entspannt wird. Die kritische Dicke von Si1-xGex hängt stark von dem Germaniumgehalt ab, d. h. vom „x“. Speziell führt eine höhere Germaniumkonzentration zu einer geringeren kritischen Dicke des Siliziumgermaniummaterials. Beispielsweise liegt in einem Fall, in dem die Germaniumkonzentration der Germaniumbasisschicht 190 etwa 20 % beträgt die Dicke T1 in einem Bereich zwischen etwa 2 nm und etwa 60 nm.
  • Die Nanodraht-Streifen 120 und die Nanodraht-Streifen 160 sind aus Stapeln Epitaxieschichten gebildet, die einzeln in verschiedenen Epitaxie-Wachstumsprozessen abgeschieden werden. In einer Ausführungsform werden die Epitaxieschichten für die Nanodraht-Streifen 160 in einer ersten Region abgeschieden, während die Epitaxieschichten für die Nanodraht-Streifen 120 in einer zweiten Region abgeschieden werden, die in der ersten Region enthalten ist. Speziell sind die Epitaxieschichten für die Nanodraht-Streifen 120 in einem Graben gebildet, der in den Epitaxieschichten für die Nanodraht-Streifen 160 gebildet ist. Die Abscheidungsverfahren sind hierin ausführlich erklärt. Die Nanodraht-Streifen 120 und die Nanodraht-Streifen 160 können eine unterschiedliche Dicke umfassen. Weil die Opferstreifen, die verwendet werden, um eine der Vorrichtungen 110, 150 herzustellen, und die Nanodraht-Streifens 120, 160 der anderen der ersten Vorrichtung 110 oder der zweiten Vorrichtung 150 nicht aus denselben Epitaxieschichten hergestellt sind, richten sie sich nicht notwendigerweise aneinander aus. Daher richtet sich ein innerer Abstandhalter 134, 174 einer der Vorrichtungen 110, 150 möglicherweise nicht seitlich an einem Nanodraht-Streifen 160, 120 der anderen der Vorrichtungen 150, 110 aus. Es ist erneut zu beachten, dass die Nanodraht-Streifen 120 und die Nanodraht-Streifen 160 sind aus Stapeln von Epitaxieschichten gebildet, die einzeln in verschiedenen Epitaxieverfahren abgeschieden werden, wie hierin ausführlicher beschrieben ist.
  • 1 zeigt, dass das n-Well 104 und das p-Well 106 aneinander angrenzend gebildet sind, was nicht einschränkend ist. In anderen Ausführungsformen sind das p-Well 106 und das n-Well 104 möglicherweise durch einen oder mehrere Isolierungskörper getrennt, beispielsweise durch Shallow-Trench-Isolierung („STI“). 1 zeigt, dass ein Dual-Tub-Prozess verwendet wird, d. h. das p-Well 106 und n-Well 104 sind in dem Substrat 102 gebildet. Andere Prozesse, wie etwa ein p-Well-Prozess in einem n-Substrat oder ein n-Well-Prozess in einem p-Substrat, sind ebenfalls möglich und in der Offenbarung enthalten. Das heißt, es ist möglich, dass eine der Substratregionen 104, 106 sich in einer dotierten lokalen Region befindet, und die andere in dem dotierten Substrat. Es ist außerdem möglich, dass die Substratregion 104 und die Substratregion 106 intrinsisch oder intrinsisch dotiert sind, beispielsweise unabsichtlich dotiert.
  • 2 zeigt einen beispielhaften Prozess der Herstellung einer IC-Vorrichtung, beispielsweise die beispielhafte -IC 100 aus 1. 3A bis 3J zeigen in Querschnittsansichten verschiedene Stufen eines Wafers 300 im Verlauf der Herstellung der Beispiel-IC 100 nach dem Beispielprozess aus 2.
  • In der Beispieloperation 205 wird ein Wafer 300 aufgenommen. 3A zeigt, dass Wafer 300 ein Substrat 102 umfasst, das die Substratregion 104 umfasst, beispielsweise ein n-Well, und eine Substratregion 106, beispielsweise ein p-Well, die an einer oberen Fläche 102S des Substrats 102 koplanar zueinander sind. Als ein illustratives Beispiel ist das Substrat 102 ein Siliziumsubstrat in der kristallinen Facette von {100}. 3A zeigt als nicht einschränkendes illustratives Beispiel, dass die Substratregion 104 und die Substratregion 106 eine Schnittstelle zueinander haben. Das n-Well 104 kann in verschiedenen Ansätzen mit Dotiermitteln oder Unreinheiten von Gruppe-V-Elementen dotiert sein, wie etwa Arsen oder Phosphor oder verschiedenen Kombinationen daraus. Das p-Well 106 kann in verschiedenen Ansätzen mit Dotiermitteln oder Unreinheiten von Gruppe-III-Elementen dotiert sein, wie etwa Bor oder Gallium oder verschiedenen Kombinationen daraus.
  • In Beispieloperation 210 wird ebenfalls mit Verweis auf 3B ein erster Stapel 310 Halbleiterepitaxieschichten global auf der Substratregion 104 und der Substratregion 106 gebildet. Der Begriff „global“ bezieht sich darauf, dass der erste Stapel 310 auf den beiden Substratregionen 104 und 106 gebildet wird, statt „lokal“ auf entweder der Substratregionen 104 oder der Substratregion 106. Der Begriff „global“ bezeichnet wie hierin verwendet nicht notwendigerweise, dass der erste Stapel 310 über die gesamte Fläche des Wafers gebildet wird. In einer Ausführungsform umfasst der erste Stapel 310 mehrere Epitaxieschichten 312 (vier Schichten, die als ein illustratives Beispiel dargestellt sind) eines ersten Halbleitermaterials und mehrere Epitaxieschichten Schicht 314 (vier Schichten, die als ein illustratives Beispiel dargestellt sind) eines zweiten Halbleitermaterial. In einer Ausführungsform sind die Schichten 312 Siliziumgermanium und die Schichten 314 sind Silizium.
  • In einer Ausführungsform weisen die Siliziumgermanium-Epitaxieschichten 312 je eine Dicke T2 auf, die in einem Bereich zwischen etwa 2 nm und etwa 6 nm liegt. Die Siliziumgermanium-Epitaxieschichten 314 je eine Dicke T3 auf einem Bereich zwischen etwa 4 nm und etwa 10 nm liegt. In einer Ausführungsform ist die Dicke T3 der Silizium-Epitaxieschichten 314 größer als die Dicke T2 der Siliziumgermanium-Epitaxieschichten 312. In einer Ausführungsform ist die Dicke T3 der Silizium-Epitaxieschichten 314 etwa 8 nm und die Dicke T2 der Siliziumgermanium-Epitaxieschichten 312 beträgt etwa 5 nm.
  • Die Epitaxieschichten 312, 314 des ersten Stapels 310 werden unter Verwendung von chemischer Dampfphasenabscheidung bei reduziertem Druck („RP-CVD“), plasmaverstärkter chemischer Dampfphasenabscheidung („PECVD“), CVD bei atmosphärischem Druck, induktiv gekoppelter PECVD, Heißdraht-CVD, Atomlagenabscheidung, Molekularlagenabscheidung der anderen geeigneten Epitaxieansätzen gebildet.
  • In Beispieloperation 215 wird ebenfalls mit Verweis auf 3C wird ein Graben 320 durch den ersten Stapel 310 über der ersten Substratregion 104 gebildet. Beispielsweise wird eine Oxidmaskenschicht oder eine Photoresistschicht 321 gebildet und strukturiert, um die Fläche offenzulegen, um den Graben 320 zu bilden. Der Graben 320 legt mindestens einen Abschnitt des ersten Substratabschnitts 104 offen. In einer Ausführungsform wird der Graben 320 durch einen Trockenätzprozess, wie etwa ein reaktives Ionenätzen („RIE“) oder andere geeignete Trockenätzprozesse gebildet. Da der RIE richtungsgebunden ist, sind die Seitenwände 320SW des Grabens 320 relativ lotrecht. Davon wird abgeleitet, dass der Boden 320B des Grabens 320 relativ flach ist. In der tatsächlichen Produktion kann das RIE einen Boden 320B erzeugen, der einen relativ flachen zentralen Bodenabschnitt 320BB und einen geneigten unteren Seitenwandabschnitt 320BS umfasst. In 3C sind der zentrale Bodenabschnitt 320BB und der geneigte untere Seitenwandabschnitt 320BS unter Verwendung gepunkteter Linien illustriert.
  • In Beispieloperation 220 wird ebenfalls mit Verweis auf 3D eine konforme Dielektrikumschicht 322 über dem Wafer 300 abgeschieden, die einen ersten Stapel 310 und den Graben 320 umfasst. Die Dielektrikumschicht 322 ist Oxid, Nitrid oder ein anderes geeignetes Dielektrikum und wird durch CVD, ALD oder andere geeignete Abscheidungstechniken gebildet. Die Abscheidungsdicke der Dielektrikumschicht 322 beträgt zwischen etwa 5 nm und etwa 60 nm. Es ist auch möglich, dass die Dielektrikumschicht 322 nur innerhalb des Grabens 320 abgeschieden wird und nicht über dem ersten Stapel 310 abgeschieden wird. In einer Ausführungsform bleibt die Maskenschicht 321 zurück und deckt den ersten Stapel 310 ab.
  • In Beispieloperation 225 wird ebenfalls mit Verweis auf 3E ein Vorrichtungsabstandhalter 108 zwischen dem ersten Stapel 310 und dem Graben 320 gebildet. Speziell trennt der Vorrichtungsabstandhalter 108 seitlich den Graben 320 von dem ersten Stapel 310. Der Vorrichtungsabstandhalter 108 wird durch Strukturierung der Dielektrikumschicht 322 gebildet. Die Abmessung(en) des Vorrichtungsabstandhalters 108 können sich in den nachfolgenden Prozessen ändern, beispielsweise nachdem die Maskenschicht 321 später entfernt wird, was im Bereich der Halbleiterwafer-Herstellung geschätzt wird.
  • Wenn der Vorrichtungsabstandhalter 108 gebildet ist, kann der Boden 320B des Grabens 320 weiter durch das Ätzen beeinflusst werden und der Boden 320B kann tatsächlich einen relativ flachen Bodenabschnitt 320BB und einen geneigten unteren Seitenwandabschnitt 320BS umfassen, wie mit der gepunkteten Linie gezeigt.
  • In Beispieloperation 230 wird ebenfalls mit Verweis auf 3F ein Aussparungsabschnitt 330 durch Modifizierung des Bodens 320B des Grabens 320 gebildet. In einer Ausführungsform wird die Modifizierung durch ein anisotropes Ätzen erreicht, das verschiedene Ätzraten für verschiedene kristalline Facettenausrichtungen des Materials des Substrats 102 aufweist. Beispielsweise können, wenn das Substrat 102 Silizium ist, ein oder mehrerer Ätzmittel aus Ammoniak, HCI, KOH, TMAH oder EDP verwendet werden, um das kristallografische anisotrope Ätzen umzusetzen. Beispielsweise sind für Ammoniak die relativen Ätzraten unter verschiedenen Siliziumfacetten {110} > {100} > > {110}. Für HCI sind die Ätzratendifferenzen zwischen den Facetten {100}, {110}, {111} 10 : 78 : 9. Für KOH sind die Ätzratendifferenzen zwischen den Facetten {100}, {110}, {111} 300 : 600 : 1. Für TMAH sind die Ätzratendifferenzen zwischen den Facetten {100}, {110}, {111} 37 : 68 : 1. Für EDP sind die Ätzratendifferenzen zwischen den Facetten {100}, {110}, {111} 20 : 10 : 1. Wenn geeignete Ätzmittel im Ätzprozess eingesetzt werden, wird ein entsprechendes Profil des Aussparungsabschnitts 330 gebildet. Beispielsweise bilden in dem Beispiel, in dem das Substrat 102 eine {100}-Facette von Silizium ist, die KOH- oder TMAH-Ätzmittel einen Aussparungsabschnitt 330, der einen relativ flachen Bodenabschnitt 330B und einen geneigten Seitenwandabschnitt 330BS aufweist, der im Wesentlichen in der {111}-Facette von Silizium liegt. Diese Form wird durch die großen Unterschiede der Ätzrate zwischen den Facetten {100}, {110} und {111} von Silizium erreicht. Beispielsweise ist in einer Ausführungsform ein Winkel θ2 zwischen der Seitenwand 330BS und dem Boden 330B des Aussparungsabschnitts 330 etwa 54,7 Grad, was im Grund dem Winkel zwischen der {111}-Facettenebene und der {100}-Facettenebene von Silizium entspricht.
  • Wenn verschiedene Ätzmittel und verschiedene Ätzbedingungen gewählt werden, beispielsweise die Ätzmittelösungskonzentration, Temperatur, Druck usw., variieren die Profile oder Formen des Aussparungsabschnitts 330. Beispielsweise kann der Winkel θ1 zwischen etwa 5 Grad und etwa 89 Grad variieren. Dieser Winkelbereich ist wichtig, um zu erhalten, dass die Seitenwand 330BS geneigt und nicht lotrecht/vertikal ist, beispielsweise bei 90 Grad. Eine Tiefe D1 des Aussparungsabschnitts 330, beispielsweise von dem Bodenabschnitt 330B zur Fläche des Substrats 102, kann ebenfalls von etwa 2 nm bis etwa 80 nm variieren. Alle diese Variationen sind jedoch gesteuerte oder steuerbare Variationen. So wird das entstehenden Profil oder die Form des Aussparungsabschnitts 330, beispielsweise die Parameter des Winkels θ2 und/oder der Tiefe D1 relativ bestimmt oder durch Simulation oder experimentelle Daten bekannt. Diese bekannten Parameter des entstehenden Aussparungsabschnitts 330 ermöglichen Techniken, den Aussparungsabschnitt 330 in korrekter Weise zu füllen, sodass eine Fläche der Füllschicht oder -schichten relativ flach ist.
  • In einer Ausführungsform wird, da der anisotrope Ätzprozess nach dem Bilden des Vorrichtungsabstandhalters 108 ausgeführt wird, ein Abschnitt des Substrats 102 unter dem Vorrichtungsabstandhalter 108 durch den Ätzprozess entfernt. Daher wird ein Unterschnitt gebildet und eine Kante 330E des Aussparungsabschnitts 330 erstreckt sich unter den Vorrichtungsabstandhalter 108.
  • In Beispieloperation 235 wird ebenfalls mit Verweis auf 3G eine Halbleiterbasisschicht 340 innerhalb des Aussparungsabschnitts 330 gebildet und füllt diesen. In einer Ausführungsform umfasst die Halbleiterbasisschicht eine Halbleiterbasisschicht aus einem Material, das Lückenfüllungseigenschaften aufweist. Beispielsweise ist ein Siliziumgermanium ein für die Lückenfüllungseigenschaften geeignetes Halbleitermaterial. In einer Ausführungsform umfasst die Halbleiterbasisschicht 340 eine oder mehrere Siliziumgermaniumschichten 342, wobei eine Siliziumgermaniumschicht 342 direkt auf dem darunterliegenden Substrat 102 liegt. Das heißt, kleine Lücken an dem Boden 340B des Aussparungsabschnitts 340 wenn vorhanden, werden durch die Siliziumgermaniumschicht 342 gefüllt, die nicht an die obere Fläche 342U der Siliziumgermanium-Basisschicht 342 übertragen werden.
  • In einer Ausführungsform wird ein Epitaxieprozess verwendet, um die Siliziumgermanium-Basisschicht 342 abzuscheiden. Der Epitaxieprozess wird so angepasst, dass das Siliziumgermaniummaterial schneller entlang der Facettenausrichtung 346 des Bodens 330B wächst, als entlang der Facettenausrichtung 348 der Seitenwand 330BS des Aussparungsabschnitts 330. Daher wird das epitaktische Wachstum entlang der Facettenausrichtung 348 verhindert und die Siliziumgermanium-Basisschicht 342 wird im Wesentlichen entlang der Ausrichtung 346 abgeschieden und weist eine relativ flache obere Fläche 342U auf. Beispielsweise ist, in einem Fall, in dem die geneigte Seitenwand 330BS sich an der {111}-Facettenebene des Siliziums befindet und sich der Boden 330B an der {100}-Facettenebene des Siliziums befindet, der Siliziumgermanium-Epitaxieprozess angepasst um {100}-Facette-Siliziumgermanium aufzubringen. Durch Gitterabweichung bleibt das aufgewachsene Siliziumgermanium der {100}-Facette nicht auf der geneigten Seitenwand 330BS des {111}-Facette-Siliziums. Beispielsweise kann der Epitaxieprozess Temperaturen in einem Bereich zwischen etwa 500 °C und etwa 650 °C, und einen Druckbereich zwischen etwa 10 Torr und 300 Torr umfassen. Die Vorläufer können eines oder mehr aus SiH2Cl2 („Dichlorosilan“) und GeH4 („German“), SiH4, Si2H6 und Ge2H6, oder andere geeignete Vorläufer für Silizium und Germanium sein.
  • Es sollte beachtet werden, dass der Siliziumgermanium-Basisschicht-Epitaxieprozess basierend auf bekannten oder bestimmten Parametern der Aussparung 330, beispielsweise des Winkels θ2 der Seitenwand 330BS, eingestellt wird. Wenn der Winkel θ2 nicht genau 54,7 Grad beträgt, beispielsweise bei der {111}-Facette, kann die Facettenausrichtung 348 der Seitenwand 330BS Komponenten der {100}-Facette, der {no}-Facette und der {111}-Facette umfassen. Die Feineinstellung des Epitaxieprozesses bestimmt einen geeigneten Satz von Epitaxie-Bedingungen, um das selektive Wachstum der Siliziumgermaniumschicht 342 entlang der Facettenausrichtung 346 statt der Facettenausrichtung 348 zu erreichen. Ferner kann die Beispieloperation 235 und die Beispieloperation 230 auch in umgekehrter Weise koordiniert werden, sodass der Winkel θ2 der Seitenwand 330BS basierend auf einem festen Epitaxieprozess bestimmt wird, beispielsweise mit bekannten Siliziumgermaniumabscheidungsraten unter verschiedenen Facettenausrichtungen. Damit wird ein geeigneter kristallografischer anisotroper Ätzprozess gewählt, um den Aussparungsabschnitt 330 mit dem bestimmten Winkel θ2 zu bilden, der sich für den Epitaxieprozess eignet.
  • Wenn diese Technik angewendet wird, wird das Bodenprofil des Grabens 320 geändert oder bestimmt. Die Parameter wie der Winkel θ2 und die Tiefe D1 werden durch Simulation oder Experimente beschafft. Wenn solche Parameter bereitgestellt werden, kann der Epitaxieprozess der Bildung der Siliziumgermanium-Basisschicht 342 gesteuert werden, um eine relativ flache obere Fläche 342U zu erreichen.
  • Ferner ist, wenn die Tiefe D1 des Aussparungsabschnitts 330 bereitgestellt ist, die Abscheidungsdicke der Siliziumgermanium-Epitaxieschicht 342 genau entworfen und kann vor Ort während des Epitaxieprozesses beispielsweise durch oszillierende Quarzresonatoren überwacht werden. Eine solche Überwachung vor Ort erstellt im Wesentlichen Echtzeitfeedback für die Dickensteuerung in der Dünnfilmabscheidungskomponente des Epitaxieprozesses bereit. Daher wird die genauer Steuerung der Dicke der Epitaxieschicht 342 verbessert, indem die Epitaxieparameter während der Abscheidungsprozesse durch Echtzeitüberwachungs- und Feedbackansätze dynamisch optimiert werden.
  • Weiterhin stellt das Erstrecken des strukturellen Merkmals der Kante 330E unter den Vorrichtungsabstandhalter 108 ein Seitenverhältnisänderungsbenchmark für die Siliziumgermanium-Epitaxieschicht 342 bereit. Mit dem Seitenverhältnisänderungsbenchmark wird der Epitaxieprozess so gesteuert, dass die Siliziumgermanium-Epitaxieschicht 342 oder jede weitere Epitaxieschicht der Basisschicht 340 an der Kante 330E oder an der unteren Oberfläche 108L des Vorrichtungsabstandhalters 108 stoppen. Aufgrund dessen ist eine obere Fläche 340U im Wesentlichen auf derselben Ebene wie die obere Fläche 102U des Substrats 102, wo der Vorrichtungsabstandhalter 108 aufsitzt. Ferner erstreckt sich ein Kantenabschnitt 340E der Basisschicht 340 unter die untere Oberfläche 108L des Vorrichtungsabstandhalters 108.
  • In einer Ausführungsform kann, abhängig von dem Material der Epitaxieschichten, das über der Halbleiterbasisschicht 340 gebildet wird, eine weitere Basisschicht 344 über der Siliziumgermanium-Basisschicht 342 gebildet werden. Beispielsweise kann eine Silizium-Basisschicht 344 über der Siliziumgermanium-Basisschicht 342 gebildet werden. Ähnliche Steuerungen des epitaktischen Wachstums an der Facettenrichtung 348 der Seitenwand 33B und an der Facettenrichtung 346 des Bodens 330B werden auf die Abscheidung der Silizium-Basisschicht 344 angewendet. Aufgrund dessen ist die obere Fläche der Silizium-Basisschicht 344 relativ flach.
  • 3G zeigt als illustratives Beispiel, dass die Halbleiterbasisschicht 340 zwei Schichten 342 und 344 aus Siliziumgermanium bzw. Silizium umfasst. Dieses spezifische Beispiel beschränkt nicht den Umfang der Offenbarung. Die Basisschicht 340 kann andere Halbleitermaterialien umfassen, die sich für selektives epitaktisches Wachstum entlang verschiedener Facettenrichtung eignen. Ferner kann die Halbleiterbasisschicht 340 mehr als zwei Schichten aus unterschiedlichen Basisschichten umfassen, abhängig von der Tiefe D1 des Aussparungsabschnitts 330, der kritischen Dicke einer Basisschicht und der Materialien der Epitaxieschicht, die direkt auf der oberen Fläche 340U der Basisschicht 340 abgeschieden wird. Beispielsweise sollte eine Siliziumgermanium-Basisschicht 342 dünner sein als die kritische Dicke davon, um die strukturelle Integrität zu erhalten. Die kritische Dicke einer Siliziumgermaniumschicht hängt von einer Germaniumkonzentration darin ab. Beispielsweise liegt in einem Fall, in dem die Germaniumkonzentration bei etwa 20 % liegt, die kritische Dicke bei etwa 60 nm.
  • In einer Ausführungsform umfasst das Bilden der Basisschicht 340 einen Vorbrennprozess 238, der den Aussparungsabschnitt 330 vor dem Epitaxieprozess der Basisschicht 340 erhitzt. Der Vorbrennprozess entfernt das native Oxid am Boden des Aussparungsabschnitts 330. In einer Ausführungsform wird der Vorbrennprozess mit einer Flächentemperatur von 800 bis 950 °C, einem Flächendruck von 100 Torr bis 300 Torr und einem Wasserstofffluss von etwa 10 bis 100 Sekunden ausgeführt..
  • In Beispieloperation 240 wird ebenfalls mit Verweis auf 3H ein zweiter Stapel 350 Epitaxieschichten 352, 354 über der Basisschicht 340 und in dem Graben 320 gebildet. Der zweite Stapel 350 umfasst Siliziumgermanium-Epitaxieschichten 352 und Silizium-Epitaxieschichten 354, die vertikal abwechselnd gestapelt sind. In einer Ausführungsform unterscheidet sich eine Dicke T4 der Siliziumgermaniumschicht 352 in dem zweiten Stapel 350 von der Dicke T2 der Siliziumgermaniumschicht 312 in dem ersten Stapel 310. Eine Dicke T5 der Siliziumschicht 354 in dem zweiten Stapel 350 unterscheidet sich von der Dicke T3 der Siliziumschicht 314 in dem ersten Stapel 310. Ferner unterscheidet sich eine Dicke T4 der Siliziumgermaniumschicht 352 von der Dicke T5 der Siliziumschicht 354 in dem zweiten Stapel 350.
  • Ferner kann, da die Siliziumgermaniumschichten 352 in dem zweiten Stapel 350 getrennt von der Siliziumgermaniumschicht 312 des ersten Stapels 310 gebildet werden, die Siliziumgermaniumschichten 352 eine andere Germaniumkonzentration umfassen als die Siliziumgermaniumschicht 312.
  • Wie in 3H gezeigt, wird der zweite Stapel 350 gebildet. Die Maskenschicht 321 (3G) kann beispielsweise durch Ätzen oder Planarisierung entfernt werden, und der Vorrichtungsabstandhalter 108 kann abgeschnitten werden, um die vertikale Abmessung durch den Planarisierungsprozess zu verringern.
  • In einer Ausführungsform werden die Dicke T2, T3, T4, T5 einzeln für den ersten Stapel 310 und den zweiten Stapel 350 entworfen. Faktoren können umfassen, ob die jeweiligen Epitaxieschichten 312, 314, 352, 354 verwendet werden, um Kanal-Nanodraht-Streifen zu bilden, oder als Opfer-Nanodraht-Streifen. Faktoren können auch die jeweiligen Arten der Vorrichtungen umfassen, die daraus gebildet werden sollen. Der erste Stapel 310 und der zweite Stapel 350 werden einzeln ausgebildet, um verschiedene Arten von Vorrichtungen zu bilden, beispielsweise nFET- und pFET-Vorrichtungen. Unter Verwendung der offenbarten Techniken sind der erste Stapel 310 und der zweite Stapel 350 einzeln gebildet und die Dicke T2, T3, T4, T5 der Epitaxieschichten 312, 314, 352, 354 werden einzeln gesteuert, um die einzelnen Vorrichtungsdesigns oder Operationsdesigns für die jeweiligen Vorrichtungen, beispielsweise nFET und pFET, zu erfüllen.
  • In einer Ausführungsform befinden sich zum Vereinfachen der nachfolgenden Herstellungsprozesse die obere Fläche 310U und 350U des ersten Stapels 310 bzw. des zweiten Stapels 350 im Wesentlichen auf einer Ebene. Die Dicke T4 der Siliziumgermaniumschicht 352 des zweiten Stapels 350 ist im Wesentlichen dieselbe wie die Dicke T3 der Siliziumschicht 314 des ersten Stapels 310, hier beispielsweise 8 nm. Die Dicke T5 der Siliziumschicht 354 des zweiten Stapels 350 ist im Wesentlichen dieselbe wie die Dicke T2 der Siliziumgermaniumschicht 312 des ersten Stapels 310, hier beispielsweise 5 nm.
  • 3H zeigt, dass der erste Stapel 310 und der zweite Stapel 350 eine selbe Sequenzreihenfolge unter den jeweiligen Siliziumgermanium-Epitaxieschichten 312, 352 und den Silizium-Epitaxieschichten 314, 354 umfassen. Das heißt, die Stapel 310, 350 beginnen beide mit einer jeweiligen Siliziumgermanium-Epitaxieschicht 312, 352 am Boden und enden mit einer Silizium-Epitaxieschichten 314, 354 oben. Dieses illustrative Beispiel beschränkt nicht den Umfang der Offenbarung. Einer oder mehrere aus dem ersten Stapel 310 oder dem zweiten Stapel 350 kann mit einer Silizium-Epitaxieschicht am Boden beginnen, was auch in der Offenbarung möglich und eingeschlossen ist.
  • 3H zeigt als ein illustratives Beispiel, dass der zweite Stapel 350 und der erste Stapel 310 beide Silizium- und Siliziumgermanium-Epitaxieschichten umfassen. Dieses Beispiel beschränkt nicht den Umfang der Offenbarung. In anderen Beispielen kann der zweite Stapel 350 andere Materialkombinationen für die Epitaxieschichten aus denen des ersten Stapels 310 umfassen.
  • In einem GAA-Prozess werden die Silizium-Epitaxieschichten 314 des ersten Stapels 310 verwendet, um Nanodrahtkanalregionen der nFET-Vorrichtungen herzustellen, und die Siliziumgermanium-Epitaxieschichten 312 des ersten Stapels 310 werden verwendet, um Opfer-Nanodraht-Streifen herzustellen, die beispielsweise später entfernt werden sollen. Die Siliziumgermanium-Epitaxieschichten 352 des zweite Stapels 350 werden verwendet, um Nanodrahtkanalregionen von pFET-Vorrichtungen herzustellen, und die Silizium-Epitaxieschichten 354 des zweiten Stapels 350 werden verwendet, um Opfer-Nanodraht-Streifen herzustellen.
  • In Beispieloperation 245 wird ebenfalls mit Verweis auf 31 sind der erste Stapel 310 und der zweite Stapel 350 strukturiert, um einen ersten strukturierten Stapel 310P aus Siliziumgermanium-Nanodraht-Streifen 312 und Silizium-Nanodraht-Streifen 314 und einen zweiten strukturierten Stapel 350P aus Siliziumgermanium-Nanodraht-Streifen 352 und Silizium-Nanodraht-Streifen 354 zu bilden. In einer Ausführungsform sind der erste strukturierte Stapel 310P und der zweite strukturierte Stapel 350P finnenförmig.
  • In einer Ausführungsform kann die Strukturierung auf finnenförmige Substratabschnitte 106P, 104P (beide in gestrichelten Linien dargestellt) unter dem finnenförmigen ersten strukturierten Stapel 310P bzw. dem zweiten strukturiertem Stapel 350P bilden. Dielektrikumschichten könne um den finnenförmigen Substratabschnitt 106P, 104P bis zur Ebene der oberen Fläche 106U, 440U der zweiten Substratregion 106 bzw. der Basisschicht 440 gebildet werden. Der finnenförmige Substratabschnitt 104P umfasst einen strukturierten Abschnitt 190 (1) der Basisschicht 340.
  • In Beispieloperation 250 wird ebenfalls mit Verweis auf 3J eine nFET-Vorrichtung 150 und eine pFET-Vorrichtung 110 über dem ersten strukturierten Stapel 310P bzw. dem zweiten strukturierten Stapel 350P unter Verwendung eines „Gate-All-Around“-(„GAA“) Prozesses gebildet. Beispielsweise sind die Kanäle 160 der nFET-Vorrichtung 150 aus Silizium-Nanodraht-Streifen 314 hergestellt und die Kanäle 120 der pFET-Vorrichtung 110 sind aus Siliziumgermanium-Nanodraht-Streifen 352 hergestellt. Die Opfer-Nanodraht-Streifen 314 des Siliziumgermaniums werden entfernt und durch die Gatestruktur 170 der nFET Vorrichtung 150 ersetzt. die Opfer-Nanodraht-Streifen 354 des Silizium wird entfernt und durch die Gatestruktur 130 der pFET Vorrichtung 110 ersetzt.
  • Die Basis-Siliziumgermaniumschicht 192 (strukturiert von der Basisschicht 342) und die Basissiliziumschicht 194 (strukturiert von der Basisschicht 344) sind Teil des strukturierten Substrats 104P unter der pFET-Vorrichtung 110. Die Basis-Siliziumgermaniumschicht 192 und die Basissiliziumschicht 194 werden nicht verwendet, um die Kanalregion 120 herzustellen, weil ihre Abscheidung angepasst ist, um den Aussparungsabschnitt 330 zu füllen, und nicht für die Kanalregion(en).
  • Da sich der Kantenabschnitt 340E der Basisschicht 340 unter den Vorrichtungsabstandhalter 108 erstreckt, bleibt zumindest ein Abschnitt des Kantenabschnitts 340E nach der Strukturierung des Substrats 104 und der Bildung der finnenförmigen Substratstruktur 104P zurück. In einer Ausführungsform grenzen die verbleibenden Kantenabschnitte 340E oben an den Vorrichtungsabstandhalter 108 und an der Seite an die Dielektrikumschicht 360 an. Die verbleibenden Kantenabschnitte 340E sind Teil der Basisschicht 190, die beide aus derselben Basisschicht 340 strukturiert werden.
  • Wie erklärt, zeigt 3J die Vorrichtungen 110, 150 aus einer anderen Ansicht als 1. 1 und 3J sind einzeln und zusammen nicht vorgesehen, um den Umfang der Offenbarung bezüglich der relativen Positionen und/oder Verbindungsanordnungen zwischen der nFET-Vorrichtung 150 und der pFET-Vorrichtung 110 in einer komplementären Weise in einer integrierten Schaltung zu beschränken.
  • Wie hierin beschrieben, könnten die sequenziellen Reihenfolgen, die Materialien und die Dicke oder anderen Parameter der Nanodraht-Streifen 312, 314, 352, 354 in dem einzeln gebildeten Nanodrahtstapel 310, 350 für jede der Vorrichtungen 150, 110 einzeln angepasst und optimiert werden. Eine solche Flexibilität ist vorteilhaft für die separate und einzelne Verbesserung der Vorrichtungsleistung der pFET- und nFET-Vorrichtungen. Ferner ist der Vorrichtungsabstandhalter 108 in die Bildung der Nanodrahtstapel 310, 350 integriert, was die Integration des CMOS-Prozesses in einen analogen Hochspannungsprozess wie einen bipolaren CMOS-DMOS-„BCD“-Prozess erleichtert.
  • Ferner löst die offenbarte Technik der Bildung der Basisschicht 340 die Probleme des epitaktischen Wachstums in einem geneigten Bodenabschnitt eines Grabens. Die gesteuerten Facettenwinkel des Aussparungsabschnitts 330 und das gesteuerte epitaktische Wachstum der Basisschichten in der Basisschicht 340 erreichen eine relativ flache obere Fläche der Basisschicht 340. Eine solche Basisschicht 340 erleichtert das epitaktische Wachstum der Halbleiterschichten darüber.
  • 4A bis 4D zeigen eine alternative oder weitere Ausführungsform. Wie in 4A gezeigt, wo eine alternative oder weitere Ausführungsform von 3C dargestellt ist, bleibt eine Hartmaskenschicht 420 nach der Bildung des Grabens 320 über dem ersten Stapel 310. Beispielsweise kann die Hartmaskenschicht 420 als Ätzstoppschicht beim Ätzen des Grabens 320 strukturiert werden. Wie in 4A gezeigt, wird nach Bildung des Grabens 320 durch Ätzen die Hartmaskenschicht 420 nicht entfernt oder nicht spät entfernt. Die verbleibende Hartmaskenschicht 420 kann als weitere Ätzstoppschicht oder CMP-Stoppschicht in einem nachfolgenden Prozess dienen, der eine Ätzkomponente oder einen nachfolgenden CMP-Prozess umfasst. Beispielsweise kann ein nachfolgender Epitaxieprozess einen Ätzbestandteil umfassen. Die Hartmaskenschicht 420 ist eines oder mehr aus Siliziumoxid, Aluminiumhafniumoxid, Magnesiumaluminiumoxid, Siliziumnitrid oder anderer geeigneter Ätzstoppmaterialien.
  • Wie in 4B und 4C gezeigt, wirkt die Hartmaskenschicht 420 als Ätzstopp- oder CMP-Stoppschicht bei der Entfernung der überschüssigen Dielektrikumschicht 322, die über dem ersten Stapel 310 angeordnet ist.
  • Wie in 4D gezeigt, kann die Hartmaskenschicht 420 bleiben, bis der zweite Stapel 350 innerhalb des Grabens 320 gebildet ist, beispielsweise durch Prozesse, die ähnlich wie die aus 3F bis 3H sind. nachdem der zweite Stapel 350 gebildet wurde, kann die Hartmaskenschicht 420 beispielsweise durch einen Politurprozess entfernt werden, und die Struktur von 3H kann erreicht werden.
  • Diese Offenbarung kann weiter mit der Beschreibung der folgenden Ausführungsformen verstanden werden:
  • In einer Ausführungsform umfasst eine integrierte Schaltung ein Substrat, das eine erste Substratregion und eine zweite Substratregion umfasst. Die integrierte Schaltung umfasst auch eine erste Vorrichtung über der ersten Substratregion und eine zweite Vorrichtung über der zweiten Substratregion. Die erste Vorrichtung umfasst mehrere erste Nanodraht-Streifen eines ersten Halbleitermaterials, eine erste Gatestruktur, die mindestens eine der mehreren ersten Nanodraht-Streifen umgibt, und eine erste Source/Drain-Struktur, die die meistens einen der mehreren ersten Nanodraht-Streifen kontaktiert. Die zweite Vorrichtung umfasst mehrere zweite Nanodraht-Streifen eines zweiten Halbleitermaterials über der zweiten Substratregion, eine zweite Gatestruktur, die mindestens eine der mehreren zweiten Nanodraht-Streifen umgibt, und eine Source/Drain-Struktur, die die meistens einen der mehreren zweiten Nanodraht-Streifen kontaktiert. Die integriert Schaltung umfasst ebenfalls eine Halbleiterbasisschicht, die in eine der ersten Substratregion oder der zweiten Substratregion eingebettet ist und unter einer entsprechenden einen der ersten Vorrichtung oder der zweiten Vorrichtung liegt.
  • In einer Strukturausführungsform umfasst eine Struktur ein Substrat, eine Basisschicht, die in einen Aussparungsabschnitt in dem Substrat eingebettet ist, eine Vorrichtung über der Halbleiterbasisregion. Die Basisregion umfasst ein anderes Material als das Substrat. Die Vorrichtung umfasst mehrere Nanodraht-Streifen eines Halbleitermaterials, eine Gatestruktur, die mindestens eine der mehreren Nanodraht-Streifen umgibt, und eine Source/Drain-Struktur, die die meistens einen der mehreren Nanodraht-Streifen kontaktiert.
  • In einer Verfahrensausführungsform wird ein erster Stapel erster mehrerer Halbleiterschichten über einem kristallinen Substrat gebildet. Ein Graben ist innerhalb des ersten Stapels gebildet, um das kristalline Substrat durch den Graben offenzulegen. Ein Vorrichtungsabstandhalter ist an eine Seitenwand des Grabens angrenzend gebildet. Ein Aussparungsabschnitt ist an einem Boden des Grabens durch einen Ätzprozess gebildet, der anisotrop unter kristallinen Facetten des kristallinen Substrats ist, sodass die Aussparung einen Bodenabschnitt und eine geneigten Seitenwandabschnitt aufweist, der einen Winkel zum Bodenabschnitt aufweist. Eine Halbleiterbasisschicht wird innerhalb des Aussparungsabschnitts gebildet und füllt diesen. Ein zweiter Stapel zweiter mehrerer Halbleiterschichten wird über der Halbleiterbasisschicht gebildet.
  • Die verschiedenen oben beschriebenen Ausführungsformen können kombiniert werden, um weitere Ausführungsformen bereitzustellen. Alle US-Patente, US-Patentanmeldungsveröffentlichungen, US-Patentanmeldungen, ausländischen Patenten, ausländischen Patentenanmeldungen und Nichtpatentveröffentlichungen, auf die in dieser Beschreibung verwiesen wurde und/oder die in dem Anmeldungsdatenblatt genannt sind, sind hierin vollständig durch Verweis eingeschlossen. Aspekte der Ausführungsformen können bei Bedarf geändert werden, um Konzepte der verschiedenen Patente, Anmeldungen und Veröffentlichungen zu verwenden, um noch weitere Ausführungsformen bereitzustellen.
  • Diese und andere Änderungen können an den Ausführungsformen mit Blick auf die obige ausführliche Beschreibung vorgenommen werden. Allgemein sollten in den folgenden Ansprüchen die verwendeten Begriffe nicht so ausgelegt werden, als würden sie die Ansprüche auf bestimmte Ausführungsformen beschränken, die in der Spezifikation und den Ansprüchen offenbart werden, sondern sollten als alle möglichen Ausführungsformen zusammen mit dem vollen Umfang der Entsprechungen, die solchen Ansprüchen zustehen, enthaltend ausgelegt werden. Dementsprechend sind die Ansprüche nicht durch die Offenbarung beschränkt.

Claims (20)

  1. Integrierte Schaltung aufweisend: ein Substrat, die eine erste Substratregion und eine zweite Substratregion aufweist; eine erste Vorrichtung, die mehrere erste Streifen eines ersten Halbleitermaterials über der ersten Substratregion, eine erste Gatestruktur, welche mindestens eine der mehreren ersten Nanodrähte umgibt, und eine erste Source/Drain-Struktur in Kontakt mit mindestens einem der mehreren ersten Streifen aufweist; eine zweite Vorrichtung, umfassend mehrere zweite Streifen eines zweiten Halbleitermaterials über der zweiten Substratregion, eine zweite Gatestruktur, die mindestens einen der mehreren zweiten Streifen umgibt, und eine Source/Drain-Struktur, die den mindestens einen der mehreren zweiten Streifen kontaktiert. eine Halbleiterbasisschicht, die in die erste Substratregion oder die zweite Substratregion eingebettet ist und entsprechend unter der ersten Vorrichtung oder der zweiten Vorrichtung liegt.
  2. Integrierte Schaltung nach Anspruch 1, ferner umfassend eine Vorrichtungsabstandhalterstruktur, die zwischen der ersten Vorrichtung und der zweiten Vorrichtung angeordnet ist.
  3. Integrierte Schaltung nach Anspruch 2, wobei sich die Halbleiterbasisschicht unter den Vorrichtungsabstandhalter erstreckt.
  4. Integrierte Schaltung nach einem der vorhergehenden Ansprüche, wobei die mehreren ersten Streifen einen ersten Streifen und einen zweiten Streifen umfassen, der an den ersten Streifen angrenzt, wobei eine vertikale Distanz zwischen dem ersten Streifen und dem zweiten Streifen geringer als eine Dicke des ersten Streifens ist.
  5. Integrierte Schaltung nach Anspruch 4, wobei die mehreren zweiten Streifen einen dritten Streifen und einen vierten Streifen umfassen, der an den dritten Streifen angrenzt, wobei eine vertikale Distanz zwischen dem dritten Streifen und dem vierten Streifen geringer als eine Dicke des dritten Streifens ist.
  6. Integrierte Schaltung nach einem der vorhergehenden Ansprüche, wobei das erste Halbleitermaterial Silizium ist, und das zweite Halbleitermaterial Siliziumgermanium ist.
  7. Integrierte Schaltung nach einem der vorhergehenden Ansprüche, wobei die Halbleiterbasisschicht eine Siliziumgermanium-Basisschicht direkt über dem Substrat umfasst.
  8. Integrierte Schaltung nach Anspruch 7, wobei die Halbleiterbasisschicht ferner eine Silizium-Basisschicht über der Siliziumgermanium-Basisschicht umfasst.
  9. Integrierte Schaltung nach einem der vorhergehenden Ansprüche, wobei eine Seitenwand der Halbleiterbasisschicht geneigt ist.
  10. Struktur aufweisend: ein Substrat; eine Basisschicht eingebettet in einen Aussparungsabschnitt in dem Substrat; und eine Vorrichtung über der Halbleiterbasisregion, wobei die Vorrichtung mehrere Streifen eines Halbleitermaterials, eine Gatestruktur, die mindestens einen der mehreren Streifen umgibt, und eine Source/Drain-Struktur aufweist, die den mindestens einen der mehreren Streifen kontaktiert; wobei die Basisregion ein anderes Material als das Substrat umfasst.
  11. Struktur nach Anspruch 10, wobei sich die Basisschicht seitlich über die mehreren Steifen hinaus erstreckt.
  12. Struktur nach Anspruch 10 oder 11, ferner aufweisend einen dielektrischen Vorrichtungsabstandhalter über dem Substrat, wobei sich eine Kante der Basisregion unter den dielektrischen Vorrichtungsabstandhalter erstreckt.
  13. Struktur nach einem der vorhergehenden Ansprüche 10 bis 12, wobei die Gatestruktur von der Source/Drain-Struktur durch einen inneren Abstandhalter getrennt ist.
  14. Verfahren umfassend: Bilden eines ersten Stapels mehrerer erster Halbleiterschichten über einem kristallinen Substrat; Bilden eines Grabens in dem ersten Stapel, um das Substrat freizulegen; Bilden eines Vorrichtungsabstandhalters, der an eine Seitenwand des Grabens angrenzt; Bilden einer Aussparung an einem Boden des Grabens durch einen Ätzprozess, der anisotrop unter kristallinen Facetten des kristallinen Substrats ist, wobei die Aussparung einen Bodenabschnitt und einen geneigten Seitenwandabschnitt aufweist, der einen Winkel zu dem Bodenabschnitt aufweist; Bilden einer Halbleiterbasisschicht in dem Aussparungsabschnitt; und Bilden eines zweiten Stapels zweiter mehrerer Halbleiterschichten über der Halbleiterbasisschicht.
  15. Verfahren nach Anspruch 14, wobei das Bilden der Halbleiterbasisschicht einen Vorbrennprozess umfasst.
  16. Verfahren nach Anspruch 14 oder 15, wobei der Winkel zwischen dem geneigten Seitenwandabschnitt und dem Bodenabschnitt zwischen etwa 5 Grad und etwa 89 Grad beträgt.
  17. Verfahren nach Anspruch 16, wobei das kristalline Substrat Silizium ist, und der Winkel in einem Bereich zwischen etwa 45 Grad und etwa 65 Grad liegt.
  18. Verfahren nach einem der vorhergehenden Ansprüche 14 bis 17, wobei ein Epitaxieprozess verwendet wird, um die Füller-Halbleiterbasisschicht zu bilden, und der Epitaxieprozess ein epitaktisches Material Füller-Halbleiterbasisschicht langsamer entlang einer Facettenausrichtung des geneigten Seitenwandabschnitts als entlang einer Facettenausrichtung des Bodenabschnitts bildet.
  19. Verfahren nach einem der vorhergehenden Ansprüche 14 bis 18, wobei der erste Stapel eine erste Siliziumgermaniumschicht aufweist, und der zweite Stapel eine zweite Siliziumgermaniumschicht aufweist, wobei die zweite Siliziumgermaniumschicht eine andere Germaniumkonzentration als die erste Siliziumgermaniumschicht aufweist.
  20. Verfahren nach einem der vorhergehenden Ansprüche 14 bis 19, wobei der erste Stapel eine erste Siliziumschicht aufweist und der zweite Stapel eine zweite Siliziumschicht aufweist, wobei die zweite Siliziumschicht eine andere Dicke als die erste Siliziumschicht aufweist.
DE102019122576.0A 2018-09-26 2019-08-22 Separate epitaxieschichten für nanodraht-stapel-gaa-vorrichtung Pending DE102019122576A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862736962P 2018-09-26 2018-09-26
US62/736,962 2018-09-26
US16/536,113 2019-08-08
US16/536,113 US11038036B2 (en) 2018-09-26 2019-08-08 Separate epitaxy layers for nanowire stack GAA device

Publications (1)

Publication Number Publication Date
DE102019122576A1 true DE102019122576A1 (de) 2020-03-26

Family

ID=69725107

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019122576.0A Pending DE102019122576A1 (de) 2018-09-26 2019-08-22 Separate epitaxieschichten für nanodraht-stapel-gaa-vorrichtung

Country Status (5)

Country Link
US (3) US11038036B2 (de)
KR (1) KR102270969B1 (de)
CN (1) CN110957275B (de)
DE (1) DE102019122576A1 (de)
TW (1) TWI723556B (de)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11532706B2 (en) * 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having embedded GeSnB source or drain structures
US11532734B2 (en) 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having germanium nanowire channel structures
US10910470B1 (en) * 2019-07-18 2021-02-02 International Business Machines Corporation Nanosheet transistors with inner airgaps
US10903317B1 (en) * 2019-08-07 2021-01-26 Globalfoundries U.S. Inc. Gate-all-around field effect transistors with robust inner spacers and methods
WO2021137432A1 (ko) * 2019-12-30 2021-07-08 울산과학기술원 트랜지스터, 이를 포함하는 삼진 인버터, 및 트랜지스터의 제조 방법
US11158632B1 (en) * 2020-04-01 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd Fin-based strap cell structure for improving memory performance
US11417766B2 (en) * 2020-04-21 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
US11581415B2 (en) * 2020-04-24 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer channel structures and methods of fabricating the same in field-effect transistors
TWI764678B (zh) * 2020-04-24 2022-05-11 台灣積體電路製造股份有限公司 半導體結構及其形成方法
US20210367034A1 (en) * 2020-05-22 2021-11-25 Taiwan Semiconductor Manufacturing Company Limited Memory devices and methods of manufacturing thereof
US11302580B2 (en) * 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet thickness
US11776900B2 (en) 2020-06-22 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with contact structure
US11916070B2 (en) * 2020-10-22 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with nanosheets
US11462612B2 (en) 2020-10-28 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure
US11728401B2 (en) * 2020-10-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
KR102395096B1 (ko) * 2020-11-30 2022-05-10 (재)한국나노기술원 수평 에피택시 성장을 이용하여 수직으로 적층된 나노와이어 채널을 갖는 전계효과 트랜지스터 제조방법
US20220199774A1 (en) * 2020-12-22 2022-06-23 Intel Corporation Gate-all-around integrated circuit structures having germanium-diffused nanoribbon channel structures
US20220199619A1 (en) * 2020-12-23 2022-06-23 Intel Corporation Gate dielectrics for complementary metal oxide semiconductors transistors and methods of fabrication
US11735647B2 (en) * 2021-01-26 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device
US20220246479A1 (en) * 2021-02-04 2022-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain regions and methods of forming same
US11710737B2 (en) 2021-02-05 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid semiconductor device
US20220359199A1 (en) * 2021-05-07 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure with reduced defects and manufacturing method thereof
US20230010541A1 (en) * 2021-07-08 2023-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate all around device and method of forming the same
KR102575699B1 (ko) * 2021-10-12 2023-09-07 충북대학교 산학협력단 나노시트 반도체 소자 제조방법 및 이에 의하여 제조된 나노시트 반도체 소자

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100550343B1 (ko) * 2003-11-21 2006-02-08 삼성전자주식회사 다중 채널 모오스 트랜지스터를 포함하는 반도체 장치의제조 방법
KR100699839B1 (ko) 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9257347B2 (en) 2012-08-30 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for a field-effect transistor with a raised drain structure
US8765563B2 (en) 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
WO2015195134A1 (en) 2014-06-20 2015-12-23 Intel Corporation Monolithic integration of high voltage transistors & low voltage non-planar transistors
US9881993B2 (en) 2014-06-27 2018-01-30 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor structure with horizontal gate all around structure
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9786774B2 (en) 2014-06-27 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate of gate-all-around transistor
US9614056B2 (en) 2014-10-28 2017-04-04 Globalfoundries Inc. Methods of forming a tri-gate FinFET device
US9620607B2 (en) * 2014-12-04 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device structure and Fin field effect transistor (FinFET) device structure
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9520466B2 (en) 2015-03-16 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate-all-around field effect transistors and methods of forming same
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
KR20170036966A (ko) 2015-09-24 2017-04-04 삼성전자주식회사 반도체 소자의 제조하는 방법
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9704962B1 (en) 2015-12-16 2017-07-11 Globalfoundries Inc. Horizontal gate all around nanowire transistor bottom isolation
KR102461174B1 (ko) * 2016-02-26 2022-11-01 삼성전자주식회사 반도체 소자
US9853114B1 (en) * 2016-10-24 2017-12-26 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US10312152B2 (en) 2016-10-24 2019-06-04 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US10243079B2 (en) * 2017-06-30 2019-03-26 International Business Machines Corporation Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning

Also Published As

Publication number Publication date
US20230352551A1 (en) 2023-11-02
TW202032795A (zh) 2020-09-01
US11742405B2 (en) 2023-08-29
CN110957275A (zh) 2020-04-03
CN110957275B (zh) 2022-05-27
KR102270969B1 (ko) 2021-07-02
US20210305390A1 (en) 2021-09-30
US11038036B2 (en) 2021-06-15
US20200098879A1 (en) 2020-03-26
KR20200035896A (ko) 2020-04-06
TWI723556B (zh) 2021-04-01

Similar Documents

Publication Publication Date Title
DE102019122576A1 (de) Separate epitaxieschichten für nanodraht-stapel-gaa-vorrichtung
DE102017119141B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung und eine Halbleitervorrichtung
DE102016115986B4 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102019116739A1 (de) Hochleistungs-mosfet
DE102017103674B4 (de) Halbleitervorrichtung mit mehrschicht-kanalstrukturund verfahren zur herstellung
DE112012003231B4 (de) Halbleiterstruktur und verfahren zu deren herstellung
DE112011101378B4 (de) Epitaxie von Delta-Monoschicht-Dotierstoffen für eingebettetes Source/Drain-Silicid
DE102019112545A1 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
DE102017126416A1 (de) FET mit negativer Kapazität mit verbessertem Zuverlässigkeitsverhalten
DE102017126043A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102020115120A1 (de) Nanosheet-feldeffekttransistor-vorrichtung und verfahren zu deren herstellung
DE102019115937A1 (de) Verfahren zur herstellung einer halbleitervorrichtung und halbleitervorrichtung
DE102017117795A1 (de) Fets und verfahren zu deren herstellung
DE102019114114B4 (de) Verfahren zur herstellung einer halbleitervorrichtung und halbleitervorrichtung
DE112011101433B4 (de) Stressor mit eingebetteter Dotierstoff-Monoschicht für hochentwickelten CMOS-Halbleiter
DE102017124637A1 (de) Herstellungsverfahren für ein Halbleiter-Bauelement und ein Halbleiter-Bauelement
DE102009031111B4 (de) Kontaktoptimierung zur Verbesserung der Verspannungsübertragung in dicht liegenden Transistoren
DE102021110710A1 (de) Nanoschicht-feldeffekttransistorvorrichtung und herstellungsverfahren
DE102018108821A1 (de) Verfahren zur herstellung einer halbleitervorrichtung, und halbleitervorrichtung
DE102019118061A1 (de) Selektive doppelsilizidherstellung unter verwendung eines maskenlosen herstellungsprozessablaufs
DE102017126881B4 (de) FinFET-Strukturen und Verfahren zu ihrer Ausbildung
DE102019119807B4 (de) Herstellungsverfahren für ein halbleiter-bauelement und ein halbleiter-bauelement
DE102020121511A1 (de) Verfahren zur herstellung einer halbleitervorrichtung und eine halbleitervorrichtung
DE102020102405A1 (de) Halbleitervorrichtung und herstellungsverfahren davon
DE102019125889A1 (de) Verfahren zur herstellung eines halbleiterbauelements und ein halbleiterbauelement

Legal Events

Date Code Title Description
R012 Request for examination validly filed