TWI723556B - 積體電路及其製造方法 - Google Patents

積體電路及其製造方法 Download PDF

Info

Publication number
TWI723556B
TWI723556B TW108134724A TW108134724A TWI723556B TW I723556 B TWI723556 B TW I723556B TW 108134724 A TW108134724 A TW 108134724A TW 108134724 A TW108134724 A TW 108134724A TW I723556 B TWI723556 B TW I723556B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
base layer
silicon
stack
Prior art date
Application number
TW108134724A
Other languages
English (en)
Other versions
TW202032795A (zh
Inventor
李東穎
張開泰
蕭孟軒
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202032795A publication Critical patent/TW202032795A/zh
Application granted granted Critical
Publication of TWI723556B publication Critical patent/TWI723556B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02433Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • H01L21/02507Alternating layers, e.g. superlattice
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66272Silicon vertical transistors
    • H01L29/66287Silicon vertical transistors with a single crystalline emitter, collector or base including extrinsic, link or graft base formed on the silicon substrate, e.g. by epitaxy, recrystallisation, after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本揭露描述之實施方式描述由個別形成之奈米線半導體帶之堆疊形成閘極全環(「GAA」)元件的技術。個別形成之奈米線半導體帶未各別閘極全環元件量身訂做。形成溝渠於磊晶層之第一堆疊中,以定義出形成磊晶層之第二堆疊的空間。將溝渠底部修改成在形狀或結晶晶面取向上具有確定或已知參數。利用溝渠底部之已知參數選擇適合製程來以相對平坦基底面的方式填充溝渠底部。

Description

積體電路及其製造方法
本揭露之實施方式是有關於積體電路。
互補式金氧半導體(CMOS)電晶體為積體電路之建構組件。更快之互補式金氧半導體開關速度需要較高的驅動電流,其驅使互補式金氧半導體電晶體之閘極長度持續縮減。較短之閘極長度導致不受歡迎之「短通道效應(short-channel effect)」,其中閘極之電流控制功能受到連累。除了別的之外,已發展鰭式場效電晶體(FinFET)來克服短通道效應。依照朝改善通道之靜電控制的進一步步驟,已發展出具環繞閘極之電晶體,其中閘極部分可從半導體通道或通道帶之上表面、下表面、及/或側壁圍繞半導體通道或通道帶。
在一實施方式中,一種積體電路包含基材、第一元件、第二元件、以及半導體基底層。基材包含第一基材區與第二基材區。第一元件包含第一半導體材料之第一複數 個帶位於第一基材區之上、第一閘極結構環繞第一複數個帶之至少一者、以及第一源極/汲極結構接觸第一複數個帶之此至少一者。第二元件包含第二半導體材料之第二複數個帶位於第二基材區之上、第二閘極結構環繞第二複數個帶之至少一者、以及第二源極/汲極結構接觸第二複數個帶之此至少一者。半導體基底層嵌在第一基材區或第二基材區之一者中,且位於第一元件或第二元件之對應一者之下。
在一結構實施方式中,結構包含基材、基底層、以及元件。基底層嵌於基材之凹陷部中。元件位於基底層之上,元件包含半導體材料之複數個帶、閘極結構環繞複數個帶之至少一者、以及第一源極/汲極結構接觸複數個帶之此至少一者。基底層包含不同於基材之材料。
在一方法實施方式中,形成第一複數個半導體層之第一堆疊於結晶基材之上,形成溝渠於第一堆疊中,以透過溝渠暴露出結晶基材。形成元件間隙壁鄰近溝渠之側壁。利用蝕刻製程形成凹陷部於溝渠之底部,此蝕刻製程在結晶基材之結晶晶面中為非等向性,如此凹陷具有底部以及對底部具有一角度之傾斜側壁部。形成半導體基底層於凹陷部內。形成第二複數個半導體層之第二堆疊於半導體基底層之上。
100:積體電路
102:基材
102S:表面、上表面
102U:上表面
104:n型井、基材區、N型井、基材
104P:鰭狀基材部、鰭狀基材圖案
106:p型井、基材區、第二基材區
106P:鰭狀基材部
106U:上表面
108:介電體、元件間隙壁
108L:下表面
110:第一元件、元件、p型場效電晶體元件
120:奈米線帶、通道區、通道
130:閘極結構、閘極
132:外間隙壁
134:內間隙壁
140:源極/汲極區、源極/汲極結構
142:介電層
150:第二元件、元件、n型場效電晶體元件
160:奈米線帶、通道區、通道
170:閘極結構、閘極
172:外間隙壁
174:內間隙壁
180:源極/汲極區、源極/汲極結構
182:介電層
190:基底層、圖案化部
190B:底部
190S:表面
190SW:傾斜側壁部
192:矽鍺基底層
194:矽基底層
196:圖案化部
205:例示操作
210:例示操作
215:例示操作
220:例示操作
225:例示操作
230:例示操作
235:例示操作
240:例示操作
245:例示操作
238:預烘烤製程
300:晶圓
310:第一堆疊、堆疊、奈米線堆疊
310P:第一圖案化堆疊
310U:上表面
312:磊晶層、層、矽鍺層、矽鍺磊晶層、矽鍺奈米線帶、犧牲奈米線帶、奈米線帶
314:磊晶層、層、矽層、矽磊晶層、矽奈米線帶、奈米線帶
320:溝渠
320B:底部
320BB:中央底部、底部
320BS:傾斜底側壁部
320SW:側壁
321:罩幕層、光阻層
322:介電層
330:凹陷部、凹陷
330B:底部
330BS:傾斜側壁部、側壁
330E:邊緣
340:半導體基底層、基底層
340E:邊緣部
340U:上表面
342:矽鍺層、矽鍺基底層、矽鍺磊晶層、磊晶層、基底層
342U:上表面
344:基底層、矽基底層、矽層
346:取向、晶面方向
348:取向、晶面方向
350:第二堆疊、堆疊、奈米線堆疊
350P:第二圖案化堆疊
350U:上表面
352:磊晶層、矽鍺磊晶層、矽鍺層、矽鍺奈米線帶、奈米線帶
354:磊晶層、矽磊晶層、矽層、矽奈米線帶、犧牲奈米線帶、奈米線帶
360:介電層
D1:深度
T1:厚度
T2:厚度
T3:厚度
T4:厚度
T5:厚度
θ1:角度
θ2:角度
從以下結合所附圖式所做的詳細描述,可對本揭露之態樣有更佳的了解。在圖式中,除非內文表明,否則相同參考數字識別類似元件或動作。在圖式中元件之尺寸與相對位置無須依比例繪示。事實上,為了使討論更為清楚,各特徵的尺寸都可任意地增加或減少。
〔圖1〕係繪示一個例示積體電路(IC)之剖面圖;〔圖2〕係繪示一個例示製程之流程示意圖;以及〔圖3A〕至〔圖3J〕係繪示一晶圓在製造具有閘極全環(GAA)元件之積體電路之例示製程之各階段中的剖面圖。
本揭露之實施方式描述由各別形成之奈米線半導體帶之堆疊製作閘極全環(gate-all-around,「GAA」)元件的技術。於在此的描述中,「奈米線帶(nanowire strip)」或「奈米線(nanowire)」係指具有邊緣面(edge surface)尺寸範圍從約2nm至約50nm之帶狀結構。「奈米線帶」可指具有邊緣面直徑範圍從約2nm至約15nm之帶狀結構。「奈米線帶」可指具有邊緣面其具高度範圍從約2nm至約10nm與寬度範圍從約6nm至約50nm之帶狀結構。其他尺寸參數之奈米線半導體帶亦可行。於在此之描述中,用語「奈米線」或「奈米線帶」或「帶」用以指任何類型之帶狀結構,包含但不限於奈米線、奈米片(nanosheet)、或奈米板(nanoslab)。
各別形成之奈米半導體帶係針對各別閘極全環元件量身訂做。舉例而言,這些帶之尺寸、材料組成、與定位各別針對n型場效電晶體(nFET)與p型場效電晶體(pFET)元件設計。在n型場效電晶體與p型場效電晶體元件之間,此兩種類型之元件的至少一種由在一定義空間中局部形成之奈米帶所製成。發明人已注意到,於定義之空間中,像是溝渠中,成長磊晶層時,所沉積之磊晶層的外形受到所定義之空間的底面與鄰近此底面之側壁部分的形狀所影響。具體而言,鄰近於底面之側壁部分的結晶晶面(crystalline facet)影響靠側壁之磊晶層的成長。在實際生產中,溝渠底部之形狀可能受到許多因素有意與無意的影響。
本技術包含故意修改或形成溝渠底部,使其在形狀上或結晶晶面取向(facet orientation)具有確定或已知參數的製程。因而利用具有這樣所確定之參數進行後續製造製程。
舉例而言,進行晶體非等向性(anisotropic)濕蝕刻,以形成凹陷部於溝渠之底部中。根據非等向性蝕刻,決定凹陷部之深度以及凹陷部之側壁的傾斜角。利用所給的這類參數,形成矽鍺磊晶層於凹陷部中來填充凹陷部。控制矽鍺磊晶成長,如此矽鍺材料沿例如{100}晶面矽之凹陷部之底面之晶面取向的成長遠快於沿例如矽之{111}晶面之凹陷部之側壁的晶面取向。舉例而言,可設計矽鍺磊晶製程,以利矽鍺成長在{100}晶面而非{111}晶面。因晶格不 匹配(lattice mismatch),{100}晶面矽鍺不會留在{111}晶面矽之凹陷部的側壁上。由於矽鍺磊晶層實質沿{100}晶面而非其{111}面成長,因此矽鍺磊晶層相對於例如{100}晶面矽之凹陷部之底部實質平坦。由於基底矽鍺磊晶層實質平坦,因此沉積在基底矽鍺磊晶層上方之矽與矽鍺之磊晶層亦趨於平坦。
在一方法實施方式中,磊晶矽層與磊晶矽鍺層之第一堆疊形成於基材,例如矽基材之上。磊晶矽鍺層與磊晶矽層以交替方式垂直堆疊。第一堆疊中之一矽鍺層具有第一厚度與第一鍺濃度,例如鍺在矽與鍺總數中的原子比率。第一堆疊中之一矽層具有第二厚度,其不同於第一堆疊中之矽鍺的第一厚度。在一實施方式中,第一堆疊中之矽層與矽鍺層係利用磊晶製程製作且稱為磊晶層。
形成溝渠,以使其至少局部位於第一堆疊之磊晶層中,而暴露出矽基材。選擇性地,藉由溝渠之側壁形成介電材料之元件間隙壁。於溝渠形成後或於元件間隙壁形成後,溝渠之底面可包含凹陷位於矽基材中。通常,凹陷包含第一部分,其為凹陷之相對平坦底部。凹陷之第二部分為鄰近該平坦底部之傾斜側壁部。在數個晶圓之中,凹陷之實際形狀或參數可能會有所變化。
進行晶體非等向性蝕刻,以改變凹陷部之形狀。具體而言,透過晶體非等向性蝕刻製程在基材之不同結晶晶面/平面具有不同蝕刻速率,而達成此修改。舉例而言,控制晶體非等向性蝕刻製程,而使其在{110}與{100}晶面 上具有較在{111}晶面上高的蝕刻速率。作為結果而發生的,將凹陷部之側壁修改成實質位在矽基材之{111}晶面。對於矽,{111}晶面具有相對於{100}平面約54.7度的角度。於此修改後,凹陷部之側壁具有相對於底部約54.7度的角度。側壁之其他角度亦是可以的,只要這些角度是已知且可控制的。舉例而言,可將側壁之角度形成在約45度至約65度的範圍內,此範圍通常符合矽之{111}晶面與{100}平面之間的角度。
於修改凹陷部後,透過磊晶製程形成矽鍺基底層於凹陷部中。矽鍺具有良好的填隙性能,因此矽鍺基底層可填充凹陷之底部上的細微不平坦。此外,可選擇與控制磊晶條件,如此因例如晶格的不匹配,矽鍺材料不會形成在{111}晶面上。因此,矽鍺基底層實質為無晶面在邊緣部,且相對平坦。取決於欲形成在溝渠內之磊晶層的堆疊,可將矽的磊晶基底層形成於矽鍺基底層之上。有鑑於矽鍺基底層之表面相對平坦,矽基底層之表面亦相對平坦。矽基底層之上表面與矽基材實質等高。矽鍺與矽基底層用來填充凹陷與產生平坦表面,以供後續磊晶層在溝渠內之沉積。它們並非用以形成半導體主體,例如元件之通道。
矽磊晶層與矽鍺磊晶層之第二堆疊形成在溝渠中之基底層之上。在一實施方式中,第二堆疊中之一矽鍺磊晶層具有第三厚度與第二鍺濃度。第二堆疊中之一矽層具有第四厚度。在一實施方式中,第二堆疊中之矽鍺層的第三厚度不同於第一堆疊中之矽鍺層的第一厚度。第二堆疊中之矽 層的第四厚度不同於第一堆疊中之矽層的第二厚度。此外,第二堆疊中之矽鍺層的第二鍺濃度不同於第一堆疊中之矽鍺層的第一鍺濃度。
圖案化第一堆疊磊晶層與第二堆疊磊晶層,以定義出第一元件與第二元件的第一鰭狀區與第二鰭狀區。第一元件形成於第一鰭狀區之上。第二元件形成於第二鰭狀區之上。亦可圖案化第二鰭狀區下方之矽鍺基底層與矽基底層。矽鍺基底層具有倒錐(inverted tapered)側壁,此倒錐側壁與矽鍺層之底面具有約54.7度的角度。
以下的揭露提供了許多不同實施方式或例子,以實施所揭露之標的之不同特徵。以下描述之構件與安排的特定例子係用以簡化本說明。當然,這些僅為例子,並非用以作為限制。舉例而言,於描述中,第一特徵形成於第二特徵之上方或之上,可能包含第一特徵與第二特徵以直接接觸的方式形成的實施方式,亦可能包含額外特徵可能形成在第一特徵與第二特徵之間的實施方式,如此第一特徵與第二特徵可能不會直接接觸。此外,本揭露之實施方式可能會在各例子中重複參考數字及/或文字。這樣的重複係基於簡化與清楚之目的,以其本身而言並非用以指定所討論之各實施方式及/或配置之間的關係。
再者,在此可能會使用空間相對用語,例如「在下(beneath)」、「下方(below)」、「較低(lower)」、「上方(above)」、「較高(upper)」與類似用語,以方便說明來描述如圖式所繪示之一構件或一特徵與另一(另一些)構 件或特徵之間的關係。除了在圖中所繪示之方位外,這些空間相對用詞意欲含括元件在使用或操作中的不同方位。設備可能以不同方式定位(旋轉90度或在其他方位上),因此可利用同樣的方式來解釋在此所使用之空間相對描述符號。
在以下之描述中,闡明特定具體細節,以提供對本揭露之許多實施方式的透徹了解。然而,熟習之技藝者將了解到,可在無需這些具體細節的情況下實施本揭露之實施方式。在其他情況下,並未詳細描述與電子構件及製造技術有關的知名結構,以避免對本揭露之實施方式的描述造成不必要的模糊。
除非內文要求,否則以下整篇說明書與申請專利範圍之用字「包含(comprise)」及其變化,例如「包含(comprises)」與「包含(comprising)」,以開放與包含觀念解釋,意即「包含(including),但不限於」。
序數詞,例如第一、第二、第三的使用不見得意味次序之排名,而僅係為了在一動作或結構之多個情況之間作區別。
此整個說明書中提及之「一個實施方式」或「一實施方式」意旨關於此實施方式描述之特定特徵、結構、或特性包含在至少一實施方式中。因此,在整個說明書中之各地方出現之詞組「在一個實施方式中」或「在一實施方式中」不必然指稱相同實施方式。再者,在一或多個實施方式中,特定特徵、結構、或特性可以任何適合方式結合。
如在此說明書與所附申請專利範圍中所使用的單數型態之用語「一(a)」、「一(an)」、與「該(the)」包含複數指示對象,除非內文清楚規定。亦應注意的一點是,用語「或(or)」通常以其意義包含「及/或(and/or)」的方式應用,除非內文清楚規定。
可利用任何適合方法來圖案化閘極全環(GAA)電晶體結構。舉例而言,可利用一或多道光學微影製程來圖案化這些結構,光學微影製程包含雙重圖案化(double-patterning)或多重圖案化(multi-patterning)製程。一般而言,雙重圖案化或多重圖案化製程結合光學微影與自我對準製程,可形成具有例如間距小於利用單一與直接光學微影製程之其他方式可獲得之圖案的圖案。舉例而言,在一實施方式中,形成犧牲層於基材上方,並利用光學微影製程予以圖案化。利用自我對準製程在圖案化後之犧牲層旁邊形成間隙壁。接著移除犧牲層,接下來可利用留下之間隙壁來圖案化閘極全環結構。
圖1係繪示一個包含互補式金氧半導體(CMOS)組件之例示積體電路結構「積體電路(IC)」100的剖面圖。請參考圖1,積體電路100包含基材102。基材102選擇性地包含n型摻雜區「n型井(n-well)」104以及p型摻雜區「p型井(p-well)」106。第一元件110,例如p型場效電晶體,形成於n型井104之上及/或之中。第二元件150,例如n型場效電晶體,形成於p型井106之上及/或之中。設置一或多個介電體108(亦稱為元件間隙壁108),以將第一 元件110與第二元件150彼此隔開。應了解到的一點是,根據元件設計或配置,基材102可能不需要n型井104或p型井106。於在此之描述中,n型井104與p型井106用以舉例說明,而為了一般化之目的稱為基材區104與基材區106。
每個第一元件110與第二元件150分別包含數個分開之半導體奈米線帶120與160的垂直堆疊。在一實施方式中,奈米線帶120為矽鍺,奈米線帶160為矽。每個第一元件110與第二元件150包含閘極結構130與170,閘極結構130與170圍繞,例如環繞,各別之分開奈米線帶120與160的至少一些。分開之奈米線帶120與160配置以作為元件110與150的通道區,且與各別之源極/汲極區140及180形成接合。源極/汲極區140及180和各自之閘極130與170被內間隙壁134與174及/或外間隙壁132與172所隔開。
在一實施方式中,元件110配置為p型場效電晶體,元件150配置為n型場效電晶體。奈米線帶120為矽鍺或其他適合之半導體材料。源極/汲極區140為矽鍺(「SiGe」)或矽鍺硼(「SiGeB」)、或其他適合P型元件之材料。源極/汲極區180為磷化矽碳(「SiCP」)、碳化矽(「SiC」)、磷化矽(「SiP」)、或其他適合N型元件之半導體材料。每個源極/汲極區140及180可以許多方式摻雜。舉例而言,源極/汲極區140以硼、鎵、銦、以及其他在III族中之適合摻質摻雜。源極/汲極區180以砷、磷、以及其他在V族中之適合摻質摻雜。
圖1顯示出源極/汲極區140及180之例示實施方式。在所示之實施方式中,每個源極/汲極區140及180經由奈米線帶120與160之邊緣面接觸各自之奈米線帶120與160。此外,每個源極/汲極區140及180鄰接各自之介電層142與182。介電層142與182可為一相同層或可為二不同層。介電層142與182可包含與元件間隙壁108相同之介電材料,或者可包含不同於元件間隙壁108之介電材料的介電材料。介電層142與182可為氧化矽、氮化矽、低介電常數介電材料、或其他適合介電材料。
作為一個例示例子,圖1顯示源極/汲極區140及180與通道區120及160之間的例示結構配置。具體而言,每個源極/汲極區140及180接觸各自通道120及160之邊緣面。此例示實施方式並未限制本揭露之實施方式與其他實施方式的範圍。源極/汲極區140/180與半導體奈米線帶120/160之其他結構配置亦是可行的,且包含在本揭露之實施方式中。舉例而言,源極/汲極區140/180可環繞各自之半導體奈米線帶120/160之至少一些。奈米線帶120及160可在各自之介電層142與182之間後退(如圖1所示)或一直延伸。
基材102可包含結晶結構之矽基材及/或其他適合半導體,像是鍺。二者擇一或此外,基材102可包含化合物半導體,例如碳化矽、砷化鎵、砷化銦、及/或磷化銦。此外,基材102亦可包含絕緣體上矽(SOI)結構。為提升性能,基材102可包含磊晶層及/或可經應變。
在一實施方式中,基材102包含矽之{100}晶面的晶面區。
在一實施方式中,每個閘極結構130與170形成為替換金屬閘極。以下的描述列出閘極結構130與170之材料的例子。每個閘極130與170之閘極電極(為簡化而未個別繪示出)包含導電材料,例如金屬或金屬化合物。閘極結構130與170之閘極電極的適合金屬材料包含釕、鈀、鉑、鎢、鈷、鎳、及/或導電金屬氧化物、與其他適合之P型金屬材料,且包含鉿(Hf)、鋯(Zr)、鈦(Ti)、鉭(Ta)、鋁(Al)、鋁化物、及/或導電金屬碳化物(例如,碳化鉿、碳化鋯、碳化鈦、與碳化鋁)、與其他適合n型金屬材料的材料。在一些例子中,每個閘極結構130與170之閘極電極包含功函數層,功函數層經調控以具有提升場效電晶體元件之性能的適當功函數。舉例而言,適合之n型功函數金屬包含鉭、鈦鋁合金(TiAl)、氮化鈦鋁(TiAlN)、碳氮化鉭(TaCN)、其他n型功函數金屬、或其組合,適合之p型功函數金屬材料包含氮化鈦(TiN)、氮化鉭(TaN)、其他p型功函數金屬、或其組合。在一些例子中,導電層,例如鋁層、銅層、鈷層、或鎢層,形成於功函數層之上,如此每個閘極結構130與170之閘極電極包含一功函數層設於閘極介電質之上、以及一導電層設於功函數層之上與一閘極覆蓋之下(為了簡化而未繪示)。在一例子中,根據設計需求,每個閘極結構130與170之閘極電極具有範圍從約5nm至約40nm的厚度。
在例示實施方式中,閘極結構130與170之閘極介電層(為了簡化而未個別繪示出)包含界面氧化矽層(為了簡化而未個別繪示出),例如具有厚度範圍從約5Å至約10Å之熱或化學氧化物。在例示實施方式中,閘極介電層更包含高介電常數(high-k)介電材料,高介電常數介電材料選自於氧化鉿(HfO2)、矽氧化鉿(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、其組合、及/或其他適合材料中的一或多個。在一些應用中,高介電常數介電材料包含介電常數值大於6。根據於設計需求,使用介電常數值7或更高之介電材料。可利用原子層沉積(ALD)或其他適合技術形成高介電常數介電層。依照在此所描述之實施方式,閘極介電層之高介電常數介電層包含範圍從約10Å至約30Å的厚度或其他適合厚度。
在一實施方式中,外間隙壁132與172由低介電常數介電材料所組成,此低介電常數介電材料例如為介電常數值低於3.9,例如氮氧化矽(SiON)、一氧化矽(SiO)、氮碳氧化矽(SiONC)、碳氧化矽(SiOC)、真空(vacuum)、與其他介電質或其他適合材料。可利用化學氣相沉積(CVD)、高密度電漿化學氣相沉積、旋轉塗布(spin-on)、濺鍍(sputtering)、或其他適合方法形成外間隙壁132與172。
在一實施方式中,內間隙壁134與174由低介電常數介電材料所組成。在一些實施方式中,內間隙壁134與 174之低介電常數介電材料可具有不同於各自之外間隙壁132與172之介電常數的介電常數。內間隙壁134與174之低介電常數材料包含氮氧化矽(SiON)、一氧化矽(SiO)、氮碳氧化矽(SiONC)、碳氧化矽(SiOC)、或其他適合之低介電常數介電材料的一或多個。在一些實施方式中,內間隙壁134及174材料之介電常數值與外間隙壁132及172材料之介電常數值相同。在一例子中,內間隙壁134及174亦包含一或多個空氣間隔鄰近於各自之閘極結構130及170或各自之源極/汲極結構140及180的一或多個。
在一實施方式中,基底層190設於基材102內且位於元件110或元件150之一或多個的下方。圖1顯示出基底層190設於基材區104內且位於元件110之下,其作為一個例示例子,而未限制本揭露之實施方式的範圍。在一實施方式中,基底層190包含矽鍺基底層192,矽鍺基底層192直接形成在下方基材102,例如N型井104之上。在一些情況中,矽基底層194形成於矽鍺基底層192之上,矽基底層194與矽鍺基底層192均為基底層190的一部分。在一些實施方式中,基底層190可包含多個矽鍺基底層與多個矽基底層以交替方式垂直堆疊。在一實施方式中,基底層190之表面190S與基材102之表面102S實質等高。
在一實施方式中,基底層190包含底部190B與傾斜側壁部190SW。底部190B與傾斜側壁部190SW之間的角度θ1落在約5度至約89度之間的範圍內。在一實施方式中,角度θ1落在約45度至約65度之間的範圍內。在又一實 施方式中,於基材102為結晶矽的例子中,角度θ1實質約為54.7度。
矽鍺基底層192之厚度T1之範圍介於約2nm至如矽鍺基底層192之矽鍺材料之關鍵厚度般大。矽鍺材料之關鍵厚度取決於矽鍺材料之鍺濃度。矽鍺(Si1-xGex)之關鍵厚度係指矽鍺(Si1-xGex)於因錯位差排形成而發生應變的鬆弛前可在矽上方成長之最大厚度。矽鍺(Si1-xGex)之關鍵厚度強烈地取決於鍺含量,即「x」。具體而言,愈高之鍺濃度導致矽鍺材料之較低關鍵厚度。舉例而言,在鍺基底層190之鍺濃度為約20%的例子中,厚度T1落在約2nm至約60nm的範圍內。
奈米線帶120與奈米線帶160由磊晶層之堆疊所組成,磊晶層之堆疊係以不同磊晶成長程序分別沉積。在一實施方式中,奈米線帶160之磊晶層沉積於第一區中,而奈米線帶120之磊晶層沉積於包含在第一區內的第二區中。具體而言,奈米線帶120之磊晶層形成於溝渠中,而此溝渠形成在奈米線帶160之磊晶層中。在此詳細描述沉積程序。奈米線帶120與奈米線帶160可包含不同厚度。由於應用於製作元件110及150之一者的犧牲帶與第一元件110或第二元件150之另一者的奈米線帶120及160並非由相同磊晶層組成,因此它們無須對齊彼此。因此,元件110及150之一者的內間隙壁134及174可能沒有側向對齊元件150及110之另一者的奈米線帶160及120。再次注意,如在此以更詳細之方式所描述,奈米線帶120與奈米線帶160由磊晶 層之堆疊所組成,且磊晶層之堆疊以不同磊晶程序分別沉積。
圖1顯示出n型井104與p型井106彼此鄰接形成,但並不限制於此。在其他實施方式中,p型井106與n型井104可被一或多個絕緣體,例如淺溝渠絕緣(「STI」),所隔開。圖1顯示出應用雙筒(dual-tub)製程,即p型井106與n型井104均形成在基材102中。其他製程,如p型井製程於n型基材中或n型井製程於p型基材中,亦是可行的且包含在本揭露之實施方式中。意即,基材區104及106之一者位於摻雜之局部區中,另一者位於摻雜之基材中是可行的。基材區104與基材區106均為本質(intrinsic)或本質(intrinsically)摻雜,例如非故意摻雜,亦是可以的。
圖2係繪示一種製造積體電路元件,例如圖1之例示積體電路100,之例示製程。圖3A至圖3J係繪示一晶圓300在依照圖2之例示製程之製造例示積體電路100之製程中之不同階段的剖面圖。
在例示操作205中,接收晶圓300。圖3A顯示出晶圓300包含基材102,且基材102包含基材區104,例如n型井,以及基材區106,例如p型井,基材區104及106在基材102之上表面102S處彼此共平面。作為例示例子,基材102為{100}結晶晶面之矽基材。作為一個非限制性的例示例子,圖3A顯示出基材區104與基材區106彼此交接。n型井104可用V族元素之摻質或雜質,如砷或磷或其各種組 合,而以各種方式摻雜。p型井106可用III族元素之摻質或雜質,如硼或鎵或其各種組合,而以各種方式摻雜。
在例示操作210中,亦請參照圖3B,全面性地形成半導體磊晶層之第一堆疊310於基材區104與基材區106兩者之頂部上。用語「全面性(globally)」意指第一堆疊310形成於基材區104與106兩者上,相較於「局部(locally)」係意指基材區104或基材區106之一者。在此所使用之用語「全面性」未必指第一堆疊310形成於晶圓之整個表面上方。在一實施方式中,第一堆疊310包含第一半導體材料之多個磊晶層312(如圖示例子所示之四層)以及第二半導體材料之多個磊晶層314(如圖示例子所示之四層)。在一實施方式中,層312為矽鍺,層314為矽。
在一實施方式中,每個矽鍺磊晶層312具有厚度T2,厚度T2在約2nm至約6nm的範圍內。每個矽磊晶層314具有厚度T3,厚度T3在約4nm至約10nm的範圍內。在一實施方式中,矽磊晶層314之厚度T3大於矽鍺磊晶層312之厚度T2。在一實施方式中,矽磊晶層314之厚度T3為約8nm,且矽鍺磊晶層312之厚度T2為約5nm。
利用減壓化學氣相沉積(「RP-CVD」)、電漿增益化學氣相沉積(「PECVD」)、常壓化學氣相沉積、感應耦合(inductively coupled)電漿增益化學氣相沉積、熱絲(hot wire)化學氣相沉積、原子層沉積、分子層沉積、或其他適合磊晶方法,來形成第一堆疊310之磊晶層312與314。
在例示操作215中,亦請參照圖3C,形成溝渠320穿過第一基材區104上方之第一堆疊310。舉例而言,形成氧化物罩幕層或光阻層321,並予以圖案化而暴露出形成溝渠320的表面。溝渠320暴露出第一基材區104之至少一部分。在一實施方式中,利用乾蝕刻製程,例如反應離子蝕刻(「RIE」)或其他適合乾蝕刻製程,形成溝渠320。由於反應離子蝕刻是定向的,因此溝渠320之側壁320SW相對垂直。期望溝渠320之底部320B是相對平坦。然而,在實際生產中,反應離子蝕刻可能產生包含相對平坦之中央底部320BB與傾斜底側壁部320BS。在圖3C中,中央底部320BB與傾斜底側壁部320BS以虛線繪示。
在例示操作220中,亦請參照圖3D,沉積共形介電層322於包含第一堆疊310與溝渠320的晶圓300上方。介電層322為氧化物、氮化物、或其他適合介電材料,且利用化學氣相沉積、原子層沉積、或其他適合沉積技術製作。介電層322之沉積厚度為約5nm至約60nm。將介電層322僅沉積在溝渠320內而沒有沉積在第一堆疊310之上亦是可行的。在一實施方式中,罩幕層231仍覆蓋第一堆疊310。
在例示操作225中,亦請參照圖3E,形成元件間隙壁108於第一堆疊310與溝渠320之間。具體而言,元件間隙壁108將溝渠320與第一堆疊310側向隔開。元件間隙壁108係利用圖案化介電層322而形成。在後續製程中, 例如於後來移除罩幕層321後,可能改變元件間隙壁108之尺寸,其為半導體晶圓製造領域中可獲得理解的。
在元件間隙壁108的製作下,溝渠320之底部320B可受到蝕刻進一步的影響,底部320B實際上可包含相對平坦之底部320BB與傾斜底側壁部320BS,如虛線所示。
在例示操作230中,亦請參照圖3F,藉由修改溝渠320之底部320B,形成凹陷部330。在一實施方式中,透過非等向性蝕刻完成此修改,非等向性蝕刻對於基材102之材料之不同結晶晶面取向具有不同蝕刻速率。舉例而言,在基材102為矽的例子中,可利用氨、氯化氫(HCl)、氫氧化鉀(KOH)、四甲基氫氧化銨(TMAH)、或乙二胺鄰苯二酚(EDP)等蝕刻劑中的一或多種來執行晶體非等向性蝕刻。舉例而言,對於氨,在各矽晶面中之相對蝕刻速率為{110}>{100}>>{110}。對於氯化氫,在{100}、{110}、與{111}晶面中之蝕刻速率差異為10:78:9。對於氫氧化鉀,在{100}、{110}、與{111}晶面中之蝕刻速率差異為300:600:1。對於四甲基氫氧化銨,在{100}、{110}、與{111}晶面中之蝕刻速率差異為37:68:1。對於乙二胺鄰苯二酚,在{100}、{110}、與{111}晶面中之蝕刻速率差異為20:10:1。藉由應用適合之蝕刻劑於蝕刻製程中,可形成凹陷部330之對應外形。舉例而言,在基材102為矽之{100}晶面的例子中,氫氧化鉀或四甲基氫氧化銨蝕刻劑將形成具有相對平坦底部330B、以及實質位於矽之{111}晶面之傾斜側壁部330BS的凹陷部330。利用蝕刻速率在矽 之{100}、{110}、與{111}晶面中的巨大差異可達成此形狀。舉例而言,在一實施方式中,凹陷部330之側壁330BS與底部330B之間的角度θ2實質為54.7度,其基本上為矽之{111}晶面平面至{100}晶面平面之間的角度。
藉由選用不同的蝕刻劑與不同之蝕刻條件,例如蝕刻劑溶液濃度、溫度、壓力等等,凹陷部330之外形或形狀會改變。舉例而言,角度θ2可在約5度至約89度之間變化。此角度範圍對於維持側壁330BS傾斜而非鉛直/垂直,例如90度,是重要的。凹陷部330之深度D1,例如從底部330B至基材102之表面,亦可從約2nm變化至約80nm。然而,所有那些變化可受到控制或為可控制之變化。因此,透過模擬或實驗數據,相對確定或得知凹陷部330所生成之外形與形狀,例如角度θ2及/或深度D1之參數。所生成之凹陷部330的這些已知參數使得技術可以適當方式來填充凹陷部330,如此一或多個填充物層之表面相對平坦。
在一實施方式中,由於非等向性蝕刻製程係在元件間隙壁108形成後進行,因此蝕刻製程移除元件間隙壁108下方之基材102的部分。因此,形成底切(undercut),且凹陷部330之邊緣330E延伸於元件間隙壁108的下方。
在例示操作235中,亦請參照圖3G,形成半導體基底層340於凹陷部330內並填充凹陷部330。在一實施方式中,半導體基底層包含具有填隙特性之材料的半導體基底層。舉例而言,矽鍺為適合之填隙特性的半導體材料。在一實施方式中,半導體基底層340包含一或多個矽鍺層 342,具有其中一矽鍺層342直接位於下方之基材102上。意即,矽鍺層342填充凹陷部330之底部330B上之微小間隙,若有任何微小間隙的話,而這些微小間隙不會轉移到矽鍺基底層342之上表面342U。
在一實施方式中,將磊晶製程應用在沉積矽鍺基底層342上。以矽鍺材料沿凹陷部330之底部330B之晶面取向346成長快於沿側壁330BS之晶面取向348的方式調整磊晶製程。因此,沿晶面取向348之磊晶成長受到抑制,且矽鍺基底層342實質沿取向346沉積而具有實質平坦之上表面342U。舉例而言,在傾斜側壁300BS位於矽之{111}晶面平面且底部330B位於矽之{100}晶面平面的例子中,調整矽鍺磊晶製程,以沉積{100}晶面矽鍺。因晶格不匹配,所成長之{100}晶面的矽鍺並沒有停留在{111}晶面矽之傾斜側壁330BS上。舉例而言,磊晶製程可包含範圍介於約500℃至約650℃的溫度、以及範圍介於約10Torr至300torr的壓力。前驅物可為SiH2Cl2(「二氯矽烷」)與GeH4(「鍺烷」)、矽甲烷(SiH4)、乙矽烷(Si2H6)與二鍺烷(Ge2H6)、或其他適合矽與鍺之前驅物。
應了解到的是,根據凹陷330之已知或確定之參數,例如側壁330BS之角度θ2,來調整矽鍺基底層之磊晶製程。當角度θ2非正好54.7度時,例如{111}晶面,側壁330BS之晶面取向348可能包含{100}晶面、{110}晶面、與{111}晶面成分。磊晶製程之微調確定適合之一組磊晶條件,以實現矽鍺層342沿晶面取向346而非晶面取向348的 選擇性成長。此外,例示操作235與例示操作230亦可以相反之方式配合,其中根據固定之磊晶製程,例如在不同晶面取向中採已知的矽鍺沉積速率,決定側壁330BS之角度θ2。藉此,選擇適合之晶體非等向性蝕刻製程,以形成適合磊晶製程之具確定角度θ2的凹陷部330。
利用所應用之此技術,修改或決定溝渠320之底部外形。透過模擬或實驗,獲得如角度θ2與深度D1之參數。利用所提供之這類參數,形成矽鍺基底層342之磊晶製程為可控制的,藉以實現相對平坦之上表面342U。
此外,利用所提供之凹陷部330的深度D1,可準確設計矽鍺磊晶層342之沉積厚度,並可在磊晶製程期間透過例如振盪石英諧振器(oscillating quartz resonator)臨場(in-situ)監控矽鍺磊晶層342之沉積厚度。這樣的臨場監控對磊晶製程之薄膜沉積部分的厚度控制提供實質即時回饋。因此,藉由在沉積製程期間透過即時監控與回饋方式動態優化磊晶參數,可提升磊晶層342之厚度的精確控制。
此外,延伸在元件間隙壁108下方之邊緣330E的結構特徵對矽鍺磊晶層342提供一個深寬比(aspect ratio)變化基準。利用此深寬比變化基準,可控制磊晶製程,如此基底層340之矽鍺磊晶層342或任何額外磊晶層停在邊緣330E處或在元件間隙壁108之下表面108L。因此,在元件間隙壁108所在之處,上表面340U與基材102之上表面102U實質等高。此外,基底層340之邊緣部340E延伸在元件間隙壁108之下表面108L下。
在一實施方式中,根據形成於半導體基底層340上方之磊晶層的材料,可形成另一基底層344於矽鍺基底層342之上。舉例而言,可形成矽基底層344於矽鍺基底層342之上。將側壁330BS之晶面方向348上與底部330B之晶面方向346上之磊晶成長的類似控制應用於矽基底層344的沉積上。如此一來,矽基底層344之上表面相對平坦。
作為圖示例子,圖3G顯示出半導體基底層340分別包含矽鍺層342與矽層344兩層。此特定例子並非限制本揭露之實施方式之範圍。基底層340可包含其他適合沿不同晶面方向選擇性磊晶成長之半導體材料。此外,根據凹陷部330之深度D1、一基底層之關鍵厚度、以及直接成長在基底層340之上表面340U上之磊晶層的材料,半導體基底層340可包含超過二層之不同基底層。舉例而言,矽鍺基底層342應較其關鍵厚度薄,以維持結構完整性。矽鍺層之關鍵厚度取決於其鍺濃度。舉例而言,在鍺濃度為約20%的例子中,關鍵厚度為約60nm。
在一實施方式中,形成基底層340包含預烘烤(pre-baking)製程238,預烘烤製程238在基底層340之磊晶製程前加熱凹陷部330。預烘烤製程238移除凹陷部330之底部上的原始氧化物。在一實施方式中,以800℃至950℃之表面溫度、100Torr至300Torr之表面壓力、以及氫流,進行約10秒至100秒之預烘烤製程。
在例示操作240中,亦請參照圖3H,形成磊晶層352與354之第二堆疊350於基底層340之上與溝渠320 內。第二堆疊350包含矽鍺磊晶層352與矽磊晶層354以交替方式垂直堆疊。在一實施方式中,第二堆疊350中之矽鍺層352的厚度T4與第一堆疊310中之矽鍺層312的厚度T2不同。第二堆疊350中之矽層354的厚度T5與第一堆疊310中之矽層314的厚度T3不同。此外,在第二堆疊350中,矽鍺層352之厚度T4不同於矽層354之厚度T5。
此外,當第二堆疊350之矽鍺層352與第一堆疊310之矽鍺層312個別形成時,矽鍺層352可包含不同於矽鍺層312之鍺濃度。
如圖3H所示,於第二堆疊350形成後。可透過例如蝕刻或平坦化移除罩幕層321(圖3G),且可透過平坦化製程將元件間隙壁108截短,以降低垂直尺寸。
在一實施方式中,可針對第一堆疊310與第二堆疊350分別設計厚度T2、T3、T4、與T5。因素可能包含各別磊晶層312、314、352、與354是用以形成通道奈米線帶或作為犧牲奈米線帶。因素亦可能包含欲從此形成之元件的各別類型。分別形成第一堆疊310與第二堆疊350,以製作不同類型之元件,例如n型場效電晶體與p型場效電晶體元件。利用所揭露之技術,分別形成第一堆疊310與第二堆疊350,且分別控制磊晶層312、314、352、與354的厚度T2、T3、T4、與T5,以符合各別之元件,例如n型場效電晶體與p型場效電晶體元件,之獨特元件設計或操作設計。
在一實施方式中,為有利於後續之製造製程,第一堆疊310與第二堆疊350個別之上表面310U與上表面 350U實質等高。第二堆疊350之矽鍺層352的厚度T4與第一堆疊310之矽層314之厚度T3實質相同,在此例如8nm。第二堆疊350之矽層354的厚度T5與第一堆疊310之矽鍺層312之厚度T2實質相同,在此例如5nm。
圖3H顯示出第一堆疊310與第二堆疊350在個別之矽鍺磊晶層312與352以及矽磊晶層314與354中包含相同順序。意即,堆疊310與350均在底部以矽鍺磊晶層312與352開始,而在頂部以矽磊晶層314與354結束。圖示例子並未限制本揭露之實施方式的範圍。第一堆疊310或第二堆疊350之一或多個可在底部以矽磊晶層開始,其亦可行且包含在本揭露之實施方式中。
作為圖示例子,圖3H顯示出第二堆疊350與第一堆疊310均包含矽與矽鍺磊晶層。此例子並未限制本揭露之實施方式的範圍。在其他例子中,第二堆疊350可包含不同於第一堆疊310之磊晶層之材料組合的磊晶層材料組合。
在閘極全環製程中,第一堆疊310之矽磊晶層314用以製作n型場效電晶體元件之奈米線通道區,第一堆疊310之矽鍺磊晶層312用以製作犧牲奈米線帶,例如後來將被移除。第二堆疊350之矽鍺磊晶層352用以製作p型場效電晶體元件之奈米線通道區,第二堆疊350之矽磊晶層354用以製作犧牲奈米線帶。
在例示操作245中,亦請參照圖3I,圖案化第一堆疊310與第二堆疊350,以形成矽鍺奈米線帶312與矽奈米線帶314之第一圖案化堆疊310P、以及矽鍺奈米線帶 352與矽奈米線帶354之第二圖案化堆疊350P。在一些實施方式中,第一圖案化堆疊310P與第二圖案化堆疊350P為鰭狀。
在一些實施方式中,此圖案化亦可能形成鰭狀基材部106P與104P(均以虛線表示)分別位於鰭狀之第一圖案化堆疊310P與第二圖案化堆疊350P下方。可形成介電層環繞鰭狀基材部106P與104P分別達第二基材區106與基底層340之上表面106U與340U的高度。鰭狀基材部104P包含基底層340之圖案化部196(圖1)。
在例示操作250中,亦請參照圖3J,利用閘極全環(「GAA」)製程,分別形成n型場效電晶體元件150與p型場效電晶體元件110於第一圖案化堆疊310P與第二圖案化堆疊350P之上。舉例而言,n型場效電晶體元件150之通道160由矽奈米線帶314所組成,p型場效電晶體元件110之通道120由矽鍺奈米線帶352所組成。移除矽鍺之犧牲奈米線帶312,且以n型場效電晶體元件150之閘極結構170取代。移除矽之犧牲奈米線帶354,且以p型場效電晶體元件110之閘極結構130取代。
矽鍺基底層192(由基底層342圖案化)與矽基底層194(由基底層344圖案化)為p型場效電晶體元件110下方之圖案化基材104P的一部分。基底矽鍺層192與基底矽層194並非用以製作通道區120,因其沉積係為了填充凹陷部330而非為了供製作通道區。
由於基底層340之邊緣部340E延伸在元件間隙壁108之下,因此邊緣部340E之至少一部分於圖案化基材104且形成鰭狀基材圖案104P後會留下。在一實施方式中,留下之邊緣部340E在頂部鄰接元件間隙壁108且在側邊鄰接介電層360。留下之邊緣部340E為基底層190之一部分,且均圖案化自相同基底層340。
如所見,圖3J從不同於圖1之視角顯示元件110與150。圖1與圖3J之任一者或兩者並非用以在關於一積體電路中呈互補方式之n型場效電晶體元件150與p型場效電晶體元件110之間之相對位置及/或連接安排上限制本揭露之實施方式之範圍。
如在此所描述的,在個別形成之奈米線堆疊310與350中之奈米線帶312、314、352、與354的順序、材料、厚度、或其他參數可針對每個元件150與110個別訂製與優化。這樣的彈性在分別與各別改善p型場效電晶體與n型場效電晶體元件之元件性能上有優勢。此外,元件間隙壁108整合在奈米線堆疊310與350之製作中,而簡化互補式金氧半導體製程至高電壓類比製程的整合,如雙極性-互補式金氧半元件-擴散式金氧半元件(Bipolar-CMOS-DMOS,「BCD」)製程。
此外,所揭露之形成基底層340的技術解決了在溝渠之傾斜底部中磊晶成長的問題。凹陷部330之經控制的晶面角度以及基底層340中之基底層之經控制的磊晶成 長實現基底層340之相對平坦上表面。這樣的基底層340有利於在其上方之半導體層的磊晶成長。
在圖3C的一個替代或另一實施方式中,於溝渠320形成後,硬罩幕層留在第一堆疊310之上。舉例而言,在蝕刻溝渠320時,可將硬罩幕層圖案化為蝕刻終止層。透過蝕刻形成溝渠320後,並未移除或者近來未移除硬罩幕層。留下之硬罩幕層可在包含蝕刻部分或後續化學機械研磨(CMP)製程的後續製程中作為額外的蝕刻終止層或化學機械研磨終止層。舉例而言,後續磊晶製程可能包含蝕刻部分。硬罩幕層為氧化矽、氧化鋁鉿、氧化鎂鋁、氮化矽、或其他適合蝕刻終止材料的一或多種。
硬罩幕層在移除沉積在第一堆疊310上方之過量介電層322中作為蝕刻終止或化學機械研磨終止層。
可保留硬罩幕層直至形成第二堆疊350於溝渠320中,形成第二堆疊350時係利用類似於圖3F至圖3H所示之那些製程的製程。於第二堆疊350已經形成後,可例如利用研磨製程移除硬罩幕層,而可得到圖3H之結構。
可由以下之實施方式的描述更理解本揭露之實施方式:在一實施方式中,一種積體電路包含基材,基材包含第一基材區與第二基材區。積體電路亦包含第一元件位於第一基材區之上以及第二元件位於第二基材區之上。第一元件包含第一半導體材料之第一複數個奈米線帶、第一閘極結構環繞至少一第一複數個奈米線帶、以及第一源極/汲 極結構接觸此至少一第一複數個奈米線帶。第二元件包含第二半導體材料之第二複數個奈米線帶位於第二基材區之上、第二閘極結構環繞至少一第二複數個奈米線帶、以及第二源極/汲極結構接觸此至少一第二複數個奈米線帶。積體電路亦包含半導體基底層嵌在第一基材區或第二基材區之一中,且位於第一元件或第二元件之對應一者之下。
在一實施例中,積體電路更包含元件間隙壁設於第一元件與第二元件之間。在一實施例中,半導體基底層延伸在元件間隙壁之下。在一實施例中,第一複數個帶包含第一帶與第二帶鄰近第一帶,第一帶與第二帶之間之垂直距離小於第一帶之厚度。在一實施例中,第二複數個帶包含第三帶與第四帶鄰近於第三帶,第三帶與第四帶之間之垂直距離小於第三帶之厚度。在一實施例中,第一半導體材料為矽,第二半導體材料為矽鍺。在一實施例中,半導體基底層包含矽鍺基底層直接位於基材之上。在一實施例中,半導體基底層更包含矽基底層位於矽鍺基底層之上。在一實施例中,半導體基底層之側壁傾斜。
在一結構實施方式中,結構包含基材、基底層嵌於基材中之凹陷部中、元件位於半導體基底區之上。基底區包含不同於基材之材料。元件包含半導體材料之複數個奈米線帶、閘極結構環繞至少一複數個奈米線帶、以及源極/汲極結構接觸此至少一複數個奈米線帶。
在一結構實施方式中,結構包含基材、基底層、以及元件。基底層嵌於基材之凹陷部中。元件位於基底層之 上,元件包含半導體材料之複數個帶、閘極結構環繞複數個帶之至少一者、以及第一源極/汲極結構接觸複數個帶之此至少一者。基底層包含不同於基材之材料。
在一實施例中,基底層側向延伸在複數個帶之外。在一實施例中,結構更包含介電元件間隙壁位於基材之上,基底層之邊緣延伸在介電元件間隙壁之下。在一實施例中,閘極結構與源極/汲極結構被內間隙壁隔開。
在一方法實施方式中,形成第一複數個半導體層之第一堆疊於結晶基材之上,形成溝渠於第一堆疊中,以透過溝渠暴露出結晶基材。形成元件間隙壁鄰近溝渠之側壁。利用蝕刻製程形成凹陷部於溝渠之底部,此蝕刻製程在結晶基材之結晶晶面中為非等向性,如此凹陷具有底部以及對底部具有一角度之傾斜側壁部。形成半導體基底層於凹陷部內。形成第二複數個半導體層之第二堆疊於半導體基底層之上。
在一實施例中,形成半導體基底層包含預烘烤製程。在一實施例中,傾斜側壁部對底部之角度介於約5度至約89度之間。在一實施例中,結晶基材為矽,且角度落在介於約45度至約65度之間之範圍內。在一實施例中,利用磊晶製程在形成半導體基底層中,磊晶製程沿傾斜側壁部之晶面取向形成半導體基底層之磊晶材料較沿底部之晶面取向慢。在一實施例中,第一堆疊包含第一矽鍺層,第二堆疊包含第二矽鍺層,第二矽鍺層包含不同於第一矽鍺層之鍺 濃度。在一實施例中,第一堆疊包含第一矽層,第二堆疊包含第二矽層,第二矽層包含不同於第一矽層之厚度。
可結合上述之多個實施方式,以提供更多之實施方式。在此說明書中所參照及/或列在申請資料表中之所有美國專利案、美國專利申請公開案、美國專利申請案、外國專利案、外國專利申請案、以及非專利出版品全體在此併入參照。若需應用各個專利案、申請案、或出版品之概念以提供更進一步之實施方式時,可修改實施方式之態樣。
鑑於上面之詳細描述,可對實施方式進行這些與其他改變。一般而言,在下列之申請專利範圍中,所使用之用語不應解釋為將申請專利範圍限制於說明書與申請專利範圍中所揭露之特定實施方式,而應解釋成包含所有可能實施方式與這些申請專利範圍所被授予之等效的全部範圍。因此,申請專利範圍不受限於此揭露之實施方式。
100:積體電路
102:基材
102S:表面、上表面
104:n型井、基材區、N型井、基材
106:p型井、基材區、第二基材區
108:介電體、元件間隙壁
110:第一元件、元件、p型場效電晶體元件
120:奈米線帶、通道區、通道
130:閘極結構、閘極
132:外間隙壁
134:內間隙壁
140:源極/汲極區、源極/汲極結構
142:介電層
150:第二元件、元件、n型場效電晶體元件
160:奈米線帶、通道區、通道
170:閘極結構、閘極
172:外間隙壁
174:內間隙壁
180:源極/汲極區、源極/汲極結構
182:介電層
190:基底層、圖案化部
190B:底部
190S:表面
190SW:傾斜側壁部
192:矽鍺基底層
194:矽基底層
196:圖案化部
T1:厚度
θ1:角度

Claims (10)

  1. 一種積體電路,包含:一基材,包含一第一基材區與一第二基材區;一第一元件,包含一第一半導體材料之一第一複數個帶位於該第一基材區之上、一第一閘極結構環繞該第一複數個帶之至少一者、以及一第一源極/汲極結構接觸該第一複數個帶之該至少一者;一第二元件,包含一第二半導體材料之一第二複數個帶位於該第二基材區之上、一第二閘極結構環繞該第二複數個帶之至少一者、以及一第二源極/汲極結構接觸該第二複數個帶之該至少一者;一元件間隙壁,環繞該第一元件和該第二元件其中一者,但不環繞該第一元件和該第二元件其中另一者;以及一半導體基底層,嵌在該第一基材區或該第二基材區之一者中,且位於該第一元件或該第二元件之對應一者之下。
  2. 如申請專利範圍第1項之積體電路,其中該元件間隙壁設於該第一元件與該第二元件之間。
  3. 如申請專利範圍第1項之積體電路,其中該半導體基底層包含一底部和一傾斜側壁部,該傾斜側壁部自該元件間隙壁延伸至該底部。
  4. 如申請專利範圍第1項之積體電路,其中該半導體基底層之一表面與該基材之一表面實質等高。
  5. 一種積體電路,包含:一基材;一基底層,嵌於該基材之一凹陷部中,其中該基底層包含一底部和一傾斜側壁部,該傾斜側壁部自該基底層之一表面延伸至該底部;以及一元件,位於該基底層之上,該元件包含一半導體材料之複數個帶、一閘極結構環繞該複數個帶之至少一者、以及一第一源極/汲極結構接觸該複數個帶之該至少一者;其中該基底層包含不同於該基材之一材料。
  6. 如申請專利範圍第5項之積體電路,其中該基底層側向延伸在該複數個帶之外。
  7. 如申請專利範圍第5項之積體電路,其中該閘極結構與該源極/汲極結構被一內間隙壁隔開。
  8. 一種積體電路之製造方法,包含:形成一第一複數個半導體層之一第一堆疊於一結晶基材之上;形成一溝渠於該第一堆疊中,以暴露出該結晶基材;形成一元件間隙壁鄰近該溝渠之一側壁; 利用一蝕刻製程形成一凹陷部於該溝渠之一底部,該蝕刻製程在該結晶基材之複數個結晶晶面中為非等向性,該凹陷具有一底部以及對該底部具有一角度之一傾斜側壁部;形成一半導體基底層於該凹陷部內;形成一第二複數個半導體層之一第二堆疊於該半導體基底層之上。
  9. 如申請專利範圍第8項之方法,其中利用一磊晶製程在形成該半導體基底層中,該磊晶製程沿該傾斜側壁部之一晶面取向形成該半導體基底層之一磊晶材料較沿該底部之一晶面取向慢。
  10. 如申請專利範圍第8項之方法,其中該第一堆疊包含一第一矽鍺層,該第二堆疊包含一第二矽鍺層,該第二矽鍺層包含不同於該第一矽鍺層之一鍺濃度。
TW108134724A 2018-09-26 2019-09-25 積體電路及其製造方法 TWI723556B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862736962P 2018-09-26 2018-09-26
US62/736,962 2018-09-26
US16/536,113 2019-08-08
US16/536,113 US11038036B2 (en) 2018-09-26 2019-08-08 Separate epitaxy layers for nanowire stack GAA device

Publications (2)

Publication Number Publication Date
TW202032795A TW202032795A (zh) 2020-09-01
TWI723556B true TWI723556B (zh) 2021-04-01

Family

ID=69725107

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108134724A TWI723556B (zh) 2018-09-26 2019-09-25 積體電路及其製造方法

Country Status (5)

Country Link
US (3) US11038036B2 (zh)
KR (1) KR102270969B1 (zh)
CN (1) CN110957275B (zh)
DE (1) DE102019122576A1 (zh)
TW (1) TWI723556B (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11532706B2 (en) 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having embedded GeSnB source or drain structures
US11532734B2 (en) 2019-03-29 2022-12-20 Intel Corporation Gate-all-around integrated circuit structures having germanium nanowire channel structures
US10910470B1 (en) * 2019-07-18 2021-02-02 International Business Machines Corporation Nanosheet transistors with inner airgaps
US10903317B1 (en) * 2019-08-07 2021-01-26 Globalfoundries U.S. Inc. Gate-all-around field effect transistors with robust inner spacers and methods
US20220285497A1 (en) * 2019-12-30 2022-09-08 Unist(Ulsan National Institute Of Science And Technology) Transistor, ternary inverter comprising same, and transistor manufacturing method
US11158632B1 (en) * 2020-04-01 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd Fin-based strap cell structure for improving memory performance
US11417766B2 (en) 2020-04-21 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
TWI764678B (zh) * 2020-04-24 2022-05-11 台灣積體電路製造股份有限公司 半導體結構及其形成方法
US11581415B2 (en) * 2020-04-24 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer channel structures and methods of fabricating the same in field-effect transistors
US20210367034A1 (en) * 2020-05-22 2021-11-25 Taiwan Semiconductor Manufacturing Company Limited Memory devices and methods of manufacturing thereof
US11302580B2 (en) * 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet thickness
US11776900B2 (en) 2020-06-22 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with contact structure
US11916070B2 (en) * 2020-10-22 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with nanosheets
US11462612B2 (en) 2020-10-28 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure
US11728401B2 (en) * 2020-10-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
KR102395096B1 (ko) * 2020-11-30 2022-05-10 (재)한국나노기술원 수평 에피택시 성장을 이용하여 수직으로 적층된 나노와이어 채널을 갖는 전계효과 트랜지스터 제조방법
US20220199774A1 (en) * 2020-12-22 2022-06-23 Intel Corporation Gate-all-around integrated circuit structures having germanium-diffused nanoribbon channel structures
US20220199619A1 (en) * 2020-12-23 2022-06-23 Intel Corporation Gate dielectrics for complementary metal oxide semiconductors transistors and methods of fabrication
US11735647B2 (en) * 2021-01-26 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device
US20220246479A1 (en) * 2021-02-04 2022-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain regions and methods of forming same
US11710737B2 (en) 2021-02-05 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid semiconductor device
US20220359199A1 (en) * 2021-05-07 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure with reduced defects and manufacturing method thereof
US20230010541A1 (en) * 2021-07-08 2023-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate all around device and method of forming the same
KR102575699B1 (ko) * 2021-10-12 2023-09-07 충북대학교 산학협력단 나노시트 반도체 소자 제조방법 및 이에 의하여 제조된 나노시트 반도체 소자

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060240622A1 (en) * 2005-04-21 2006-10-26 Samsung Electronics Co., Ltd. Multi-channel semiconductor device and method of manufacturing the same
TW201409716A (zh) * 2012-08-30 2014-03-01 Taiwan Semiconductor Mfg 場效電晶體及其形成方法及半導體裝置
TW201611287A (zh) * 2014-06-20 2016-03-16 英特爾股份有限公司 高電壓電晶體與低電壓非平面電晶體的單體集成
TW201626463A (zh) * 2014-10-28 2016-07-16 格羅方德半導體公司 形成三閘極鰭式場效電晶體裝置之方法及其結果裝置
TW201735160A (zh) * 2015-12-16 2017-10-01 格羅方德半導體公司 水平閘極環繞奈米線電晶體之底部隔離

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100550343B1 (ko) * 2003-11-21 2006-02-08 삼성전자주식회사 다중 채널 모오스 트랜지스터를 포함하는 반도체 장치의제조 방법
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8765563B2 (en) 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9786774B2 (en) 2014-06-27 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate of gate-all-around transistor
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9881993B2 (en) 2014-06-27 2018-01-30 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor structure with horizontal gate all around structure
US9620607B2 (en) * 2014-12-04 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device structure and Fin field effect transistor (FinFET) device structure
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9520466B2 (en) 2015-03-16 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate-all-around field effect transistors and methods of forming same
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
KR20170036966A (ko) 2015-09-24 2017-04-04 삼성전자주식회사 반도체 소자의 제조하는 방법
US9853101B2 (en) 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102461174B1 (ko) * 2016-02-26 2022-11-01 삼성전자주식회사 반도체 소자
US9853114B1 (en) 2016-10-24 2017-12-26 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US10312152B2 (en) 2016-10-24 2019-06-04 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US10243079B2 (en) * 2017-06-30 2019-03-26 International Business Machines Corporation Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060240622A1 (en) * 2005-04-21 2006-10-26 Samsung Electronics Co., Ltd. Multi-channel semiconductor device and method of manufacturing the same
TW201409716A (zh) * 2012-08-30 2014-03-01 Taiwan Semiconductor Mfg 場效電晶體及其形成方法及半導體裝置
TW201611287A (zh) * 2014-06-20 2016-03-16 英特爾股份有限公司 高電壓電晶體與低電壓非平面電晶體的單體集成
TW201626463A (zh) * 2014-10-28 2016-07-16 格羅方德半導體公司 形成三閘極鰭式場效電晶體裝置之方法及其結果裝置
TW201735160A (zh) * 2015-12-16 2017-10-01 格羅方德半導體公司 水平閘極環繞奈米線電晶體之底部隔離

Also Published As

Publication number Publication date
CN110957275A (zh) 2020-04-03
US20230352551A1 (en) 2023-11-02
DE102019122576A1 (de) 2020-03-26
US20200098879A1 (en) 2020-03-26
TW202032795A (zh) 2020-09-01
KR102270969B1 (ko) 2021-07-02
CN110957275B (zh) 2022-05-27
US11038036B2 (en) 2021-06-15
KR20200035896A (ko) 2020-04-06
US20210305390A1 (en) 2021-09-30
US11742405B2 (en) 2023-08-29

Similar Documents

Publication Publication Date Title
TWI723556B (zh) 積體電路及其製造方法
TWI726365B (zh) 半導體元件及其製造方法
CN108431953B (zh) 垂直晶体管制造和器件
US9466697B2 (en) Semiconductor devices and methods of manufacturing the same
US20160149036A1 (en) Source/drain structure and manufacturing the same
KR20120085928A (ko) Finfet 및 트라이-게이트 디바이스들을 위한 랩-어라운드 콘택들
JP2013058740A (ja) 代用ソース/ドレインフィンfet加工
WO2021081155A1 (en) Methods for gaa i/o formation by selective epi regrowth
TW202030884A (zh) 半導體裝置及其製造方法
TW201804524A (zh) 半導體元件及其製造方法
US10367077B1 (en) Wrap around contact using sacrificial mandrel
TW202117933A (zh) 製造半導體裝置的方法及半導體裝置
US11094781B2 (en) Nanosheet structures having vertically oriented and horizontally stacked nanosheets
TW202201506A (zh) 半導體裝置及其製造方法
US8674444B2 (en) Structure and method of forming a transistor with asymmetric channel and source/drain regions
US11855141B2 (en) Local epitaxy nanofilms for nanowire stack GAA device
TW202117820A (zh) 半導體裝置及其製造方法
TWI777605B (zh) 半導體裝置與其形成方法
TWI832133B (zh) 半導體元件及方法
CN112864239B (zh) 场效应晶体管及其制备方法
US20210134995A1 (en) Vertical channel device
US20240154022A1 (en) Etching process with protected region
US20230207622A1 (en) Semiconductor structure having stacked power rails
CN115911010A (zh) 半导体器件及其形成方法
TW202333381A (zh) 半導體元件及其製造方法