TW201804524A - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TW201804524A
TW201804524A TW105136100A TW105136100A TW201804524A TW 201804524 A TW201804524 A TW 201804524A TW 105136100 A TW105136100 A TW 105136100A TW 105136100 A TW105136100 A TW 105136100A TW 201804524 A TW201804524 A TW 201804524A
Authority
TW
Taiwan
Prior art keywords
layer
epitaxial layer
epitaxial
forming
fin structure
Prior art date
Application number
TW105136100A
Other languages
English (en)
Other versions
TWI649796B (zh
Inventor
黃翊銘
陳秀亭
張世杰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201804524A publication Critical patent/TW201804524A/zh
Application granted granted Critical
Publication of TWI649796B publication Critical patent/TWI649796B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供P-型金氧半場效電晶體的源極結構的製造方法。方法中,於場效電晶體的源極區上形成包含Si1-xGex的第一磊晶層。於第一磊晶層上形成包含Si1-yGey的第二磊晶層。於第二磊晶層上形成包含Si1-zGez的第三磊晶層。z小於y。

Description

半導體元件及其製造方法
本揭露係有關於半導體積體電路,特別是有關於P-型金氧半場效電晶體的磊晶源極/汲極結構。
隨著半導體工業已進展到奈米技術製程的時點,期追求更高元件密度、更高效能、及更低成本,而來自製程及設計因素的雙重挑戰已促使三維設計的開發,例如鰭式場效電晶體(Fin FET),以及具有高介電材料的金屬閘極結構的使用。通常利用閘極替代技術製作金屬閘極結構,以及利用磊晶成長法形成源極與汲極。在本揭露中,源極與汲極可互換使用,並可將源極的結構及/或組態應用至汲極。
根據一些實施例,本揭露提供一種P-型金氧半場效電晶體之源極/汲極結構之製造方法,包括:形成一第一磊晶層於一場效電晶體之一源極/汲極區上,該第一磊晶層包含Si1-xGex;形成一第二磊晶層於該第一磊晶層上,該第二磊晶層包含Si1-yGey;以及形成一第三磊晶層於該第二磊晶層上,該第三磊晶層包含Si1-zGez,其中z小於y。
根據一些實施例,本揭露提供一種P-型金氧半鰭式(fin)場效電晶體之製造方法,包括:形成一鰭式結構於一基板 上,該鰭式結構於平面圖中以一第一方向延伸;形成一隔離絕緣層於該基板上,使得該鰭式結構之一下部包埋於該隔離絕緣層中,以及該鰭式結構之一上部自該隔離絕緣層露出;形成一閘極結構於一部分之該鰭式結構上,該閘極結構以一第二方向延伸,橫跨平面圖中之該第一方向;使該鰭式結構未以該閘極結構覆蓋之一上部凹陷;形成一第一磊晶層於該凹陷之閘極結構上,該第一磊晶層包含Si1-xGex;形成一第二磊晶層於該第一磊晶層上,該第二磊晶層包含Si1-yGey;形成一第三磊晶層於該第二磊晶層上,該第三磊晶層包含Si1-zGez;形成一金屬層於該第三磊晶層上,該金屬層包括鈦、鈷、及鎳至少其中之一;以及藉由該第三磊晶層與該金屬層之一反應,形成矽、鍺與該鈦、鈷、及鎳至少其中之一之一合金層,其中x小於y,z小於y。
根據一些實施例,本揭露提供一種半導體元件,包括:一隔離絕緣層,設置於一基板上;一鰭式結構,設置於該基板上,該鰭式結構於平面圖中以一第一方向延伸;一閘極結構,設置於一部分之該鰭式結構上,該閘極結構以一第二方向延伸,橫跨該第一方向;一源極/汲極結構;以及一源極/汲極接觸窗,其中該源極/汲極結構包括多層磊晶層,每一磊晶層包括矽鍺,該等多層磊晶層之一最上層之鍺濃度小於該等多層磊晶層之一第二最上層之鍺濃度,以及一合金層,包括矽、鍺及鈦,形成於該最上層與該源極/汲極接觸窗之間。
10‧‧‧基板
10M‧‧‧檯面形狀
15‧‧‧罩幕層(硬罩幕圖案)
15A‧‧‧墊氧化層
15B‧‧‧氮化矽罩幕層
20‧‧‧鰭式結構
30‧‧‧隔離絕緣層
40‧‧‧閘極結構
42‧‧‧介電層
44‧‧‧閘極圖案
46‧‧‧頂蓋絕緣層
48‧‧‧閘極側壁間隙壁
50‧‧‧第一磊晶層
60‧‧‧第二磊晶層
65‧‧‧空隙
70‧‧‧第三磊晶層
80、80’‧‧‧金屬矽化物層
85‧‧‧絕緣層
90‧‧‧(第二)層間介電層
95、95’‧‧‧接觸孔
100、100’‧‧‧接觸栓
H1‧‧‧鰭式結構的高度
H2‧‧‧閘極結構的高度
H3‧‧‧隔離絕緣層上表面與凹陷鰭式結構上表面間的距離(深度)
H4‧‧‧空隙的高度
S1‧‧‧鰭式結構的間隙
W1‧‧‧鰭式結構的寬度
X、Y、Z‧‧‧方向
根據以下的詳細說明並配合所附圖式,可了解本 揭露書之面向。應注意的是,根據本產業的標準作業,許多構件未按照比例繪製。事實上,為使討論清楚,許多構件之尺寸可能被任意地放大或縮小。
第1-4、5A-5C、6-12圖係根據本揭露的一些實施例,顯示鰭式場效電晶體元件不同製造階段的剖面圖。
第13、14圖係根據本揭露的另一些實施例,顯示鰭式場效電晶體元件不同製造階段的剖面圖。
以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。以下敘述構件及排列方式的特定實施例或範例,以求簡化本揭露內容。當然,這些僅為範例說明並非用以限定本發明。舉例來說,構件尺寸並未限定於所揭露的範圍或數值,而是根據製程條件及/或元件的期望特性。再者,若是以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了上述第一特徵部件與上述第二特徵部件形成直接接觸的實施例,亦包含了尚可將附加的特徵部件形成於上述第一特徵部件與上述第二特徵部件之間,而使得上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。為達簡化及明確目的,不同特徵部件可隨意繪製成不同尺寸。為求簡化,在所附圖式中,可省略一些層別/特徵部件。
再者,在空間上的相關用語,例如”之下”、”以下”、”下”、”以上”、”上”等等在此處係用以容易表達出本說明書中所繪示的圖式中元件或特徵部件與另外的元件或特徵部件的 關係。這些空間上的相關用語除了涵蓋圖式所繪示的方位外,還涵蓋裝置於使用或操作中的不同方位。此裝置可具有不同方位(旋轉90度或其他方位)且此處所使用的空間上的相關符號同樣有相應的解釋。此外,”由...所構成”一詞可意味”包括”或”僅包括”。再者,在以下製程中,可於所述操作中或之間進行一或多個額外的操作,且可更改操作順序。
第1-12圖係根據本揭露的一些實施例,顯示鰭式場效電晶體元件不同製造階段的剖面圖。可在第1-12圖所示製程之前、期間與之後提供額外的操作。並且對於上述方法的其他實施例,可替換或排除以下所述的一些操作。亦可互換操作/製程的順序。
為形成鰭式結構,於基板10上,形成罩幕層15。藉由例如熱氧化製程及/或化學氣相沈積(CVD)製程形成罩幕層15。基板10例如為摻質濃度介於約1×1015cm-3至約1×1016cm-3的P-型矽或鍺基板。在其他實施例中,基板為摻質濃度介於約1×1015cm-3至約1×1016cm-3的N-型矽或鍺基板。
或者,基板10可包括其他元素半導體、包含例如碳化矽與鍺化矽的四-四族化合物半導體或例如砷化鎵、磷化鎵、氮化鎵、磷化銦、砷化銦、銻化銦、磷化砷鎵、氮化鎵鋁、砷化銦鋁、砷化鎵鋁、砷化銦鎵、磷化銦鎵及/或砷磷化鎵銦的三-五族化合物半導體的化合物半導體、或其組合。在一實施例中,基板10為絕緣層上覆矽(SOI)基板的矽層。例如非晶矽或非晶碳化矽的非晶基板或例如氧化矽的絕緣材料亦可作為基板10。基板10可包括已適當摻雜摻質(例如P-型或N-型導 電性)的不同區域。
在一些實施例中,罩幕層15包括例如墊氧化層(例如氧化矽)15A及氮化矽罩幕層15B。
可藉由熱氧化或化學氣相沈積(CVD)製程形成墊氧化層15A。可藉由例如濺鍍法的物理氣相沈積(PVD)、化學氣相沈積(CVD)、電漿輔助化學氣相沈積(PECVD)、常壓化學氣相沈積(APCVD)、低壓化學氣相沈積(LPCVD)、高密度電漿化學氣相沈積(HDPCVD)、原子層沈積(ALD)及/或其他製程形成氮化矽罩幕層15B。
在一些實施例中,墊氧化層15A的厚度介於約2奈米至約15奈米,以及氮化矽罩幕層15B的厚度介於約2奈米至約50奈米。於罩幕層上,更包括形成罩幕圖案。罩幕圖案為例如藉由微影操作所形成的光阻圖案。
以罩幕圖案作為蝕刻罩幕,形成墊氧化層與氮化矽罩幕層的硬罩幕圖案15,如第1圖所示。
之後,如第1圖所示,以硬罩幕圖案15作為蝕刻罩幕,藉由利用乾蝕刻法及/或濕蝕刻法的溝槽蝕刻圖案化基板10形成鰭式結構20。
在第2圖中,於基板10上,設置三個鰭式結構20。然而,鰭式結構的數目並不限定於三個,數目可小至一、或三個以上。此外,鄰近鰭式結構20的兩側,可設置一或多個偽鰭式結構(dummy fin structures),以提升圖案化製程中的圖案擬真度(pattern fidelity)。
鰭式結構(fin structure)20可包括與基板10相同的 材料,且可自基板10連續延伸。在此實施例中,鰭式結構包括矽。鰭式結構20的矽層可為本質性或以N-型摻質或P-型摻質適當摻雜。
在一些實施例中,鰭式結構20的寬度W1介於約5奈米至約40奈米,在其他實施例中,其寬度介於約7奈米至約15奈米。在一些實施例中,兩鰭式結構間的間隙S1介於約10奈米至約50奈米。在一些實施例中,鰭式結構20的高度(沿Z方向)介於約100奈米至約300奈米,在其他實施例中,其高度介於約50奈米至約100奈米。
位於閘極結構40下方(請參見第5A圖),鰭式結構20的下部可視為井區。鰭式結構20的上部可視為通道區。位於閘極結構40下方,井區包埋於隔離絕緣層30中(請參見第5A圖)。通道區自隔離絕緣層30突出。通道區的下部亦可包埋於隔離絕緣層30中至深度約1奈米至約5奈米。
在一些實施例中,井區的高度介於約60奈米至約100奈米。通道區的高度介於約30奈米至約60奈米,在其他實施例中,其高度介於約35奈米至約55奈米。
於形成鰭式結構20之後,進一步蝕刻基板10,以形成檯面形狀10M,如第3圖所示。在其他實施例中,先形成檯面形狀10M,再形成鰭式結構20。
於形成鰭式結構20與檯面形狀10M之後,於鰭式結構間的間隙及/或一鰭式結構與另一形成於基板10上的構件間的間隙,形成隔離絕緣層30。隔離絕緣層30亦可稱為淺溝槽隔離(STI)層。隔離絕緣層30的絕緣材料可包括一或多層的氧化 矽、氮化矽、氮氧化矽、氮碳氧化矽(SiOCN)、摻氟矽玻璃、或低介電材料。藉由低壓化學氣相沈積(LPCVD)、電漿化學氣相沈積(plasma-CVD)或流動式化學氣相沈積(flowable CVD)形成隔離絕緣層。在流動式化學氣相沈積中,流動式介電材料取代氧化矽進行沈積。流動式介電材料如其名,在沈積過程中可流動,以填入具有高深寬比的間隙或空間。通常,添加不同化學物質至含矽前驅物,以使沈積膜流動。在一些實施例中,添加氮氫化物。流動式介電前驅物的例子,特別是流動式氧化矽前驅物,包括矽酸鹽、矽氧烷、甲基倍半矽氧烷(MSQ)、氫倍半矽氧烷(HSQ)、甲基倍半矽氧烷(MSQ)/氫倍半矽氧烷(HSQ)、全氫矽氮燒(perhydrosilazane,TCPS)、全氫聚矽氮烷(perhydro-polysilazane,PSZ)、四乙氧基矽烷(TEOS)、或甲矽烷基胺(silyl-amine),例如三甲矽烷基胺(TSA)。以多重操作製程形成這些流動式氧化矽材料。於沈積流動膜之後,進行烘乾並回火,以移除非期望物質,形成氧化矽。當移除非期望物質時,流動膜會緻密化及收縮。在一些實施例中,導入多重回火製程。流動膜進行一次以上的烘乾及回火。流動膜亦可摻雜硼及/或磷。
隔離絕緣層30先形成厚層,使得鰭式結構包埋於厚層中。厚層進行凹陷處理,以露出鰭式結構20的上部,如第4圖所示。在一些實施例中,鰭式結構自隔離絕緣層30上表面的高度H1介於約20奈米至約100奈米,在其他實施例中,其高度介於約30奈米至約50奈米。在對隔離絕緣層30進行凹陷處理之後或之前,可實施熱製程,例如回火製程,以提升隔離絕緣 層30的品質。在特定實施例中,利用例如氮、氬、氦環境的惰性氣體環境、溫度介於約900℃至約1050℃、約1.5秒至約10秒的快速熱回火(RTA)實施熱製程。
於形成隔離絕緣層30之後,於鰭式結構20上,形成閘極結構40,如第5A-5C圖所示。第5A圖為透視圖,第5B圖為第5A圖沿剖面線a-a所得的剖面圖,第5C圖為第5A圖沿剖面線b-b所得的剖面圖。第6-14圖亦為第5A圖沿剖面線b-b所得的剖面圖。
如第5A圖所示,閘極結構40以x方向延伸,而鰭式結構20以Y方向延伸。
為製作閘極結構40,於隔離絕緣層30與露出的鰭式結構20上,形成介電層與多晶矽層,之後,實施圖案化操作,以獲得包含由多晶矽所構成的閘極圖案44以及介電層42的閘極結構。在一些實施例中,利用硬罩幕圖案化多晶矽層。殘留於閘極圖案44上的硬罩幕作為頂蓋絕緣層46。硬罩幕(頂蓋絕緣層46)包括一或多層的絕緣材料。在一些實施例中,頂蓋絕緣層46包括形成於氧化矽層上的氮化矽層。在其他實施例中,頂蓋絕緣層46包括形成於氮化矽層上的氧化矽層。可藉由化學氣相沈積(CVD)、物理氣相沈積(PVD)、原子層沈積(ALD)、電子束蒸鍍、或其他適當製程形成頂蓋絕緣層46的絕緣材料。在一些實施例中,介電層42可包括一或多層的氧化矽、氮化矽、氮氧化矽、或高介電材料。在一些實施例中,介電層42的厚度介於約2奈米至約20奈米,在其他實施例中,其厚度介於約2奈米至約10奈米。在一些實施例中,閘極結構的高度H2介於約50 奈米至約400奈米,在其他實施例中,其高度介於約100奈米至約200奈米。
在其他實施例中,使用閘極替代(gate replacement)技術。此例中,閘極圖案44與介電層42分別為隨後移除的偽閘極與偽閘介電層。若使用閘極優先(gate-first)技術,閘極圖案44與介電層42作為閘極與閘介電層。
於閘極圖案的兩側壁上,形成閘極側壁間隙壁48。閘極側壁間隙壁48包括一或多層的絕緣材料,例如氧化矽、氮化矽、氮氧化矽、氮碳氧化矽(SiOCN)或氮碳化矽,其藉由化學氣相沈積(CVD)、物理氣相沈積(PVD)、原子層沈積(ALD)、電子束蒸鍍、或其他適當製程而形成。低介電材料可作為側壁間隙壁。藉由形成絕緣材料坦覆層及實施非等向性蝕刻,以形成閘極側壁間隙壁48。在一實施例中,側壁間隙壁層由氮化矽基材料所構成,例如氮化矽、氮氧化矽、氮碳氧化矽(SiOCN)或氮碳化矽。
之後,如第6圖所示,利用乾蝕刻及/或濕蝕刻操作對未被閘極結構40覆蓋的鰭式結構20的上部(例如源極/汲極區)進行凹陷處理。鰭式結構20的上部向下凹陷(蝕刻)至相同於或低於隔離絕緣層30上表面的程度,如第6圖所示。在一些實施例中,隔離絕緣層30上表面與凹陷鰭式結構20上表面間的距離(深度)H3達約50奈米。
之後,如第7-9圖所示,於凹陷鰭式結構20上,形成磊晶源極/汲極結構。磊晶源極/汲極結構包括第一磊晶層50、第二磊晶層60、以及第三磊晶層70。第一磊晶層50包含 Si1-xGex,第二磊晶層60包含Si1-yGey,第三磊晶層70包含Si1-zGez,其中z小於y。在一些實施例中,x小於y。在特定實施例中,z小於x。
在一些實施例中,第一磊晶層50中鍺的含量滿足0.05<x
Figure TW201804524AD00001
1.0,第二磊晶層60中鍺的含量滿足0.05<y
Figure TW201804524AD00002
1.0,以及第三磊晶層70中鍺的含量滿足0.05
Figure TW201804524AD00003
z
Figure TW201804524AD00004
0.5。第一磊晶層50可為矽層。在一些實施例中,第一磊晶層50中鍺的含量滿足0.2
Figure TW201804524AD00005
x
Figure TW201804524AD00006
0.6,第二磊晶層60中鍺的含量滿足0.4
Figure TW201804524AD00007
y
Figure TW201804524AD00008
0.8,以及第三磊晶層70中鍺的含量滿足0.1
Figure TW201804524AD00009
z
Figure TW201804524AD00010
0.3。在一些實施例中,z與y間的差異介於約0.05至約0.5,在其他實施例中,其差異介於約0.1至約0.3。
以例如硼,摻雜第一至第三磊晶層的每一層。
如第7圖所示,於凹陷鰭式結構20上,形成第一磊晶層50。在一些實施例中,自凹陷鰭式結構20上表面量測的第一磊晶層50的厚度介於約5奈米至約50奈米。根據深度H3,形成的一部分第一磊晶層50自隔離絕緣層30突出。由於形成鰭式結構20的基板的結晶方向(例如(100)平面),使得第一磊晶層50側向成長,具有類鑽石形狀。
於形成第一磊晶層50之後,於第一磊晶層50上,形成第二磊晶層60。在一些實施例中,自第一磊晶層50上表面量測的第二磊晶層60的厚度介於約5奈米至約50奈米。根據鰭式結構間的間隙S1,第二磊晶層60會與相鄰的第二磊晶層合併。
在一些實施例中,當第二磊晶層60與相鄰的第二 磊晶層合併時,鰭式結構間形成空隙65,如第8圖所示。在一些實施例中,自隔離絕緣層30上表面量測的空隙65的高度H4介於約5奈米至約30奈米。
雖然在第7圖中,因各別的凹陷鰭式結構,形成未合併、分離的第一磊晶層50,然而,藉由創造空隙65,於隔離絕緣層30上表面上,第一磊晶層50可合併。
再者,如第9圖所示,於第二磊晶層60上,形成第三磊晶層70。在一些實施例中,自第二磊晶層60上表面量測的第三磊晶層70的厚度介於約1奈米至約50奈米,在其他實施例中,其厚度介於約5奈米至約30奈米。
在特定實施例中,第一與第三磊晶層的厚度小於第二磊晶層60的厚度。
可利用例如矽甲烷(SiH4)、矽乙烷(Si2H6)、或二氯矽烷(SiCl2H2)的含矽氣體、例如鍺甲烷、鍺乙烷、或二氯鍺烷的含鍺氣體及/或例如氟化硼或硼乙烷的摻質氣體,於溫度約600℃至約800℃,壓力約5托至約150托下,成長第一與第三磊晶層。可分開形成N-通道場效電晶體的源極/汲極結構以及P-通道場效電晶體的源極/汲極結構,而其中之一可以例如氮化矽的保護層覆蓋之。
於形成第三磊晶層70之後,於第三磊晶層70上,形成金屬矽化物層80,如第10圖所示。
於第三磊晶層70上,形成例如鈦、鈷、鎳、鉭、及/或鎢的金屬材料,並實施回火操作,以形成金屬矽化物層80。於溫度約250℃至約850℃,實施回火操作。藉由化學氣相 沈積(CVD)、包含濺鍍的物理氣相沈積(PVD)、或原子層沈積(ALD)形成金屬材料。在一些實施例中,金屬矽化物層80的厚度介於約4奈米至約10奈米。於回火操作之前或之後,可選擇性地移除形成於隔離絕緣層30或其他非期望部份上的金屬材料或金屬矽化物材料。
由於第三磊晶層70包含鍺,金屬矽化物層(silicide layer)80為矽、鍺、以及一或多個金屬材料(例如鈦、鈷、鎳)的合金層。
在特定實施例中,全部的第三磊晶層70被消耗形成金屬矽化物層80,使得金屬矽化物層80與第二磊晶層60直接接觸。
在此實施例中,使用閘極替代(gate replacement)技術形成金屬閘極結構(未圖示),其中閘極結構40為偽閘極結構。於形成金屬矽化物層80之後,移除偽閘極結構(偽閘極44、以及偽閘介電層42),並以金屬閘極結構(金屬閘極、以及閘介電層)取代。
在特定實施例中,於偽閘極結構上,形成第一層間介電層,並實施例如化學機械研磨(CMP)製程或回蝕刻製程的平坦化操作,以露出偽閘極44的上表面。之後,藉由適當蝕刻製程分別移除偽閘極44以及偽閘介電層42,形成閘極開口。於閘極開口中,形成包含閘介電層以及金屬閘極的金屬閘極結構。
於設置於鰭式結構20通道層上的介面層(未圖示)上,可形成閘介電層。在一些實施例中,介面層可包括氧化矽 或鍺化矽,厚度為0.2奈米至1.5奈米,在其他實施例中,介面層的厚度介於約0.5奈米至約1.0奈米。
閘介電層包括一或多層例如氧化矽、氮化矽的介電材料、高介電材料、其他適合的介電材料、及/或其組合。高介電材料包括氧化鉿(HfO2)、氧化鉿矽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他適合的高介電材料、及/或其組合。藉由例如化學氣相沈積(CVD)、物理氣相沈積(PVD)、原子層沈積(ALD)、高密度電漿化學氣相沈積(HDPCVD)、其他適當方法、及/或其組合形成閘介電層。在一些實施例中,閘介電層的厚度介於約1奈米至約10奈米,在其他實施例中,其厚度可介於約2奈米至約7奈米。
於閘介電層上,形成金屬閘極。金屬閘極包括一或多層例如鋁、銅、鈦、鉭、鈷、鉬、氮化鉭、鎳矽化物、鈷矽化物、氮化鈦、氮化鎢、鋁鈦、氮化鈦鋁、碳氮化鉭、碳化鉭、氮化鉭矽、金屬合金等任何適合的金屬材料、其他適合的材料、及/或其組合。
在本揭露的特定實施例中,於閘介電層與金屬閘極間,可插入一或多層的功函數調整層(未圖示)。功函數調整層由例如氮化鈦、氮化鉭、碳化鉭鋁、碳化鈦、碳化鉭、鈷、鋁、鋁鈦、鈦鉿、矽鈦、矽鉭、或碳化鈦鋁的單層,或這些材料中的兩種或多種的多層的導電材料所構成。
對於N-通道鰭式場效電晶體,使用一或多種的氮 化鉭、碳化鉭鋁、氮化鈦、碳化鈦、鈷、鋁鈦、鈦鉿、矽鈦、矽鉭作為功函數調整層。對於P-通道鰭式場效電晶體,使用一或多種的碳化鈦鋁、鋁、鋁鈦、氮化鉭、碳化鉭鋁、氮化鈦、碳化鈦、鈷作為功函數調整層。
於沈積適當材料為金屬閘極結構之後,實施例如化學機械研磨(CMP)的平坦化操作。
之後,如第11圖所示,於金屬閘極結構以及具有金屬矽化物層80的第一至第三磊晶層上,形成絕緣層85,作為接觸蝕刻終止層,之後,形成第二層間介電層90。絕緣層85為一或多層的絕緣材料。在一實施例中,藉由化學氣相沈積(CVD)形成氮化矽的絕緣層85。
利用包括微影的圖案化操作,於第二層間介電層90與絕緣層85中,形成接觸孔95,以露出金屬矽化物層80,如第11圖所示。
以導電材料填入接觸孔,藉此形成接觸栓(contact plug)100,如第12圖所示。接觸栓100可包括任何適合金屬的單層或多層,例如鈷、鎢、鈦、鉭、銅、鋁、及/或鎳、及/或其氮化物。
於形成接觸栓之後,實施進一步的互補式金氧半(CMOS)製程,以形成不同構件,例如額外的層間介電層、接觸孔/接觸窗、內連線金屬層、及鈍化層等。
第13、14圖係根據本揭露的另一些實施例,顯示鰭式場效電晶體元件不同製造階段的剖面圖。
在替代方案中,於開通接觸孔之後,形成金屬矽 化物層。在此例中,於形成如第9圖所示的第三磊晶層70之後,形成金屬閘極結構、絕緣層85(接觸蝕刻終止層)、及層間介電層90,而未形成金屬矽化物層。之後,於絕緣層85與層間介電層90中,形成接觸孔95’,露出第三磊晶層70的上表面。之後,於第三磊晶層上表面上,形成金屬矽化物層80’,如第13圖所示。於形成金屬矽化物層之後,於接觸孔中,形成導電材料,藉此形成接觸栓100’,如第14圖所示。
在本揭露中,場效電晶體的源極/汲極結構包括多層磊晶層(例如三層),每一層由矽鍺所構成。多層磊晶層的最上層(例如第三磊晶層70)的鍺濃度小於多層磊晶層的第二最上層(例如第二磊晶層60)的鍺濃度。以此結構來看,當形成金屬矽化物層,特別是鈦、鎳、或鈷的矽化物,於最上層上時,可降低接觸栓與源極/汲極結構間的接觸電阻。在一些實施例中,與多層磊晶層的最上層的鍺濃度等於或大於第二最上層的鍺濃度的例子比較,接觸電阻可降低約1%至約20%。再者,當最上層的鍺濃度較小時,可減少矽鍺層沈積在非期望的部位。值得注意的是,磊晶層的數目並未限定為三。磊晶層的數目可為二、或四、或更多。然而,在任何例子中,多層磊晶層的最上層的鍺濃度係小於第二最上層的鍺濃度。
此外,雖在上述實施例中,是說明鰭式場效電晶體的結構及製程操作,然而,相同的源極/汲極結構亦可應用於平面型場效電晶體。
根據本揭露的觀點,在P-型金氧半場效電晶體的源極/汲極結構的製造方法中,於場效電晶體的源極/汲極區上, 形成包含Si1-xGex的第一磊晶層,於第一磊晶層上,形成包含Si1-yGey的第二磊晶層,以及於第二磊晶層上,形成包含Si1-zGez的第三磊晶層。z小於y。
根據本揭露的另一觀點,在P-型金氧半鰭式(fin)場效電晶體的製造方法中,於基板上,形成鰭式結構。鰭式結構於平面圖中以第一方向延伸。於基板上,形成隔離絕緣層,使得鰭式結構的下部包埋於隔離絕緣層中,以及鰭式結構的上部自隔離絕緣層露出。於一部分的鰭式結構上,形成閘極結構。閘極結構以第二方向延伸,橫跨平面圖中的第一方向。使鰭式結構未以閘極結構覆蓋的上部凹陷。於凹陷的閘極結構上,形成包含Si1-xGex的第一磊晶層,於第一磊晶層上,形成包含Si1-yGey的第二磊晶層,以及於第二磊晶層上,形成包含Si1-zGez的第三磊晶層。於第三磊晶層上,形成包括鈦、鈷、及鎳至少其中之一的金屬層。藉由第三磊晶層與金屬層的反應,形成矽、鍺與鈦、鈷、及鎳至少其中之一的合金層。x小於y,以及z小於y。
根據本揭露的另一觀點,半導體元件包括設置於基板上的隔離絕緣層,設置於基板上的鰭式結構,設置於一部分的鰭式結構上的閘極結構,源極/汲極結構,以及源極/汲極接觸窗。鰭式結構於平面圖中以第一方向延伸,以及閘極結構以第二方向延伸,並橫跨第一方向。源極/汲極結構包括多層磊晶層,每一磊晶層包括矽鍺。多層磊晶層的最上層的鍺濃度小於多層磊晶層的第二最上層的鍺濃度。於最上層與源極/汲極接觸窗之間,形成包括矽、鍺及鈦的合金層。
以上概略說明了數個實施例的特徵,使所屬技術領域人士對於本揭露書的各種面向可更為容易理解。所屬技術領域人士應瞭解到,本揭露書可輕易作為其它製程或結構的設計或變化的基礎,以進行相同於所述實施例的目的及/或獲得相同的優點。所屬技術領域人士也可理解與上述等同的結構或製程並未脫離本揭露書之精神和保護範圍內,且在不脫離本揭露書之精神和範圍內,可作各種改變、置換、與變化。
10M‧‧‧檯面形狀
20‧‧‧鰭式結構
30‧‧‧隔離絕緣層
50‧‧‧第一磊晶層
60‧‧‧第二磊晶層
70‧‧‧第三磊晶層
80‧‧‧金屬矽化物層
85‧‧‧絕緣層
90‧‧‧(第二)層間介電層
100‧‧‧接觸栓
X、Z‧‧‧方向

Claims (10)

  1. 一種半導體元件之製造方法,包括:形成一第一磊晶層於一場效電晶體之一源極/汲極區上,該第一磊晶層包含Si1-xGex;形成一第二磊晶層於該第一磊晶層上,該第二磊晶層包含Si1-yGey;以及形成一第三磊晶層於該第二磊晶層上,該第三磊晶層包含Si1-zGez;其中z小於y。
  2. 如申請專利範圍第1項所述之半導體元件之製造方法,其中x小於y,z小於x,且0.05z0.5,0.05<y1.0,0.05<x1.0。
  3. 如申請專利範圍第1項所述之半導體元件之製造方法,其中該第三磊晶層之厚度小於該第二磊晶層之厚度。
  4. 一種半導體元件之製造方法,包括:形成一鰭式結構於一基板上,該鰭式結構於平面圖中以一第一方向延伸;形成一隔離絕緣層於該基板上,使得該鰭式結構之一下部包埋於該隔離絕緣層中,以及該鰭式結構之一上部自該隔離絕緣層露出;形成一閘極結構於一部分之該鰭式結構上,該閘極結構以一第二方向延伸,橫跨平面圖中之該第一方向;使該鰭式結構未以該閘極結構覆蓋之一上部凹陷;形成一第一磊晶層於該凹陷之閘極結構上,該第一磊晶層包含Si1-xGex; 形成一第二磊晶層於該第一磊晶層上,該第二磊晶層包含Si1-yGey;形成一第三磊晶層於該第二磊晶層上,該第三磊晶層包含Si1-zGez;形成一金屬層於該第三磊晶層上,該金屬層包括鈦、鈷、及鎳至少其中之一;以及藉由該第三磊晶層與該金屬層之一反應,形成矽、鍺與該鈦、鈷、及鎳至少其中之一之一合金層,其中x小於y,z小於y。
  5. 如申請專利範圍第4項所述之半導體元件之製造方法,其中在使該鰭式結構之該上部凹陷之步驟中,該鰭式結構向下凹陷至該隔離絕緣層之一上表面下方。
  6. 如申請專利範圍第4項所述之半導體元件之製造方法,更包括於形成該合金層之後,形成一層間絕緣層;形成一開口於該層間絕緣層中;以及形成一導電材料於該開口中之該合金層上。
  7. 如申請專利範圍第4項所述之半導體元件之製造方法,更包括於形成該金屬層與該合金層之前,形成一層間絕緣層,以及形成一開口於該層間絕緣層中;其中,該金屬層形成於位於該開口之一底部之該第三磊晶層上,且該形成之金屬層與該第三磊晶層反應,藉此形成該合金層;以及形成一導電材料於該開口中之該合金層上。
  8. 如申請專利範圍第4項所述之半導體元件之製造方法,其中 0.05z0.5,0.05<y1.0,以及0.05<x1.0,且z小於x。
  9. 如申請專利範圍第4項所述之半導體元件之製造方法,其中該第三磊晶層之厚度小於該第二磊晶層之厚度。
  10. 一種半導體元件,包括:一隔離絕緣層,設置於一基板上;一鰭式結構,設置於該基板上,該鰭式結構於平面圖中以一第一方向延伸;一閘極結構,設置於一部分之該鰭式結構上,該閘極結構以一第二方向延伸,橫跨該第一方向;一源極/汲極結構;以及一源極/汲極接觸窗;其中,該源極/汲極結構包括多層磊晶層,每一磊晶層包括矽鍺;該等多層磊晶層之一最上層之鍺濃度小於該等多層磊晶層之一第二最上層之鍺濃度;以及一合金層,包括矽、鍺及鈦,形成於該最上層與該源極/汲極接觸窗之間。
TW105136100A 2016-07-28 2016-11-07 半導體元件及其製造方法 TWI649796B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/221,794 2016-07-28
US15/221,794 US9870926B1 (en) 2016-07-28 2016-07-28 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW201804524A true TW201804524A (zh) 2018-02-01
TWI649796B TWI649796B (zh) 2019-02-01

Family

ID=60935061

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105136100A TWI649796B (zh) 2016-07-28 2016-11-07 半導體元件及其製造方法

Country Status (3)

Country Link
US (2) US9870926B1 (zh)
CN (1) CN107665825B (zh)
TW (1) TWI649796B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI707407B (zh) * 2018-09-26 2020-10-11 台灣積體電路製造股份有限公司 半導體元件及其製造方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10796924B2 (en) 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
KR102432894B1 (ko) * 2017-11-17 2022-08-17 삼성전자주식회사 반도체 소자
US10840345B2 (en) * 2018-11-13 2020-11-17 International Business Machines Corporation Source and drain contact cut last process to enable wrap-around-contact
US11735630B2 (en) * 2019-01-03 2023-08-22 Intel Corporation Integrated circuit structures with source or drain dopant diffusion blocking layers
JP7232081B2 (ja) * 2019-03-01 2023-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11232953B2 (en) * 2019-09-17 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11862712B2 (en) * 2020-02-19 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of semiconductor device fabrication including growing epitaxial features using different carrier gases

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
KR101369907B1 (ko) * 2007-10-31 2014-03-04 주성엔지니어링(주) 트랜지스터 및 그 제조 방법
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8815713B2 (en) * 2012-11-07 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing pattern loading effect in epitaxy
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9209175B2 (en) * 2013-07-17 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having epitaxy regions with reduced facets
US9385197B2 (en) * 2014-08-29 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with contact over source/drain structure and method for forming the same
US9543438B2 (en) 2014-10-15 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique
KR102480447B1 (ko) * 2015-11-20 2022-12-22 삼성전자주식회사 반도체 장치 및 그 제조 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI707407B (zh) * 2018-09-26 2020-10-11 台灣積體電路製造股份有限公司 半導體元件及其製造方法
US11626507B2 (en) 2018-09-26 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing FinFETs having barrier layers with specified SiGe doping concentration

Also Published As

Publication number Publication date
TWI649796B (zh) 2019-02-01
CN107665825A (zh) 2018-02-06
US20180033630A1 (en) 2018-02-01
US10068774B2 (en) 2018-09-04
CN107665825B (zh) 2021-03-09
US20180090330A1 (en) 2018-03-29
US9870926B1 (en) 2018-01-16

Similar Documents

Publication Publication Date Title
TWI649796B (zh) 半導體元件及其製造方法
US20210035806A1 (en) Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US11101143B2 (en) Semiconductor device and manufacturing method thereof
KR102248395B1 (ko) 마스크 없는 제조 공정 흐름을 사용한 선택적 듀얼 실리사이드 형성
CN107154384B (zh) 半导体器件及其制造方法
TWI638459B (zh) 半導體裝置及其製造方法
TWI671808B (zh) 半導體裝置及其製造方法
TWI588907B (zh) 包括鰭結構之半導體裝置及其製造方法
TWI557905B (zh) 半導體裝置及其製造方法
TWI600162B (zh) 半導體裝置與其形成方法
TWI604516B (zh) 半導體裝置及其製造方法
CN108231588A (zh) 晶体管及其形成方法
TWI614894B (zh) 半導體裝置及其製造方法
TW201709342A (zh) 具有源極/汲極結構的鰭式場效電晶體及其 製造方法
US10510867B2 (en) FinFETs and methods of forming the same
TWI710058B (zh) 積體單擴散阻斷
TWI772935B (zh) 半導體裝置及其製造方法