DE102016100035A1 - Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) und Verfahren zm Ausbilden dieser - Google Patents

Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) und Verfahren zm Ausbilden dieser Download PDF

Info

Publication number
DE102016100035A1
DE102016100035A1 DE102016100035.3A DE102016100035A DE102016100035A1 DE 102016100035 A1 DE102016100035 A1 DE 102016100035A1 DE 102016100035 A DE102016100035 A DE 102016100035A DE 102016100035 A1 DE102016100035 A1 DE 102016100035A1
Authority
DE
Germany
Prior art keywords
fin
finfet
gate
field effect
effect transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102016100035.3A
Other languages
English (en)
Other versions
DE102016100035B4 (de
Inventor
Chang-Yin Chen
Chai-Wei Chang
Bo-Feng YOUNG
Yi-Jen Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016100035A1 publication Critical patent/DE102016100035A1/de
Application granted granted Critical
Publication of DE102016100035B4 publication Critical patent/DE102016100035B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)

Abstract

Eine FinFET-Vorrichtungsstruktur und ein Verfahren zum Ausbilden von dieser sind bereitgestellt. Die FinFET-Vorrichtungsstruktur umfasst eine Finnenstruktur, die über einem Substrat ausgebildet ist, und eine Gatestruktur, die die Finnenstruktur überquert. Die Gatestruktur umfasst eine Gateelektrodenschicht, die einen oberen Abschnitt über der Finnenstruktur und einen unteren Abschnitt unter der Finnenstruktur umfasst, wobei die virtuelle Fläche zwischen dem oberen Abschnitt und dem unteren Abschnitt ausgebildet ist, und der untere Abschnitt eine sich verjüngende Breite aufweist, die sich allmählich von der virtuellen Fläche zu einer unteren Fläche des unteren Abschnitts hin verjüngt.

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 62/188,028, die am 2. Juli 2015 eingereicht wurde und den Titel „Fin field effect transistor (FinFET) device and method for forming the same” trägt, die hier durch Rückbezug in ihrer Gänze aufgenommen ist. Diese Anmeldung ist mit der folgenden, ebenfalls anhängigen und gemeinsam übertragenen Patentanmeldung verwandt: US Serien-Nr. --/---,---, die am Tag/Monat/Jahr eingereicht wurde und den Titel „Fin field effect transistor (FinFET) device and method for forming the same” trägt, die hier durch Rückbezug in ihrer Gänze aufgenommen ist.
  • ALLGEMEINER STAND DER TECHNIK
  • Halbleitervorrichtungen werden in einer Vielfalt von elektronischen Anwendungen, wie z. B. Personalcomputern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten, verwendet. Halbleitervorrichtungen werden in der Regel gefertigt, indem isolierende oder dielektrische Schichten, leitfähige Schichten und halbleitende Materialschichten sequenziell über einem Halbleitersubstrat abgeschieden werden und die verschiedenen Materialschichten unter Verwendung von Lithografie strukturiert werden, um Schaltungskomponenten und -elemente darauf auszubilden. Viele integrierte Schaltungen werden normalerweise auf einem einzelnen Halbleiter-Wafer hergestellt, und einzelne Dies auf dem Wafer werden durch Sägen zwischen den integrierten Schaltungen entlang einer Ritzlinie vereinzelt. Die einzelnen Dies werden normalerweise getrennt, zum Beispiel in Multi-Chip-Modulen oder anderen Arten von Gehäusen, gehäust.
  • Bei der Fertigung von Halbleitervorrichtungen wurde die Größe von Halbleitervorrichtungen beständig reduziert, um die Vorrichtungsdichte zu erhöhen. Demzufolge wird eine mehrschichtige Verbindungsstruktur bereitgestellt. Die Verbindungsstruktur kann eine oder mehrere Schichten leitfähiger Leitungen und Durchkontaktierungen umfassen.
  • Obwohl bisherige Verbindungsstrukturen und Verfahren zum Fertigen von Verbindungsstrukturen für ihren vorgesehenen Zweck im Allgemeinen geeignet waren, waren sie nicht im Hinblick auf alle Aspekte vollständig zufriedenstellend.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden am besten aus der nachstehenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den begleitenden Zeichnungen gelesen wird. Es ist zu beachten, dass gemäß dem Standardverfahren in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zur Klarheit der Erörterung beliebig vergrößert oder verkleinert sein.
  • 1 zeigt eine dreidimensionale Ansicht einer Verbindungsstruktur auf einer Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) gemäß einigen Ausführungsformen der Offenbarung.
  • 2A bis 2M zeigen Querschnittsdarstellungen verschiedener Stufen der Fertigung einer Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) gemäß einigen Ausführungsformen der Offenbarung.
  • 3 zeigt eine Draufsicht auf eine Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) gemäß einigen Ausführungsformen der Offenbarung.
  • 4A bis 4F zeigen Querschnittsdarstellungen verschiedener Stufen der Fertigung einer FinFET-Vorrichtungsstruktur gemäß einigen Ausführungsformen.
  • 4D' zeigt eine vergrößerte Darstellung eines Bereichs A von 4D gemäß einigen Ausführungsformen der Offenbarung.
  • 5A bis 5C zeigen Querschnittsdarstellungen verschiedener Stufen der Fertigung einer Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) gemäß einigen Ausführungsformen der Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die nachstehende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale des vorliegenden Gegenstands bereit. Konkrete Beispiele von Komponenten und Anordnungen sind nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich lediglich Beispiele und sind nicht im beschränkenden Sinne gedacht. Zum Beispiel kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachstehenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung geschieht zum Zweck der Einfachheit und Klarheit und sie schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Ausgestaltungen vor.
  • Einige Abwandlungen der Ausführungsformen sind beschrieben. In den verschiedenen Ansichten und Ausführungsbeispielen werden gleiche Bezugszeichen verwendet, um gleiche Elemente zu bezeichnen. Es versteht sich, dass zusätzliche Vorgänge vor, während und nach dem Verfahren vorgesehen werden können, und einige der beschriebenen Vorgänge für andere Ausführungsformen des Verfahrens ersetzt oder eliminiert werden können.
  • Ausführungsformen zum Ausbilden einer Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) werden bereitgestellt. 1 zeigt eine perspektivische Darstellung einer Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) 100 gemäß einigen Ausführungsformen der Offenbarung.
  • Unter Bezugnahme auf 1A wird ein Substrat 102 bereitgestellt. Das Substrat 102 kann aus Silizium oder anderen Halbleitermaterialien gefertigt werden. Alternativ oder zusätzlich kann das Substrat 102 andere Elementhalbleitermaterialien, wie z. B. Germanium, umfassen. In einigen Ausführungsformen wird das Substrat 102 aus einem Verbindungshalbleiter, wie z. B. Siliziumkarbid, Galliumarsenid, Indiumarsenid oder Indiumphosphid, gefertigt. In einigen Ausführungsformen wird das Substrat 102 aus einem Legierungshalbleiter, wie z. B. Siliziumgermanium, Silizium-Germaniumkarbid, Galliumarsenidphosphid oder Galliumindiumphosphid gefertigt. In einigen Ausführungsformen umfasst das Substrat 102 eine epitaktische Schicht. Zum Beispiel weist das Substrat 102 eine epitaktische Schicht auf, die über einem Bulk-Halbleiter liegt.
  • Die FinFET-Vorrichtungsstruktur 100 umfasst außerdem eine oder mehrere Finnenstrukturen 104 (z. B. Si-Finnen), die sich von dem Substrat 102 erstrecken. Die Finnenstrukturen 104 können fakultativ Germanium umfassen. Die Finnenstrukturen 104 können unter Verwendung geeigneter Prozesse, wie z. B. fotolithografischer und Ätzprozesse, ausgebildet werden. In einigen Ausführungsformen werden die Finnenstrukturen 104 unter Verwendung eines Trockenätz- oder Plasmaprozesses vom Substrat 102 geätzt.
  • Eine Isolationsstruktur 108, wie z. B. eine STI-Struktur (flache Grabenisolation), wird derart ausgebildet, dass sie die Finnenstrukturen 104 umgibt. In einigen Ausführungsformen ist ein unterer Abschnitt der Finnenstrukturen 104 durch die Isolationsstruktur 108 umgeben, und ein oberer Abschnitt der Finnenstrukturen 104 steht von der Isolationsstruktur 108 hervor, wie in 1 dargestellt. Mit anderen Worten ist ein Abschnitt der Finnenstrukturen 104 in die Isolationsstruktur 108 eingebettet. Die Isolationsstruktur 108 verhindert elektrische Störungen oder ein Übersprechen.
  • Die FinFET-Vorrichtungsstruktur 100 umfasst außerdem eine Gatestapelstruktur, die eine Gateelektrodenschicht 144 und eine Gatedielektrikumsschicht 142 umfasst. Die Gatestapelstruktur wird über einem mittleren Abschnitt der Finnenstrukturen 104 ausgebildet. In einigen Ausführungsformen werden mehrfache Gatestapelstrukturen über den Finnenstrukturen 104 ausgebildet. Zahlreiche andere Schichten können ebenfalls in den Gatestrukturen vorhanden sein, zum Beispiel Abdeckschichten, Grenzflächenschichten, Spacer-Elemente und/oder andere geeignete Merkmale.
  • Die Gatedielektrikumsschicht 142 kann dielektrische Materialien, wie z. B. Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, ein dielektrisches Material (dielektrische Materialien) mit einer hohen Dielektrizitätskonstante (high-k) oder Kombinationen davon, umfassen. Zu Beispielen von High-k-Dielektrikumsmaterialien gehören Hafniumoxid, Zirkoniumoxid, Aluminiumoxid, Legierung aus Hafniumdioxid-Alluminiumoxid, Hafnium-Siliziumoxid, Hafnium-Siliziumoxinitrid, Hafnium-Tantaloxid, Hafnium-Titanoxid, Hafnium-Zirkoniumoxid, dergleichen oder Kombinationen davon.
  • Die Gateelektrodenschicht 144 kann Polysilizium oder ein Metall umfassen. Das Metall umfasst Tantalnitrid (TaN), Nickel-Silizium (NiSi), Kobalt-Silizium (CoSi), Molybdän (Mo), Kupfer (Cu), Wolfram (W), Aluminium (Al), Kobalt (Co), Zirkonium (Zr), Platin (Pt) oder andere geeignete Materialien. Die Gateelektrodenschicht 144 kann in einem Gate-Zuletzt-Prozess (Gate last process) (oder einem Gateaustauschprozess) ausgebildet werden. In einigen Ausführungsformen umfasst die Gatestapelstruktur zusätzliche Schichten, wie z. B. Grenzflächenschichten, Abdeckschichten, Diffusions/Sperrschichten oder andere geeignete Schichten.
  • Die Finnenstrukturen 104 umfassen ein Kanalgebiet 114, das durch die Gateelektrodenschicht 144 und die Gatedielektrikumsschicht 142 umgeben oder umschlossen ist. Die Finnenstrukturen 104 können dotiert werden, um einen geeigneten Kanal für einen n-Kanal-FinFET (NMOS-Vorrichtung) oder einen p-Kanal-FinFET (PMOS-Vorrichtung) bereitzustellen. Die Finnenstrukturen 104 können unter Verwendung eines geeigneten Prozesses, wie eines Ionenimplantationsprozesses, eines Diffusionsprozesses, eines Ausheilungsprozesses, anderer geeigneter Prozesse oder Kombinationen davon dotiert werden. Die Finnenstrukturen 104 umfassen ein Kanalgebiet 114 zwischen dem Sourcegebiet 112 und dem Draingebiet 116. Die FinFET-Vorrichtung 100 kann eine Vorrichtung sein, die in einem Mikroprozessor, einer Speicherzelle, z. B. einem statischen Direktzugriffspeicher (Static Random Access Memory, SRAM) und/oder anderen integrierten Schaltungen aufgenommen ist.
  • 2A bis 2M zeigen Querschnittsdarstellungen verschiedener Stufen der Fertigung einer Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) 100 gemäß einigen Ausführungsformen der Offenbarung.
  • Unter Bezugnahme auf 2A werden eine dielektrische Schicht 204 und eine Hartmaskenschicht 206 auf dem Substrat 102 ausgebildet, und eine Fotolackschicht 208 wird auf der Hartmaskenschicht 206 ausgebildet. Die Fotolackschicht 208 wird mithilfe eines Strukturierungsprozesses strukturiert. Der Strukturierungsprozess umfasst einen fotolithografischen Prozess und einen Ätzprozess. Der fotolithografische Prozess umfasst ein Fotolackbeschichten (z. B. Rotationsbeschichten), Softbake, Maskenausrichten, Belichten, Backen nach dem Belichten, Entwickeln des Fotolacks, Spülen, Trocknen (z. B. Hardbake). Der Ätzprozess umfasst einen Trockenätzprozess oder einen Nassätzprozess.
  • Die dielektrische Schicht 204 ist eine Pufferschicht zwischen dem Substrat 102 und der Hartmaskenschicht 206. Außerdem wird die dielektrische Schicht 204 als eine Stoppschicht verwendet, wenn die Hartmaskenschicht 206 entfernt wird. Die dielektrische Schicht 204 kann aus Siliziumoxid gefertigt werden. Die Hartmaskenschicht 206 kann aus Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder einem anderen geeigneten Material gefertigt werden. In einigen anderen Ausführungsformen wird mehr als eine Hartmaskenschicht 206 auf der dielektrischen Schicht 204 ausgebildet.
  • Die dielektrische Schicht 204 und die Hartmaskenschicht 206 werden mithilfe eines Abscheidungsprozesses, wie z. B. eines chemischen Gasphasenabscheidungsprozesses (CVD), eines chemischen Gasphasenabscheidungsprozesses unter Verwendung von hochdichtem Plasma (HDPCVD), eines Rotationsbeschichtungsprozesses, eines Sputterprozesses oder anderer geeigneter Prozesse ausgebildet.
  • Nachdem die Fotolackschicht 208 strukturiert wurde, werden gemäß einigen Ausführungsformen die dielektrische Schicht 204 und die Hartmaskenschicht 206 unter Verwendung der strukturierten Fotolackschicht 208 als einer Maske strukturiert, wie in 2B dargestellt. Folglich werden eine strukturierte dielektrische Schicht 204 und eine strukturierte Hartmaskenschicht 206 erzielt. Danach wird die strukturierte Fotolackschicht 208 entfernt.
  • Danach wird das Substrat 102 einem Ätzprozess unterzogen, um die Finnenstruktur 104 unter Verwendung der strukturierten dielektrischen Schicht 204 und der strukturierten Hartmaskenschicht 206 als einer Maske auszubilden. Der Ätzprozess kann ein Trockenätzprozess oder ein Nassätzprozess sein. Der Ätzprozess kann ein zeitgesteuerter Prozess sein, und er kann fortgesetzt werden, bis die Finnenstruktur 104 eine vorgegebene Höhe erreicht.
  • Es ist zu beachten, dass die Anzahl der Finnenstrukturen 104 gemäß der tatsächlichen Anwendung angepasst werden kann und nicht auf eine Finnenstruktur 104 beschränkt ist. In einigen Ausführungsformen weist die Finnenstruktur 104 eine Breite auf, die allmählich von dem oberen Abschnitt zu dem unteren Abschnitt hin größer wird.
  • Danach wird gemäß einigen Ausführungsformen ein dielektrisches Material 107 auf der Finnenstruktur 104 ausgebildet, wie in 2C dargestellt. In einigen Ausführungsformen wird das dielektrische Material 107 aus Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, einem mit Fluor dotierten Silikatglas (FSG) oder anderen Low-k-Dielektrikumsmaterialien gefertigt. Das dielektrische Material 107 kann mithilfe eines chemischen Gasphasenabscheidungsprozesses (CVD), eines Rotationsbeschichtungsprozesses oder eines anderen geeigneten Prozesses abgeschieden werden.
  • Danach wird gemäß einigen Ausführungsformen das dielektrische Material 107 gedünnt oder planarisiert, um eine Isolationsstruktur 108 freizulegen, wie in 2D dargestellt. In einigen Ausführungsformen wird das dielektrische Material 107 mithilfe eines chemisch-mechanischen Polierprozesses (CMP) gedünnt. Folglich wird ein oberer Abschnitt der Finnenstruktur 104 freigelegt und die dielektrische Schicht 204 und die Hartmaskenschicht 206 werden entfernt. Die obere Fläche der Isolationsstruktur 108 befindet sich auf gleicher Höhe wie die obere Fläche der Finnenstruktur 104.
  • Danach wird gemäß einigen Ausführungsformen der obere Abschnitt der Isolationsstruktur 108 entfernt, wie in 2E dargestellt. Folglich steht die Finnenstruktur 104 von der Isolationsstruktur 108 hervor. Mit anderen Worten ist der obere Abschnitt der Finnenstruktur 104 höher als die Isolationsstruktur 108. Der obere Abschnitt der Isolationsstruktur 108 wird mithilfe eines Nassätzprozesses oder eines Trockenätzprozesses entfernt. Die verbleibende Isolationsstruktur 108 wird als eine flache Grabenisolationsstruktur (STI-Struktur) betrachtet.
  • Danach wird gemäß einigen Ausführungsformen eine Dummy-Gateelektrodenschicht 110 über der Finnenstruktur 104 und der Isolationsstruktur 108 ausgebildet, wie in 2F dargestellt.
  • In einigen Ausführungsformen wird die Dummy-Gateelektrodenschicht 110 aus leitfähigen oder nicht leitfähigen Materialien gefertigt. In einigen Ausführungsformen wird die Dummy-Gateelektrodenschicht 110 aus Polysilizium gefertigt. Die Dummy-Gateelektrodenschicht 110 wird mithilfe eines Abscheidungsprozesses ausgebildet, wie z. B. einer chemischen Gasphasenabscheidung (CVD), einer physikalischen Gasphasenabscheidung (PVD), einer Atomlagenabscheidung (ALD), einer CVD unter Verwendung von hochdichtem Plasma (HDPCVD), einer metallorganischen CVD (MOCVD), oder einer plasmaunterstützten CVD (PECVD).
  • Nachdem die Dummy-Gateelektrodenschicht 110 ausgebildet wurde, werden gemäß einigen Ausführungsformen eine erste Hartmaskenschicht 212a und eine zweite Hartmaskenschicht 212b über der Dummy-Gateelektrodenschicht 110 ausgebildet, wie in 2G dargestellt. Eine Fotolackschicht 214 wird über der zweiten Hartmaskenschicht 212b ausgebildet. Danach wird die Fotolackschicht 214 strukturiert, um eine strukturierte Fotolackschicht 214 auszubilden. Die strukturierte Fotolackschicht 214 wird zum Schutz der darunterliegenden Schichten verwendet, so dass sie während der nachfolgenden Prozesse nicht geätzt werden.
  • Danach werden gemäß einigen Ausführungsformen die erste Hartmaskenschicht 212a und die zweite Hartmaskenschicht 212b strukturiert, und ein Abschnitt der Dummy-Gateelektrodenschicht 110 wird entfernt, um eine Dummy-Gatestruktur 110' auszubilden, wie in 2H dargestellt. Die Abschnitte der Dummy-Gateelektrodenschicht 110 werden mithilfe eines Ätzprozesses 121, wie z. B. eines Nassätzprozesses oder eines Trockenätzprozesses, entfernt.
  • Die Dummy-Gatestruktur 110' umfasst einen oberen Abschnitt 110a oberhalb einer oberen Fläche der Finnenstruktur 104 und einen unteren Abschnitt 110b unterhalb der oberen Fläche der Finnenstruktur 104. Der obere Abschnitt 110a weist im Wesentlichen vertikale Seitenwände auf, und der untere Abschnitt 110b weist abgeschrägte Seitenwände auf. Der untere Abschnitt 110b weist eine umgedrehte Trapezform auf (dargestellt in 4D').
  • Der obere Abschnitt 110a weist eine obere Fläche mit einer ersten Breite W1 auf, und der untere Abschnitt 110b weist eine untere Fläche mit einer zweiten Breite W2 auf. Eine virtuelle Grenzfläche ist zwischen dem oberen Abschnitt 110a und dem unteren Abschnitt 110b ausgebildet. Die virtuelle Grenzfläche weist eine dritte Breite W3 auf. Der untere Abschnitt 110b weist eine sich verjüngende Breite auf, die sich allmählich von der virtuellen Grenzfläche zu der unteren Fläche des unteren Abschnitts 110b hin verjüngt.
  • In einigen Ausführungsformen ist die erste Breite W1 größer als die zweite Breite W2. In einigen Ausführungsformen ist die zweite Breite W2 kleiner gleich der dritten Breite W3. In einigen Ausführungsformen liegt der Unterschied (ΔW = W3 – W2) zwischen der dritten Breite W2 und der zweiten Breite W2 in einem Bereich von ungefähr 0 nm bis ungefähr 15 nm. Wenn der Unterschied (ΔW) größer als 15 nm ist, kann der untere Abschnitt 110b der Dummy-Gateelektrodenschicht 110 zu klein sein, um den oberen Abschnitt 110a zu stützen. Wenn der Unterschied kleiner als 0 nm ist, kann es schwierig sein, die Source/Drain-Strukturen (S/D-Strukturen) 116 (dargestellt in 2J) auszubilden.
  • Die virtuelle Grenzfläche wird verwendet, um zwei Abschnitte zu definieren, und es wird keine tatsächliche Grenzfläche zwischen dem oberen Abschnitt 110a und dem unteren Abschnitt 110b ausgebildet. Die Grenzfläche kann als eine untere Fläche des oberen Abschnitts 110a betrachtet werden. Außerdem kann die Grenzfläche als eine obere Fläche des unteren Abschnitts 110b betrachtet werden. In einigen Ausführungsformen befindet sich die virtuelle Grenzfläche im Wesentlichen auf gleicher Höhe mit einer oberen Fläche der Finnenstruktur 104.
  • Wenn der obere Abschnitt der Dummy-Gatestruktur 110' einen in horizontaler Richtung verlaufenden Abschnitt aufweist, kann die Gatestruktur hervorstehen, wenn die Dummy-Gatestruktur 110' durch die Gatestruktur ersetzt wird. Die hervorstehende Gatestruktur kann mit einer Kontaktstruktur, die benachbart zu der hervorstehenden Gatestruktur ausgebildet wird, in Kontakt stehen. Folglich kann ein Problem mit elektrischen Kurzschlüssen auftreten. Insbesondere kann das Problem mit dem Hervorstehen der Gateelektrodenschicht 144 die Leistungsfähigkeit der FinFET-Vorrichtungsstruktur 100 verschlechtern.
  • Das Substrat 102 ist ein Abschnitt eines Wafers. In einigen Ausführungsformen umfasst der Wafer einen Mittelbereich und einen Randbereich, und das Problem des Hervorstehens ist im Randbereich des Wafers im Vergleich mit jenem des Mittelbereichs verschlimmert. Daher sollte das Ätzgas im Randbereich gut gesteuert werden.
  • Um das Problem des Hervorstehens zu verhindern, wie in 2H dargestellt, wird die Dummy-Gatestruktur 110' geätzt, um einen im Wesentlichen vertikalen oberen Abschnitt 110a und einen eingekerbten unteren Abschnitt 110b unterhalb der Finnenstruktur 104 auszubilden. Mit anderen Worten weist der eingekerbte untere Abschnitt 110b der Dummy-Gatestruktur 110' einen ausgesparten Seitenwandabschnitt auf.
  • Außerdem sollte beachtet werden, dass die zweite Breite W2 kleiner gleich der dritten Breite W3 ist, und daher wird der Effekt der draininduzierten Barrierenerniedrigung (DIBL) unterbunden. Außerdem wird das Tailing-Problem (Vbd ist über einen breiteren Bereich von Spannungswerten gestreut) der Durchschlagsspannung (Vbd) vermieden, wenn die erste Breite W1 größer ist als die zweite Breite W2.
  • Der obere Abschnitt 110a weist eine erste Höhe H1 auf, und der untere Abschnitt 110b weist eine zweite Höhe H2 auf. In einigen Ausführungsformen ist die erste Höhe H1 größer als die zweite Höhe H2. Die erste Höhe H1, die höher ist als die zweite Höhe H2, wird verwendet, um mehr Metallmaterial zu füllen, das in einem nachfolgenden Prozess über der Finnenstruktur 104 ausgebildet wird.
  • Nachdem die Dummy-Gatestruktur 110' ausgebildet wurde, werden gemäß einigen Ausführungsformen Spacer 212 auf den gegenüberliegenden Seitenwänden der Dummy-Gatestruktur 110' ausgebildet, wie in 2I dargestellt. In einigen Ausführungsformen werden die Spacer 212 aus Siliziumnitrid, Siliziumkarbid, Siliziumoxinitrid, Siliziumkohlenstoff, Siliziumoxid, Silizium-Wasserstoff, anderen geeigneten Materialien oder einer Kombination davon ausgebildet.
  • Danach wird gemäß einigen Ausführungsformen ein oberer Abschnitt der Finnenstruktur 104 entfernt, um eine Aussparung (nicht dargestellt) auszubilden, und die Source/Drain-Strukturen (S/D-Strukturen) 116 werden in der Aussparung ausgebildet, wie in 2J dargestellt.
  • In einigen Ausführungsformen sind die S/D-Strukturen 116 verspannte Source/Drain-Strukturen. In einigen Ausführungsformen werden die S/D-Strukturen 116 ausgebildet, indem ein verspanntes Material in den Aussparungen der Finnenstruktur 104 mithilfe eines epitaktischen Prozesses (Epi-Prozesses) aufgewachsen wird. Außerdem kann die Gitterkonstante des verspannten Materials von der Gitterkonstante des Substrats 102 verschieden sein.
  • In einigen Ausführungsformen umfassen die Source/Drain-Strukturen 116 Ge, SiGe, InAs, InGaAs, InSb, GaAs, GaSb, InAlP, InP oder eine Kombination davon. Der epitaktische Prozess kann einen SEG-Prozess (selektives epitaktisches Aufwachsen), CVD-Abscheidungstechniken (z. B. Gasphasenepitaxie (VPE) und/oder eine Ultrahochvakuum-CVD (UHV-CVD)), eine Molekularstrahlepitaxie und/oder andere geeignete Epi-Prozesse umfassen.
  • Nachdem die S/D-Strukturen 116 ausgebildet wurden, wird in einigen Ausführungsformen eine Kontakt-Ätzstoppschicht (contact etch stop layer, CESL) (nicht dargestellt) auf den S/D-Strukturen 116 und der Dummy-Gatestruktur 110' ausgebildet. In einigen Ausführungsformen wird die Kontakt-Ätzstoppschicht aus Siliziumnitrid, Siliziumoxinitrid und/oder anderen geeigneten Materialien gefertigt. Die Kontakt-Ätzstoppschicht kann mithilfe einer plasmaunterstützten CVD, einer Niederdruck-CVD, einer ALD oder anderer geeigneter Prozesse ausgebildet werden.
  • Danach wird gemäß einigen Ausführungsformen ein dielektrisches Zwischenschichtmaterial (ILD-Material) über der Finnenstruktur 104 über dem Substrat 102 ausgebildet, wie in 2K dargestellt. In einigen Ausführungsformen wird ein dielektrisches Zwischenschichtmaterial (ILD-Material) über der Isolationsstruktur 108 ausgebildet und anschließend planarisiert, um die ILD-Struktur 136 auszubilden.
  • Nachdem die ILD-Struktur 136 ausgebildet wurde, wird gemäß einigen Ausführungsformen die Dummy-Gatestruktur 110' entfernt, um einen Graben 138 in der ILD-Struktur 136 auszubilden, wie in 2L dargestellt. Die Dummy-Gatestruktur 110' wird entfernt, indem ein Ätzprozess durchgeführt wird. Es ist zu beachten, dass die Finnenstruktur 104 nicht entfernt wird, und somit der mittlere Abschnitt der Finnenstruktur 104 durch den Graben 138 freigelegt wird.
  • Nachdem der Graben 138 ausgebildet wurde, werden gemäß einigen Ausführungsformen eine Gatedielektrikumsschicht 142 und eine Gateelektrode 144 sequenziell in dem Graben 138 ausgebildet, wie in 2M dargestellt. Daher wird eine Gatestruktur 146, die die Gatedielektrikumsschicht 142 und die Gateelektrodenschicht 144 umfasst, erzielt.
  • Die Gatedielektrikumsschicht 142 weist einen oberen Abschnitt, der höher ist als eine obere Fläche der Finnenstruktur 104, und einen unteren Abschnitt, der niedriger ist als die obere Fläche der Finnenstruktur 104, auf. Der obere Abschnitt der Gatedielektrikumsschicht 142 weist eine konstante Breite auf, und der untere Abschnitt der Gatedielektrikumsschicht 142 weist eine variierende Breite auf.
  • In einigen Ausführungsformen wird die Gatedielektrikumsschicht 142 aus einem High-k-Dielektrikumsmaterial gefertigt. Zu Beispielen des High-k-Dielektrikumsmaterials können Hafniumoxid, Zirkoniumoxid, Aluminiumoxid, eine Legierung aus Hafniumdioxid-Alluminiumoxid, Hafnium-Siliziumoxid, Hafnium-Siliziumoxinitrid, Hafnium-Tantaloxid, Hafnium-Titanoxid, Hafnium-Zirkoniumoxid oder dergleichen gehören.
  • Die Gateelektrodenschicht 144 weist einen oberen Abschnitt, der höher ist als eine obere Fläche der Finnenstruktur 104, und einen unteren Abschnitt, der niedriger ist als die obere Fläche der Finnenstruktur 104, auf. Der obere Abschnitt der Gateelektrodenschicht 144 weist eine konstante Breite auf, und der untere Abschnitt der Gateelektrodenschicht 144 weist eine variierende Breite auf.
  • In einigen Ausführungsformen wird die Gateelektrodenschicht 144 aus einem Metallmaterial gefertigt. Das Metallmaterial kann ein N-Austrittsarbeitsmetall oder ein P-Austrittsarbeitsmetall umfassen. Das N-Austrittsarbeitsmetall umfasst Wolfram (W), Kupfer (Cu), Titan (Ti), Silber (Ag), Aluminium (Al), eine Legierung aus Titan und Aluminium (TiAl), Titan-Aluminiumnitrid (TiAlN), Tantalkarbid (TaC), Tantal-Kohlenstoffnitrid (TaCN), Tantal-Siliziumnitrid (TaSiN), Mangan (Mn), Zirkonium (Zr) oder Kombinationen davon. Das P-Austrittsarbeitsmetall umfasst Titannitrid (TiN), Wolframnitrid (WN), Tantalnitrid (TaN), Ruthenium (Ru) oder Kombinationen davon.
  • Wie in 2M dargestellt, weist die Gateelektrodenschicht 144 einen oberen Abschnitt 144a und einen unteren Abschnitt 144b auf. Der obere Abschnitt 144a weist im Wesentlichen vertikale Seitenwände auf, und der untere Abschnitt 144b weist abgeschrägte Seitenwände auf. Der untere Abschnitt 144b weist eine sich verjüngende Breite auf, die sich von der virtuellen Fläche des unteren Abschnitts 144b zu der unteren Fläche des unteren Abschnitts 144b hin verjüngt. Es ist zu beachten, dass der obere Abschnitt 144a der Gateelektrodenschicht 144 im Wesentlichen vertikale Seitenwände aufweist, um den hervorstehenden Abschnitt, der eine Kontaktstruktur kontaktiert, zu vermeiden. Außerdem wird der Effekt der draininduzierten Barrierenerniedrigung (DIBL) unterbunden, wenn die zweite Breite W2 der unteren Fläche kleiner gleich der dritten Breite W3 der virtuellen Fläche ist. Daher ist die Leistungsfähigkeit der FinFET-Struktur 100 verbessert.
  • Der obere Abschnitt 144a der Gateelektrodenschicht 144 weist eine erste Höhe auf, und der untere Abschnitt 144b der Gateelektrodenschicht 144 weist eine zweite Höhe auf. Die erste Höhe ist höher als die zweite Höhe, damit mehr Metallmaterial oberhalb der Finnenstruktur 104 gefüllt wird.
  • 3 zeigt eine Draufsicht auf eine Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) 100 gemäß einigen Ausführungsformen der Offenbarung. Die FinFET-Vorrichtungsstruktur 100 umfasst mehrere Finnenstrukturen 104 und mehrere Gatestrukturen 110. Die Gatestrukturen 110 überqueren die Finnenstrukturen 104. Die FinFET-Vorrichtungsstruktur 100 ist durch die Isolationsstruktur 108 umgeben.
  • Wie in 3 dargestellt, können die Finnenstrukturen 104 im Wesentlichen parallel zueinander sein. Die Gatestrukturen 110 können ebenfalls parallel zueinander und im Wesentlichen senkrecht zu den Finnenstrukturen 104 sein. In einigen Ausführungsformen werden die Gatestrukturen 110 auch Gateelektrodenleitungen genannt, wenn sie von oben betrachtet werden.
  • Ein erster Gatetransistor 300a und ein zweiter Gatetransistor 300b werden über einer ersten Finnenstruktur 104a ausgebildet. Ein dritter Gatetransistor 300c und ein vierter Gatetransistor 300d werden über einer zweiten Finnenstruktur 104a ausgebildet.
  • 4A bis 4F zeigen Querschnittsdarstellungen verschiedener Stufen der Fertigung einer Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) 100 gemäß einigen Ausführungsformen der Offenbarung. 4A bis 4F sind Querschnittsdarstellungen, die entlang der Linie AA' von 3 gezeichnet sind.
  • Unter Bezugnahme auf 4A wird die Gateelektrodenschicht 110 über der ersten Finnenstruktur 104a und der zweiten Finnenstruktur 104b und der Isolationsstruktur 108 ausgebildet. Die obere Fläche der Isolationsstruktur 108 ist niedriger als die obere Fläche der Finnenstruktur 104. Danach werden die erste Hartmaskenschicht 212a und die zweite Hartmaskenschicht 212b über der Gateelektrodenschicht 110 ausgebildet.
  • Nach dem Ausbilden der zweiten Hartmaskenschicht 212b wird gemäß einigen Ausführungsformen der Offenbarung die Fotolackschicht 214 über der zweiten Hartmaskenschicht 212b ausgebildet, wie in 4B dargestellt. Danach wird die Fotolackschicht 214 strukturiert.
  • Nach dem Strukturieren der Fotolackschicht 214 werden gemäß einigen Ausführungsformen der Offenbarung ein Abschnitt der ersten Hartmaskenschicht 212a und ein Abschnitt der zweiten Fotolackschicht 212b strukturiert, um Gräben 352 auszubilden, wie in 4C dargestellt.
  • Nachdem die Gräben 352 ausgebildet wurden, wird gemäß einigen Ausführungsformen der Offenbarung ein Abschnitt der Gateelektrodenschicht 110 unter Verwendung der ersten Hartmaskenschicht 212a und der zweiten Hartmaskenschicht 212b als einer Maske strukturiert, wie in 4D dargestellt. Folglich, wird ein erster Graben 354 über der Finnenstruktur 104 und in der Gateelektrodenschicht 110 ausgebildet. Ein zweiter Graben 356 wird über der Isolationsstruktur 108 und in der Gateelektrodenschicht 110 ausgebildet.
  • Die Abschnitte der Gateelektrodenschicht 110 werden mithilfe des Ätzprozesses 121 entfernt. In einigen Ausführungsformen ist der Ätzprozess ein Plasmaprozess. Der Plasmaprozess umfasst ein Verwenden eines Ätzgases, wie z. B. HBr. In einigen Ausführungsformen werden auch ein Helium-(He) und ein Sauerstoffgas (O2) in dem Plasmaprozess verwendet. Die Durchflussrate des Ätzgases liegt bei dem Ätzprozess in einem Bereich von ungefähr 700 sccm bis ungefähr 1000 sccm. Wenn die Durchflussrate kleiner ist als 700 sccm, kann die Ätzselektivität mangelhaft sein. Wenn die Durchflussrate größer ist als 1000 sccm, kann es schwierig sein, die Ätzrate zu steuern.
  • In einigen Ausführungsformen wird der Plasmaprozess bei einer Leistung in einem Bereich von ungefähr 350 Watt bis ungefähr 1500 Watt durchgeführt. Wenn die Leistung kleiner als 350 W ist, ist die Ätzselektivität mangelhaft. Wenn die Leistung größer als 1500 W ist, kann es schwierig sein, die Ätzrate zu steuern. In einigen Ausführungsformen wird der Plasmaprozess bei einem Druck in einem Bereich von ungefähr 10 Torr bis ungefähr 100 Torr durchgeführt. Wenn der Druck kleiner als 10 Torr ist, ist die Ätzselektivität mangelhaft. Wenn der Druck größer als 100 Torr ist, kann es schwierig sein, die Ätzrate zu steuern.
  • Es ist zu beachten, dass das Substrat 102 ein Abschnitt eines Wafers ist, und der Wafer einen Mittelbereich und einen Randbereich umfasst. Es ist schwieriger, die Abmessung der zweiten Breite W2 im Randbereich des Wafers als im Mittelbereich des Wafers zu steuern. Um die zweite Breite W2 derart zu gestalten, dass sie kleiner gleich der dritten Breite W3 ist, liegt in einigen Ausführungsformen ein Verhältnis einer Menge des Ätzgases im Randbereich zu jener des Ätzgases im Gesamtbereich in einem Bereich von ungefähr 50 Vol.-% bis ungefähr 90 Vol.-%. Wenn das Verhältnis des Ätzgases kleiner als 50 Vol.-% oder größer als 90 Vol.-% ist, kann der Loading-Effekt zwischen dem Mittelbereich und dem Randbereich groß sein, und daher ist es schwierig, die Abmessungen der ersten Breite W1 und der zweiten Breite W2 zu steuern.
  • 4D' zeigt eine vergrößerte Darstellung eines Bereichs A von 4D gemäß einigen Ausführungsformen der Offenbarung. Wie in 4D' dargestellt, umfasst die Gateelektrodenschicht 110 den oberen Abschnitt 110a und den unteren Abschnitt 110b. Der obere Abschnitt 110a befindet sich an einer Position, die höher ist als die obere Fläche der Finnenstrukturen 104a, 104b. Der untere Abschnitt 110b befindet sich an einer Position, die tiefer ist als die obere Fläche der Finnenstrukturen 104a, 104b. Der obere Abschnitt 110a der Gateelektrodenschicht 110 weist im Wesentlichen vertikale Seitenwände auf, und der untere Abschnitt 110b der Gateelektrodenschicht 110 weist abgeschrägte Seitenwände auf.
  • Eine Grenzfläche wird zwischen dem oberen Abschnitt 110a und dem unteren Abschnitt 110b ausgebildet. Die Grenzfläche ist keine wirkliche Abgrenzung und wird verwendet, um die Form der Gateelektrodenschicht 110 zu definieren. Die Grenzfläche kann als eine untere Fläche des oberen Abschnitts 110a betrachtet werden. Außerdem kann die Grenzfläche als eine obere Fläche des unteren Abschnitts 110b betrachtet werden. In einigen Ausführungsformen liegt ein Winkel θ zwischen der Seitenwand des oberen Abschnitts 110a und der virtuellen Fläche in einem Bereich von ungefähr 85 Grad bis ungefähr 95 Grad.
  • Der obere Abschnitt 110a weist eine gleichmäßige Breite auf, und der untere Abschnitt 110b weist eine variierende Breite auf. Der obere Abschnitt 110a weist die erste Breite W1 auf, die Grenzfläche weist die dritte Breite W3 auf. Die untere Fläche des unteren Abschnitts 110b weist die zweite Breite W2 auf. In einigen Ausführungsformen ist die erste Breite W1 größer als die zweite Breite W2, und die zweite Breite W2 ist kleiner als die dritte Breite W3. In einigen Ausführungsformen liegt der Unterschied (ΔW = W3 – W2) zwischen der dritten Breite W3 und der zweiten Breite W2 in einem Bereich von ungefähr 0 nm bis ungefähr 15 nm. Wenn der Unterschied (ΔW) größer als 15 nm ist, kann der untere Abschnitt 110b der Dummy-Gateelektrodenschicht 110 zu klein sein, um den oberen Abschnitt 110a zu stützen. Wenn der Unterschied kleiner als 0 nm ist, kann es schwierig sein, die Source/Drain-Strukturen (S/D-Strukturen) 116 auszubilden.
  • Danach werden die erste Hartmaskenschicht 212a und die zweite Hartmaskenschicht 212b entfernt, und Spacer 212 werden auf gegenüberliegenden Seitenwänden der Dummy-Gatestruktur 110 ausgebildet. Als Nächstes wird gemäß einigen Ausführungsformen der Offenbarung ein dielektrisches Material in die Gräben 354, 356 und auf die Gateelektrodenschicht 110 als eine Maske eingefüllt, wie in 4E dargestellt.
  • Nachdem das dielektrische Material eingefüllt wurde, wird ein Abschnitt des dielektrischen Materials aus den Gräben 354, 356 mithilfe eines Planarisierungsprozesses, wie z. B. eines chemisch-mechanischen Polierprozesses (CMP), entfernt. Folglich wird die ILD-Struktur 136 ausgebildet. Die ILD-Struktur 136 wird zwischen zwei benachbarten Gatestrukturen 146 ausgebildet. Die ILD-Struktur 136 umfasst einen oberen Abschnitt und einen unteren Abschnitt, und der untere Abschnitt ist breiter als der obere Abschnitt.
  • Danach wird gemäß einigen Ausführungsformen der Offenbarung die Gateelektrodenschicht 110 entfernt, um einen Graben (nicht dargestellt) auszubilden, und die Gatedielektrikumsschicht 142 und die Gateelektrode 144 werden nacheinander in dem Graben ausgebildet, wie in 4F dargestellt. In einigen Ausführungsformen ist die Gatedielektrikumsschicht 142 eine Schicht aus einem Dielektrikum mit einer hohen Dielektrizitätskonstante (High-k-Dielektrikum), und die Gateelektrode 144 ist eine Metallgateelektrode. Mit anderen Worten wird eine HK/MG-Stapelstruktur auf der Finnenstruktur 104 ausgebildet.
  • Wie in 4F dargestellt, werden die Gatedielektrikumsschicht 142 und die Gateelektrode 144 in vier Teile aufgeteilt, und der erste Transistor 300a, der zweite Transistor 300b, der dritte Transistor 300c und der vierte Transistor 300d werden jeweils ausgebildet. Jeder von dem ersten Transistor 300a, dem zweiten Transistor 300b, dem dritten Transistor 300c und dem vierten Transistor 300d wird aus der Gatedielektrikumsschicht 142 und der Gateelektrode 144 aufgebaut. Die ILD-Struktur 136 ist zwischen dem ersten Transistor 300a und dem zweiten Transistor 300b angeordnet. Außerdem ist die ILD-Struktur 136 zwischen dem dritten Transistor 300c und dem vierten Transistor 300d angeordnet.
  • 5A bis 5C zeigen Querschnittsdarstellungen verschiedener Stufen der Fertigung einer Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) 100 gemäß einigen Ausführungsformen der Offenbarung. 5A bis 5C sind Querschnittsdarstellungen, die entlang der Linie BB' von 3 gezeichnet sind.
  • Wie in 5A dargestellt, werden die erste Hartmaskenschicht 212a und die zweite Hartmaskenschicht 212b über der Gateelektrodenschicht 110 ausgebildet.
  • Danach werden gemäß einigen Ausführungsformen der Offenbarung die erste Hartmaskenschicht 212a und die zweite Hartmaskenschicht 212b strukturiert, um die strukturierte erste Hartmaskenschicht 212a und die strukturierte zweite Hartmaskenschicht 212b auszubilden, wie in 5B dargestellt.
  • Danach wird gemäß einigen Ausführungsformen der Offenbarung die Gateelektrodenschicht 110 geätzt, um den oberen Abschnitt 110a und den unteren Abschnitt 110b auszubilden, wie in 5C dargestellt.
  • Ausführungsformen zum Ausbilden einer FinFET-Vorrichtungsstruktur und ein Verfahren zum Ausbilden von dieser sind bereitgestellt. Eine FinFET-Vorrichtungsstruktur umfasst eine über einem Substrat ausgebildete Finnenstruktur und eine über der Finnenstruktur ausgebildete Gatestruktur. Die Gatestruktur umfasst einen oberen Abschnitt und einen unteren Abschnitt. Der obere Abschnitt weist im Wesentlichen vertikale Seitenwände auf, und der untere Abschnitt weist eine abgeschrägte Seitenwand auf, die sich allmählich von einer Oberseite zu einer Unterseite hin verjüngt. Die im Wesentlichen vertikalen Seitenwände des oberen Abschnitts werden verwendet, um das Problem des Hervorstehens zu vermeiden. Eine virtuelle Fläche wird zwischen dem oberen Abschnitt und dem unteren Abschnitt ausgebildet, wobei der Effekt der draininduzierten Barrierenerniedrigung (DIBL) unterbunden wird, wenn eine zweite Breite der unteren Fläche des unteren Abschnitts kleiner gleich einer dritten Breite der virtuellen Fläche ist. Daher sind die Leistungsfähigkeit und Zuverlässigkeit der der FinFET-Vorrichtungsstruktur verbessert.
  • In einigen Ausführungsformen ist eine FinFET-Vorrichtungsstruktur bereitgestellt. Die FinFET-Vorrichtungsstruktur umfasst eine Finnenstruktur, die über einem Substrat ausgebildet ist, und eine Gatestruktur, die die Finnenstruktur überquert. Die Gatestruktur umfasst eine Gateelektrodenschicht, die einen oberen Abschnitt über der Finnenstruktur und einen unteren Abschnitt unter der Finnenstruktur umfasst, die virtuelle Fläche ist zwischen dem oberen Abschnitt und dem unteren Abschnitt ausgebildet, und der untere Abschnitt weist eine sich verjüngende Breite auf, die sich allmählich von der virtuellen Fläche zu einer unteren Fläche des unteren Abschnitts hin verjüngt.
  • In einigen Ausführungsformen ist eine FinFET-Vorrichtungsstruktur bereitgestellt. Die FinFET-Vorrichtungsstruktur umfasst eine Finnenstruktur, die über einem Substrat ausgebildet ist, und eine über dem Substrat ausgebildete Isolationsstruktur. Ein Abschnitt der Finnenstruktur ist in die Isolationsstruktur eingebettet. Die FinFET-Vorrichtungsstruktur umfasst eine erste Gatestruktur, die die Finnenstruktur überquert, und die erste Gatestruktur umfasst eine erste Gateelektrodenschicht, die einen oberen Abschnitt über der Finnenstruktur und einen unteren Abschnitt unter der Finnenstruktur umfasst. Der untere Abschnitt weist eine umgedrehte Trapezform auf.
  • In einigen Ausführungsformen ist ein Verfahren zum Ausbilden einer FinFET-Vorrichtungsstruktur bereitgestellt. Das Verfahren umfasst ein Ausbilden einer Finnenstruktur über einem Substrat und ein Ausbilden einer Isolationsstruktur über dem Substrat. Ein Abschnitt der Finnenstruktur ist in die Isolationsstruktur eingebettet. Das Verfahren umfasst außerdem ein Ausbilden einer Gatestruktur über der Finnenstruktur und der Isolationsstruktur, und die erste Gatestruktur umfasst eine Gateelektrodenschicht, die einen oberen Abschnitt über der Finnenstruktur und einen unteren Abschnitt unter der Finnenstruktur umfasst. Der untere Abschnitt weist eine sich verjüngende Breite auf, die sich allmählich von einer virtuellen Grenzfläche zu einer unteren Fläche des unteren Abschnitts hin verjüngt, wobei die virtuelle Fläche zwischen dem oberen Abschnitt und dem unteren Abschnitt ausgebildet ist.
  • Das Vorstehende skizziert Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung als eine Grundlage für Entwerfen und Modifizieren anderer Prozesse und Strukturen leicht verwenden kann, um die gleichen Aufgaben durchzuführen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erzielen. Ein Fachmann soll ebenfalls verstehen, dass derartige äquivalente Ausführungen nicht vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifizierungen hier vornehmen kann, ohne vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur), umfassend: eine über einem Substrat ausgebildete Finnenstruktur, und eine Gatestruktur, die die Finnenstruktur überquert, wobei die Gatestruktur eine Gateelektrodenschicht umfasst, die einen oberen Abschnitt über der Finnenstruktur und einen unteren Abschnitt unter der Finnenstruktur umfasst, wobei die virtuelle Fläche zwischen dem oberen Abschnitt und dem unteren Abschnitt ausgebildet ist, und der untere Abschnitt eine sich verjüngende Breite aufweist, die sich allmählich von der virtuellen Fläche zu einer unteren Fläche des unteren Abschnitts hin verjüngt.
  2. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 1, wobei der obere Abschnitt eine obere Fläche mit einer ersten Breite aufweist, und der untere Abschnitt eine untere Fläche mit einer zweiten Breite aufweist, und die erste Breite größer ist als die zweite Breite.
  3. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 2, wobei die virtuelle Grenzfläche eine dritte Breite aufweist, und die dritte Breite größer ist als die zweite Breite.
  4. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der vorhergehenden Ansprüche, wobei sich die virtuelle Grenzfläche im Wesentlichen auf gleicher Höhe mit einer oberen Fläche der Finnenstruktur befindet.
  5. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der vorhergehenden Ansprüche, wobei der obere Abschnitt der Gateelektrodenschicht im Wesentlichen vertikale Seitenwände aufweist.
  6. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der vorhergehenden Ansprüche, wobei der obere Abschnitt der Gateelektrodenschicht eine erste Höhe aufweist, und der untere Abschnitt der Gateelektrodenschicht eine zweite Höhe aufweist, und die erste Höhe höher ist als die zweite Höhe.
  7. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der vorhergehenden Ansprüche, ferner umfassend: eine über dem Substrat ausgebildete Isolationsstruktur, wobei ein Abschnitt der Gatestruktur über der Isolationsstruktur ausgebildet ist.
  8. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der vorhergehenden Ansprüche, wobei der untere Abschnitt der Gateelektrodenschicht eine umgekehrte Trapezform aufweist.
  9. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur), umfassend: eine über einem Substrat ausgebildete Finnenstruktur, eine über dem Substrat ausgebildete Isolationsstruktur, wobei ein Abschnitt der Finnenstruktur in die Isolationsstruktur eingebettet ist, und eine erste Gatestruktur, die die Finnenstruktur überquert, wobei die erste Gatestruktur eine erste Gateelektrodenschicht umfasst, die einen oberen Abschnitt über der Finnenstruktur und einen unteren Abschnitt unter der Finnenstruktur umfasst, und der untere Abschnitt eine umgekehrte Trapezform aufweist.
  10. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 9, wobei der obere Abschnitt der ersten Gateelektrodenschicht eine erste Höhe aufweist, und der untere Abschnitt der ersten Gateelektrodenschicht eine zweite Höhe aufweist, und die erste Höhe höher ist als die zweite Höhe.
  11. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 9 oder 10, wobei der obere Abschnitt eine obere Fläche mit einer ersten Breite aufweist, und der untere Abschnitt eine untere Fläche mit einer zweiten Breite aufweist, und die erste Breite größer ist als die zweite Breite.
  12. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 11, wobei eine virtuelle Grenzfläche zwischen dem oberen Abschnitt und dem unteren Abschnitt ausgebildet ist, und die virtuelle Grenzfläche eine dritte Breite aufweist, und die zweite Breite kleiner gleich der dritten Breite ist.
  13. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 12, wobei sich der untere Abschnitt der ersten Gateelektrodenschicht allmählich von der virtuellen Grenzfläche zu der unteren Fläche hin verjüngt.
  14. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach einem der Ansprüche 9 bis 13, wobei der obere Abschnitt der ersten Gateelektrodenschicht im Wesentlichen vertikale Seitenwände aufweist.
  15. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 14, ferner umfassend: eine Gatestruktur, die die Finnenstruktur überquert, und eine dielektrische Zwischenschichtstruktur (ILD-Struktur) zwischen der ersten Gatestruktur und der zweiten Gatestruktur, wobei die ILD-Struktur eine obere Fläche und eine untere Fläche umfasst, wobei die untere Fläche breiter ist als die obere Fläche.
  16. Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 15, wobei der untere Abschnitt der ILD-Struktur abgeschrägte Seitenwände aufweist, die sich allmählich von einer unteren Fläche des unteren Abschnitts zu einer oberen Fläche des unteren Abschnitts hin verjüngen.
  17. Verfahren zum Ausbilden einer Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur), umfassend: Ausbilden einer Finnenstruktur über einem Substrat, Ausbilden einer Isolationsstruktur über dem Substrat, wobei ein Abschnitt der Finnenstruktur in die Isolationsstruktur eingebettet wird, und Ausbilden einer Gatestruktur über der Finnenstruktur und der Isolationsstruktur, wobei die Gatestruktur eine Gateelektrodenschicht umfasst, die einen oberen Abschnitt über der Finnenstruktur und einen unteren Abschnitt unter der Finnenstruktur umfasst, und der untere Abschnitt eine sich verjüngende Breite aufweist, die sich allmählich von einer virtuellen Grenzfläche zu einer unteren Fläche des unteren Abschnitts hin verjüngt, wobei die virtuelle Fläche zwischen dem oberen Abschnitt und dem unteren Abschnitt ausgebildet wird.
  18. Verfahren zum Ausbilden der Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 17, wobei das Ausbilden der Gatestruktur über der Finnenstruktur und der Isolationsstruktur umfasst: Ausbilden eines Gatematerials über der Finnenstruktur und der Isolationsstruktur, Ausbilden einer Hartmaskenschicht über dem Gatematerial, Strukturieren der Hartmaskenschicht, und Ätzen des Gatematerials unter Verwendung der Hartmaskenschicht als einer Maske, um die Gatestruktur auszubilden.
  19. Verfahren zum Ausbilden der FinFET-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 18, wobei das Ätzen des Gatematerials ein Verwenden eines Ätzprozesses umfasst, und der Ätzprozess bei einem Druck in einem Bereich von ungefähr 10 Torr bis ungefähr 100 Torr durchgeführt wird.
  20. Verfahren zum Ausbilden der Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) nach Anspruch 18, ferner umfassend: Ausbilden einer dielektrischen Zwischenschichtstruktur (ILD-Struktur) über dem Substrat und zu der Gatestruktur benachbart, Entfernen der Gatestruktur, um einen Graben in der ILD-Struktur auszubilden, und Einfüllen einer Gatedielektrikumsschicht und einer Gateelektrodenschicht in den Graben.
DE102016100035.3A 2015-07-02 2016-01-04 Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) und Verfahren zum Ausbilden dieser Active DE102016100035B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562188028P 2015-07-02 2015-07-02
US62/188,028 2015-07-02
US14/942,491 2015-11-16
US14/942,491 US10269651B2 (en) 2015-07-02 2015-11-16 Fin field effect transistor (FinFET) device structure and method for forming the same

Publications (2)

Publication Number Publication Date
DE102016100035A1 true DE102016100035A1 (de) 2017-01-05
DE102016100035B4 DE102016100035B4 (de) 2022-09-29

Family

ID=57582599

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016100035.3A Active DE102016100035B4 (de) 2015-07-02 2016-01-04 Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) und Verfahren zum Ausbilden dieser

Country Status (5)

Country Link
US (2) US10269651B2 (de)
KR (1) KR101820226B1 (de)
CN (1) CN106328711B (de)
DE (1) DE102016100035B4 (de)
TW (1) TWI578529B (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10096712B2 (en) 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
US10262870B2 (en) 2015-07-02 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10269651B2 (en) 2015-07-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9704969B1 (en) * 2015-12-31 2017-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin semiconductor device having multiple gate width structures
US9859420B1 (en) * 2016-08-18 2018-01-02 International Business Machines Corporation Tapered vertical FET having III-V channel
US10446662B2 (en) * 2016-10-07 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing metal gate overhang by forming a top-wide bottom-narrow dummy gate electrode
WO2018182617A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Transistors employing non-selective deposition of source/drain material
US10186456B2 (en) 2017-04-20 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming contact plugs with reduced corrosion
US10204905B2 (en) 2017-04-25 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10141225B2 (en) * 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
KR102303300B1 (ko) * 2017-08-04 2021-09-16 삼성전자주식회사 반도체 장치
US10811320B2 (en) * 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
US10741667B2 (en) * 2018-02-27 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a protective stack on a semiconductor fin
US10749007B2 (en) * 2018-03-14 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with desired profile for semiconductor devices
US10515955B1 (en) * 2018-05-29 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing transistor gate structures by local thinning of dummy gate stacks using an etch barrier
US10658491B2 (en) * 2018-06-15 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling profiles of replacement gates
US11315933B2 (en) * 2018-06-29 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure and method for forming the same
US11158545B2 (en) * 2018-09-25 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming isolation features in metal gates
KR102612592B1 (ko) * 2018-10-15 2023-12-12 삼성전자주식회사 반도체 소자
CN111613583B (zh) 2019-02-25 2023-07-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN112447828B (zh) * 2019-08-27 2024-03-01 中芯国际集成电路制造(上海)有限公司 一种半导体结构及其形成方法
US11482421B2 (en) * 2019-10-29 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device by a replacement gate process
US11309403B2 (en) * 2019-10-31 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
DE102020114867A1 (de) 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11430865B2 (en) 2020-01-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11398384B2 (en) 2020-02-11 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for manufacturing a transistor gate by non-directional implantation of impurities in a gate spacer
CN113540235A (zh) * 2020-04-13 2021-10-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11302581B2 (en) * 2020-05-05 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate profile control through sidewall protection during etching
US11450758B2 (en) 2020-06-12 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure of semiconductor device and method of forming same
US11532481B2 (en) * 2020-06-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method of forming
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11670675B2 (en) 2020-12-04 2023-06-06 United Semiconductor Japan Co., Ltd. Semiconductor device
US11824103B2 (en) * 2021-04-23 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN114093946A (zh) * 2021-09-18 2022-02-25 上海华力集成电路制造有限公司 提升FinFET的交流性能的结构和方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6762129B2 (en) 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
JP2003077900A (ja) 2001-09-06 2003-03-14 Hitachi Ltd 半導体装置の製造方法
US6649489B1 (en) 2003-02-13 2003-11-18 Taiwan Semiconductor Manufacturing Company Poly etching solution to improve silicon trench for low STI profile
US7859065B2 (en) 2005-06-07 2010-12-28 Nec Corporation Fin-type field effect transistor and semiconductor device
US7473593B2 (en) 2006-01-11 2009-01-06 International Business Machines Corporation Semiconductor transistors with expanded top portions of gates
US8264048B2 (en) 2008-02-15 2012-09-11 Intel Corporation Multi-gate device having a T-shaped gate structure
US20110241118A1 (en) 2010-03-30 2011-10-06 Globalfoundries Inc Metal gate fill by optimizing etch in sacrificial gate profile
US8541296B2 (en) 2011-09-01 2013-09-24 The Institute of Microelectronics Chinese Academy of Science Method of manufacturing dummy gates in gate last process
CN102969232B (zh) * 2011-09-01 2015-01-14 中国科学院微电子研究所 后栅工艺中假栅的制造方法
US8629512B2 (en) 2012-03-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack of fin field effect transistor with slanted sidewalls
US8652932B2 (en) 2012-04-17 2014-02-18 International Business Machines Corporation Semiconductor devices having fin structures, and methods of forming semiconductor devices having fin structures
US9041115B2 (en) 2012-05-03 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for FinFETs
KR101909091B1 (ko) 2012-05-11 2018-10-17 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8803241B2 (en) 2012-06-29 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate electrode of semiconductor device
JP2014120661A (ja) 2012-12-18 2014-06-30 Tokyo Electron Ltd ダミーゲートを形成する方法
US9991285B2 (en) 2013-10-30 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming FinFET device
US9117908B2 (en) 2013-12-16 2015-08-25 Globalfoundries Inc. Methods of forming replacement gate structures for semiconductor devices and the resulting semiconductor products
KR102125749B1 (ko) * 2013-12-27 2020-07-09 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9620621B2 (en) 2014-02-14 2017-04-11 Taiwan Semiconductor Manufacturing Company Ltd. Gate structure of field effect transistor with footing
CN105336624B (zh) 2014-08-11 2018-07-10 中国科学院微电子研究所 鳍式场效应晶体管及其假栅的制造方法
US9064943B1 (en) 2014-09-30 2015-06-23 International Business Machines Corporation Gate-all-around field effect transistor structures and methods
US9620417B2 (en) 2014-09-30 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of manufacturing fin-FET devices
KR20160044976A (ko) * 2014-10-16 2016-04-26 삼성전자주식회사 핀형 전계 효과 트랜지스터를 구비한 반도체 소자
KR102224386B1 (ko) 2014-12-18 2021-03-08 삼성전자주식회사 집적 회로 장치의 제조 방법
US11205707B2 (en) * 2014-12-22 2021-12-21 Intel Corporation Optimizing gate profile for performance and gate fill
DE102015005856A1 (de) 2015-05-06 2016-11-10 Audi Ag Beduftungsanlage für ein Fahrzeug
US10269651B2 (en) 2015-07-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10262870B2 (en) 2015-07-02 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
JP2017039383A (ja) 2015-08-19 2017-02-23 スズキ株式会社 4輪車の車体フロア構造

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10262870B2 (en) 2015-07-02 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10269651B2 (en) 2015-07-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10741408B2 (en) 2015-07-02 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10854519B2 (en) 2015-07-02 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US11309189B2 (en) 2015-07-02 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US11854825B2 (en) 2015-07-02 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure of semiconductor device and method for forming the same
US10096712B2 (en) 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
US10811536B2 (en) 2015-10-20 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method of forming and monitoring quality of the same
US11522084B2 (en) 2015-10-20 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and method of forming and monitoring quality of the same

Also Published As

Publication number Publication date
US10269651B2 (en) 2019-04-23
DE102016100035B4 (de) 2022-09-29
TW201703258A (zh) 2017-01-16
US20170005005A1 (en) 2017-01-05
CN106328711B (zh) 2019-08-30
KR101820226B1 (ko) 2018-01-18
TWI578529B (zh) 2017-04-11
US10854519B2 (en) 2020-12-01
US20180337095A1 (en) 2018-11-22
KR20170004827A (ko) 2017-01-11
CN106328711A (zh) 2017-01-11

Similar Documents

Publication Publication Date Title
DE102016100035B4 (de) Fin-Feldeffekttransistor-Vorrichtungsstruktur (FinFET-Vorrichtungsstruktur) und Verfahren zum Ausbilden dieser
DE102016100033B4 (de) FinFET-Vorrichtungsstruktur und Verfahren zu ihrer Herstellung
DE102020100101B4 (de) Verfahren zum ausbilden einer halbleitervorrichtungsstruktur
DE102015109834B4 (de) Verfahren zur Ausbildung eines Fin-Feldeffekttransistor (Finfet) - Bauelementstruktur mit unebenem Gate
DE102015105996B4 (de) Verfahren zum ausbilden von einem fin-feldeffekttransistor-bauelement (finfet-bauelement) mit einer regulierten kritischen ende-zu-ende-abmessung
DE102015110028B4 (de) Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur und Verfahren zu ihrer Herstellung
DE102012025824B4 (de) FinFET-Vorrichtung und Verfahren zum Herstellen einer FinFET-Vorrichtung
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102015111262A1 (de) Fin-feldeffekttransistor-bauelementstruktur (finfet- bauelementstruktur) mit einer stoppschicht und verfahren zum ausbilden von dieser
DE102015104490A1 (de) Fin-feldeffekttransistor-bauelement (finfet-bauelement) und verfahren zum ausbilden eines finfet-bauelements
DE102012204516A1 (de) FinFET-Vorrichtung und Herstellungsverfahren für dieselbe
DE102017127554B3 (de) Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen
DE102015106866A1 (de) Schutzschicht auf der Finne einer Finnen-Feldeffekttransistor-(FinFET-) Bauelementstruktur
DE102020114875B4 (de) Finfet-vorrichtung und verfahren
DE102020119099B4 (de) Halbleitervorrichtung und verfahren zu ihrer herstellung
DE112022000493T5 (de) Nanosheet-metall-oxid-halbleiter-feldeffekttransistor mit asymmetrischer schwellenspannung
DE102020102548A1 (de) Selbstausrichtende kontaktanordnung
DE102020114991A1 (de) In-situ-ausbilden von metallgate-modulatoren
DE102017124223B4 (de) Halbleiterstruktur mit Finnen und Isolationsfinnen und Verfahren zu deren Herstellung
DE102015107182A1 (de) Fin-Feldeffekttransistor (FinFET) -Bauelement und Verfahren zur Herstellung desselben
DE102020124631B4 (de) Mehrschichtiger isolierfilmstapel und verfahren zu seiner herstellung
DE102017122702A1 (de) Struktur und Verfahren für FinFET-Vorrichtung mit asymmetrischem Kontakt
DE102020123277A1 (de) Struktur und verfahren für transistoren, die rückseitige stromschienen aufweisen
DE102019123604A1 (de) Fin-feldeffekttransistorvorrichtung und verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final