DE102014112860B4 - Ringstrukturen in Vorrichtungs-Die und Verfahren - Google Patents

Ringstrukturen in Vorrichtungs-Die und Verfahren Download PDF

Info

Publication number
DE102014112860B4
DE102014112860B4 DE102014112860.5A DE102014112860A DE102014112860B4 DE 102014112860 B4 DE102014112860 B4 DE 102014112860B4 DE 102014112860 A DE102014112860 A DE 102014112860A DE 102014112860 B4 DE102014112860 B4 DE 102014112860B4
Authority
DE
Germany
Prior art keywords
metal
ring
layer
metal ring
molding compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102014112860.5A
Other languages
English (en)
Other versions
DE102014112860A1 (de
Inventor
Ying-Ju Chen
Jie Chen
Hsien-Wei Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014112860A1 publication Critical patent/DE102014112860A1/de
Application granted granted Critical
Publication of DE102014112860B4 publication Critical patent/DE102014112860B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4821Flat leads, e.g. lead frames with or without insulating supports
    • H01L21/4825Connection or disconnection of other leads to or from flat leads, e.g. wires, bumps, other flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3142Sealing arrangements between parts, e.g. adhesion promotors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49503Lead-frames or other flat leads characterised by the die pad
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49517Additional leads
    • H01L23/49527Additional leads the additional leads being a multilayer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49541Geometry of the lead-frame
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/4501Shape
    • H01L2224/45012Cross-sectional shape
    • H01L2224/45015Cross-sectional shape being circular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83191Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1041Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1436Dynamic random-access memory [DRAM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1437Static random-access memory [SRAM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Struktur, die Folgendes umfasst:einen Die (100'), der Folgendes umfasst:eine erste Metall-Anschlussstelle (30/30A);eine Passivierungsschicht (32) über der ersten Metall-Anschlussstelle (30/30A);eine Polymerschicht (36) über der Passivierungsschicht (32);eine Metallsäule (40/40A) über der ersten Metall-Anschlussstelle (30/30A) undelektrisch mit ihr verbunden; undeinen Metallring (40/40B), der koplanar mit der Metallsäule (40/40A) ist, wobei die Polymerschicht (36) einen ersten Abschnitt umfasst, der koplanar mit der Metallsäule (40/40A) und dem Metallring (40/40B) ist;eine Formmasse (60) zum Ausformen des Dies (100');mehrere Durchkontaktierungen (58), die die Formmasse (60) durchbrechen;eine dielektrische Schicht (62) mit einer Oberfläche, die die Formmasse (60) kontaktiert; undUmverteilungsleitungen (64) in der dielektrischen Schicht (62) und elektrisch verbunden mit der Metallsäule (40/40A) und den mehreren Durchkontaktierungen (58), wobei der Metallring (40/40B) eine Oberfläche umfasst, die koplanar mit der Oberfläche der dielektrischen Schicht (62) ist, und wobei die gesamte Oberfläche des Metallrings (40/40B) in Kontakt mit der dielektrischen Schicht (62) ist.

Description

  • HINTERGRUND
  • Die Herstellung von modernen Schaltungen beinhaltet üblicherweise mehrere Schritte. Integrierte Schaltungen werden zuerst auf einem Halbleiterwafer hergestellt, der mehrere duplizierte Halbleiterchips umfasst, die jeweils integrierte Schaltungen umfassen. Die Halbleiterchips werden dann von dem Wafer gesägt und gekapselt. Die Kapselungsverfahren haben zwei Hauptziele: die empfindlichen Halbleiterchips zu schützen und interne integrierte Schaltungen mit externen Pins zu verbinden.
  • Aufgrund der gestiegenen Nachfrage nach mehr Funktionen wurde Package-on-Package-(PoP)-Technologie entwickelt, bei der zwei oder mehr Gehäuse gebondet werden, um die Integrationsfähigkeit der Gehäuse zu erhöhen. Mit einem hohen Integrationsgrad kann die elektrische Leistungsfähigkeit des sich ergebenden PoP-Gehäuses verbessert werden, indem von verkürzten Verbindungswegen zwischen Komponenten profitiert wird. Indem PoP-Technologie verwendet wird, wird das Gehäuse-Design flexibler und weniger komplex. Die Time-to-Market-Zeit wird auch verringert.
  • Eine Halbleiterstruktur mit einer Metall-Anschlussstelle und mehreren Metallringen, welche die Halbleiterstruktur als Schutzstruktur umgeben, ist aus der Anmeldeschrift US 2010/0123219 A1 bekannt. Eine weitere Halbleiterstruktur mit Schutzring ist in der US 2005/0098893 A1 beschrieben.
  • Die Anmeldeschrift US 2013/0001776 A1 offenbart eine Halbleiterstruktur mit Passivierungsschichten und einer Formmasse. Aus der US 2010/0233831 A1 und der DE 103 20 646 A1 sind Halbleiterstrukturen bekannt, die eine Formmasse zum Ausformen von Dies aufweisen und ferner Durchkontaktierungen umfassen, welche die Formmasse durchbrechen.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Figuren gelesen wird. Man beachte, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert sein.
    • 1 zeigt eine Schnittansicht eines Wafers in Übereinstimmung mit einigen Ausführungsformen;
    • 2 bis 16 zeigen die Schnittansichten von Zwischenstufen beim Ausbilden eines Gehäuses in Übereinstimmung mit einigen Ausführungsformen; und
    • 17 zeigt eine Draufsicht eines Teils eines Wafers in Übereinstimmung mit einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Das Ausbilden einer ersten Einrichtung über oder auf einer zweiten Einrichtung in der folgenden Beschreibung kann beispielsweise Ausführungsformen umfassen, in denen die erste und die zweite Einrichtung in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Einrichtungen zwischen der ersten und der zweiten Einrichtung ausgebildet sein können, so dass die erste und die zweite Einrichtung nicht in direktem Kontakt sein müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und erzwingt als solche keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und ahnliche, hier zur Einfachheit der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals mit einem oder mehreren anderen Elementen oder Merkmalen zu beschreiben, wie sie in den Figuren gezeigt sind. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Es sind ein Vorrichtungs-Die und das Verfahren zum Ausbilden eines Gehäuses, das den Vorrichtungs-Die umfasst, in Übereinstimmung mit verschiedenen beispielhaften Ausführungsformen vorgesehen. Die Zwischenstufen zum Ausbilden des Gehäuses sind gezeigt. Die Varianten der Ausführungsformen werden beschrieben. Überall in den verschiedenen Ansichten und beispielhaften Ausführungsformen werden gleiche Bezugszeichen verwendet, um gleiche Elemente zu bezeichnen.
  • 1 bis 16 zeigen die Schnittansichten von Zwischenstufen bei der Ausbildung eines Gehäuses in Übereinstimmung mit einigen Ausführungsformen. Mit Bezug auf 1 ist ein Wafer 100, der mehrere Vorrichtungs-Dies 100' umfasst, vorgesehen. Der Wafer 100 umfasst weiter ein Halbleitersubstrat 10, das ein Bulk-Siliziumsubstrat oder ein Silizium-auf-Isolator-Substrat sein kann. Alternativ können auch andere Halbleitermaterialien verwendet werden, die Gruppe-III-, Gruppe-IV- und Gruppe-V-Elemente umfassen, die Silizium-Germanium, Silizium-Kohlenstoff und/oder III-V-Verbindungshalbleiter-Materialien umfassen können. Integrierte Schaltungen wie Transistoren (schematisch als 12 gezeigt) sind in und/oder auf dem Halbleitersubstrat 10 ausgebildet. Der Wafer 100 kann weiter ein Zwischendielektrikum (Inter-Layer Dielectric, ILD) 14 und eine Verbindungsstruktur 16 über dem Halbleitersubstrat 10 umfassen. Die Verbindungsstruktur 16 umfasst Metallleitungen 20 und Durchkontaktierungen 22, die in den dielektrischen Schichten 18 ausgebildet sind. Die Metallleitungen, die auf einer gleichen Ebene liegen, werden gemeinsam im Folgenden als eine Metallschicht bezeichnet. Somit kann die Verbindungsstruktur 16 mehrere Metallschichten umfassen, die untereinander durch Durchkontaktierungen 22 verbunden sind. Die Metallleitungen 20 und die Durchkontaktierungen 22 können aus Kupfer oder Kupferlegierungen ausgebildet sein, obwohl sie auch aus anderen Metallen ausgebildet sein können. In manchen Ausführungsformen umfassen die dielektrischen Schichten 18 Low-k-Dielektrika. Die dielektrischen Konstanten (k-Werte) der Low-k-Dielektrika können beispielsweise kleiner als etwa 3,0 oder etwa 2,5 sein.
  • Die Metallschichten umfassen eine untere Metallschicht (auch als Metallschicht Eins oder M1 bezeichnet) bis zu einer oberen Metallschicht (Mtop). In manchen Ausführungsformen ist die Mtop-Schicht die oberste Metallschicht, die in Low-k-Dielektrika ausgebildet ist.
  • In Übereinstimmung mit manchen Ausführungsformen der vorliegenden Offenbarung wird eine Passivierungsschicht 28 über der oberen Metallschicht Mtop und der entsprechenden dielektrischen Schicht 18 ausgebildet. Die Passivierungsschicht 28 hat einen k-Wert, der größer als 3,8 ist, und wird mittels eines Nicht-Low-k-Dielektrikums ausgebildet. In manchen Ausführungsformen ist die Passivierungsschicht 28 eine Verbundschicht, die eine Siliziumoxid-Schicht (nicht gezeigt) und eine Siliziumnitrid-Schicht (nicht gezeigt) über der Siliziumoxid-Schicht umfasst. Die Passivierungsschicht 28 kann auch aus anderen nichtporösen Dielektrika ausgebildet sein, wie undotiertem Silikatglas (USG), Siliziumoxinitrid und/oder Ähnlichem.
  • Metall-Anschlussstellen 30 (einschließlich 30A und 30B) sind mit Abschnitten in der Passivierungsschicht 28 ausgebildet und können mit Vorrichtungen der integrierten Schaltung 12 über Durchkontaktierungen 26, Metallleitungen 20 und Durchkontaktierungen 22 elektrisch verbunden sein. Die Metall-Anschlussstellen 30 können Aluminium-Anschlussstellen oder Aluminium-Kupfer-Anschlussstellen sein und werden daher im Folgenden alternativ als Aluminium-Anschlussstellen 30 bezeichnet, obwohl andere metallische Materialien verwendet werden können. Die Metall-Anschlussstellen 30 können beispielsweise einen (atomaren) Aluminiumanteil zwischen etwa 99,5 Prozent und etwa 99,9 Prozent sowie einen Kupferanteil von zwischen etwa 0,1 Prozent und etwa 0,5 Prozent haben. In 1 sind die Durchkontaktierungen so gezeigt, dass sie die Metallleitungen 20 in der Mtop-Schicht mit den darüber liegenden Metall-Anschlussstellen 30 verbinden. In alternativen Ausführungsformen könnend die Metall-Anschlussstellen 30 in physischem Kontakt mit den Metallleitungen (oder -Anschlussstellen) 20 in der oberen Metallschicht Mtop ohne Durchkontaktierungen dazwischen stehen.
  • Wie auch in 1 gezeigt ist, ist eine Passivierungsschicht 32 über der Passivierungsschicht 28 ausgebildet. Das Material der Passivierungsschicht 32 kann aus den gleichen Kandidatenmaterialien ausgewählt sein wie denen der Passivierungsschicht 28. Die Passivierungsschichten 28 und 32 können aus demselben dielektrischen Material ausgebildet sein oder können aus verschiedenen dielektrischen Materialien ausgebildet sein. In manchen Ausführungsformen umfasst die Passivierungsschicht 32 eine Siliziumoxid-Schicht und eine Siliziumnitrid-Schicht über der Siliziumoxid-Schicht. Die Passivierungsschicht 32 wird dann strukturiert, so dass Abschnitte der Passivierungsschicht 32 die Randabschnitte der Aluminium-Anschlussstellen 30 bedecken, und mittlere Abschnitte der Aluminium-Anschlussstellen 30 sind durch die Öffnungen in der Passivierungsschicht 32 freigelegt. Die Passivierungsschicht 32 kann auch einen Abschnitt umfassen, der in manchen Ausführungsformen plan mit den Metall-Anschlussstellen 30 ist.
  • Metallsäulen 40 sind über den Metall-Anschlussstellen 30 ausgebildet. Das Ausbilden der Metallsäulen 40 kann das Ausführen einer physikalischen Gasphasenabscheidung (PVD), um eine Keimschicht abzuscheiden, das Ausbilden und Strukturieren einer Maskenschicht (nicht gezeigt), wobei zumindest einige der Metall-Anschlussstellen 30 durch die Maskenschicht nicht maskiert werden, und das anschließende Ausführen eines Plattierschritts, um die Metallsäulen 40 auszubilden, umfassen. Die Maskenschicht und die Abschnitte der Keimschicht, die durch die Maskenschicht bedeckt sind, wenden dann geätzt. Die Metallsäulen 40 können Kupfer oder andere Metalle oder Metalllegierungen einschließlich Kupfer, Aluminium, Wolfram, Nickel, Kobalt und/oder Ähnliches umfassen.
  • Eine dielektrische Schicht 36 ist als oberste Einrichtung des Wafers 100 ausgebildet. Die dielektrische Schicht 36 kann eine Polymerschicht sein und wird nachfolgend als Polymerschicht 36 bezeichnet, obwohl sie auch aus einem Nicht-Polymer und möglicherweise anorganischen Materialien ausgebildet sein kann. Das Ausbildungsverfahren kann Rotationsbeschichtung gefolgt von einem Aushärteverfahren umfassen. Als Ergebnis des Aushärteverfahrens wird die Polymerschicht 36 ausgehärtet. In einigen Ausführungsformen ist die Polymerschicht 36 aus Polybenzoxazol (PBO) ausgebildet. In alternativen Ausführungsformen ist die Polymerschicht 36 aus anderen Polymeren ausgebildet, etwa Benzocyclobuten (BCB), Polyimid oder Ähnlichem. Das Material der Polymerschicht 36 kann lichtempfindlich sein, obwohl nicht lichtempfindliche Materialien auch verwendet werden können.
  • Die Metallsäulen 40 umfassen 40A und 40B. Die Metallsäulen 40A werden für die elektrische Verbindung zwischen den Einrichtungen in den Vorrichtungs-Dies 100' und den Einrichtungen verwendet, die mit den Vorrichtungs-Dies 100' gebondet werden sollen. Die Metallsäulen 40B sind Metallringe, die in der Nähe der Ränder der entsprechenden Vorrichtungs-Dies 100' ausgebildet sind. 17 zeigt eine Draufsicht der Struktur in 1, wobei die Metallsäulen 40B so gezeigt sind, dass sie vier Seiten aufweisen, die jeweils benachbart zu den entsprechenden Rändern des zugehörigen Dies 100' sind. Die Metallsäulen 40A sind durch den entsprechenden Metallring 40B umgeben. In einigen Ausführungsformen erstreckt sich, wie in 1 gezeigt ist, die Polymerschicht 36 zu der Oberseite der Metallsäulen 40.
  • Bezieht man sich wieder auf 1, so überlappen, in Übereinstimmung mit manchen Ausführungsformen, die Metallringe 40B den entsprechenden Abdichtring 42. Der Abdichtring 42 umfasst mehrere Metallringe in dielektrischen Schichten 18, wobei die Metallringe mehrere Metallleitungen 20, die Ringe bilden, und mehrere Durchkontaktierungen 22, die Ringe bilden, umfassen. Die Ringe der Metallleitungen 20 und die Ringe der Durchkontaktierungen 22 sind verbunden, um einen integrierten Ring auszubilden, der sich durch alle dielektrischen Schichten 18 erstreckt. In manchen Ausführungsformen umfasst der Abdichtring 42 auch einen Ring, der aus einem Kontaktstöpsel 44 ausgebildet ist, der sich zu der oberen Fläche des Halbleitersubstrats 10 erstreckt. Zusätzlich kann die Metall-Anschlussstelle 30B auch einen Ring bilden, wobei der Metallring, der aus der Metall-Anschlussstelle 30B gebildet ist, mit den Ringen in den dielektrischen Schichten 18 verbunden ist, um einen integrierten und durchgängigen Metallring zu bilden, der sich durchgängig von der Polymerschicht 36 zu dem ILD 14 oder möglicherweise zu dem Halbleitersubstrat 10 erstreckt.
  • Der Abdichtring 42 kann auch vier Seiten umfassen, die jeweils angrenzend an einen Rand des entsprechenden Dies 100' liegen, wie in 17 gezeigt ist. Des Weiteren können die vier Seiten des Metallrings 40B die entsprechenden Seiten des Abdichtrings 42 überlappen.
  • Wie auch in 1 gezeigt ist, wird ein Die-Sägeschritt (durch das Sägeblatt 43 angezeigt) ausgeführt, um den Wafer 100 in mehrere Vorrichtungs-Dies 100' zu sägen, die jeweils Metallsäulen 40A, einen Metallring 40B und einen Abdichtring 42 umfassen. Die-Anschluss-Filme (engl. „Die-Attach Films“, DAF) 8 sind an der unteren Fläche des Wafers 100 befestigt und können somit auch an der Unterseite jedes der Dies 100' befestigt sein.
  • 2 bis 16 zeigen die Schnittansichten von Zwischenstufen in der Kapselung der Dies 100' in einem Gehäuse in Übereinstimmung mit einigen beispielhaften Ausführungsformen. Mit Bezug auf 2 ist ein Träger 48 vorgesehen, und eine Haftschicht 50 wird auf dem Träger 48 angeordnet. Der Träger 48 kann ein leerer Glasträger, ein leerer Keramikträger oder Ähnliches sein. Die Haftschicht 50 kann aus einem Klebstoff wie ultraviolettem (UV) Kleber, Licht-in-Wärme-Umwandlungs-(Light-to-Heat Conversion, LTHC)-Kleber oder Ähnlichem ausgebildet sein, obwohl andere Arten von Klebstoffen verwendet werden können. In manchen Ausführungsformen hat die Haftschicht 50 die Eigenschaft, sich unter dem Wärmeeinfluss von Licht zu zersetzen und daher den Träger 48 von den Strukturen zu lösen, die darauf ausgebildet sind.
  • In manchen Ausführungsformen wird eine Pufferschicht 52 über der Haftschicht 50 ausgebildet. Alternativ wird keine Pufferschicht 52 über der Haftschicht 50 ausgebildet. In Übereinstimmung mit manchen Ausführungsformen der vorliegenden Offenbarung ist die Pufferschicht 52 eine dielektrische Schicht, die eine Polymerschicht sein kann. Das Polymer kann beispielsweise aus Polyimid, PBO, BCB, Lötresist-Film (engl. „Solder Resist Film“, SR) oder Ähnlichem bestehen. Die Pufferschicht 52 ist eine planare Schicht mit einer gleichmäßigen Dicke, die größer als etwa 2µm sein kann und zwischen etwa 2µm und etwa 40µm liegen kann. Die obere Fläche und die untere Fläche der Pufferschicht 52 sind auch planar. In alternativen Ausführungsformen wird die Pufferschicht 52 nicht ausgebildet.
  • Eine Keimschicht 53 wird über der Pufferschicht 52 beispielsweise durch physikalische Gasphasenabscheidung (PVD) oder Metallfolie-Laminierung ausgebildet. Die Keimschicht 53 kann Kupfer, Aluminium, Titan oder Mehrschicht-Strukturen davon umfassen. In einigen Ausführungsformen umfasst die Keimschicht 53 eine Titanschicht (nicht gezeigt) und eine Kupferschicht (nicht gezeigt) über der Titanschicht. In alternativen Ausführungsformen umfasst die Keimschicht 53 eine einzelne Kupferschicht.
  • In Übereinstimmung mit einigen Ausführungsformen wird ein Photoresist 54 über der Keimschicht 53 aufgebracht und dann strukturiert. Im Ergebnis werden Öffnungen 56 in dem Photoresist 54 ausgebildet, durch die einige Abschnitte der Keimschicht 53 freigelegt sind.
  • Wie in 3 gezeigt ist, werden Durchkontaktierungen 58 in den Öffnungen 56 durch Plattierung ausgebildet, die Elektroplattierung oder stromlose Plattierung sein kann. Die Durchkontaktierungen 58 werden auf den freiliegenden Abschnitten der Keimschicht 53 plattiert. Die Durchkontaktierungen 58 können Kupfer, Aluminium, Wolfram, Nickel oder Legierungen davon umfassen. Die Formen in der Draufsicht der Durchkontaktierungen 58 umfassen, sind aber nicht beschränkt auf, Rechtecke, Quadrate, Kreise und Ähnliches. Die Höhen der Durchkontaktierungen 58 werden durch die Dicke des nachfolgend angeordneten Dies 100' ( 5) bestimmt, wobei die Höhen der Durchkontaktierungen 58 größer oder gleich der Dicke des Dies 100' in verschiedenen Ausführungsformen sind.
  • Nach dem Plattieren der Durchkontaktierungen 58 wird das Photoresist 54 entfernt, und die sich ergebende Struktur ist in 4 gezeigt. Zusätzlich werden die Abschnitte der Keimschicht 53 (2), die durch das Photoresist bedeckt sind, freigelegt. Ein Ätzschritt wird dann ausgeführt, um die freiliegenden Abschnitte der Keimschicht 53 zu entfernen, wobei das Ätzen anisotropes oder isotropes Ätzen sein kann. Die Abschnitte der Keimschicht 53, die durch die Durchkontaktierungen 58 überlappt sind, verbleiben andererseits ungeätzt. In der Beschreibung werden die verbleibenden darunter liegenden Abschnitte der Keimschicht 53 als die unteren Abschnitte der Durchkontaktierungen 58 bezeichnet. Obwohl die Keimschicht 53 so gezeigt ist, dass sie unterscheidbare Grenzflächen mit den darüber liegenden Abschnitten der Durchkontaktierungen 58 aufweist, kann, wenn die Keimschicht 53 aus einem Material ausgebildet ist, das dem der darüber liegenden entsprechenden Durchkontaktierungen 58 ähnelt oder gleicht, die Keimschicht 53 mit den Durchkontaktierungen 58 ohne eine unterscheidbare Grenzfläche dazwischen vereinigt werden. Daher sind die Keimschichten 53 in nachfolgenden Zeichnungen nicht gezeigt. In alternativen Ausführungsformen gibt es unterscheidbare Grenzflächen zwischen der Keimschicht 53 und den darüber liegenden plattierten Abschnitten der Durchkontaktierungen 58.
  • 5 zeigt das Anordnen des Vorrichtungs-Dies 100' über der Pufferschicht 52. Der Vorrichtungs-Die 100' kann an der Pufferschicht 52 über den DAF 8 befestigt sein. Obwohl 5 das Anordnen eines einzelnen Vorrichtungs-Dies 100' zeigt, können mehrere Vorrichtungs-Dies 100' über der Pufferschicht 52 angeordnet werden, wobei die mehreren angeordneten Vorrichtungs-Dies 100' als mehrere Reihen und Spalten angeordnet sein können.
  • Mit Bezug auf 6 wird eine Formmasse 60 auf den Vorrichtungs-Die 100' und die Durchkontaktierungen 58 gegossen. Die Formmasse 60 füllt die Lücken zwischen dem Vorrichtungs-Die 100' und den Durchkontaktierungen 58 und kann in Kontakt mit der Pufferschicht 52 sein. Die Formmasse 60 kann eine Gussverbindung, eine Gussunterfüllung, ein Epoxid oder ein Harz umfassen. Nach dem Gussverfahren liegt die obere Fläche der Formmasse 60 höher als die oberen Enden der Metallsäulen 40 und der Durchkontaktierungen 58. Die Formmasse 60 wird als Flüssigkeit verteilt und dann ausgehärtet.
  • Als nächstes wird ein Planarisierschritt wie ein chemisch-mechanischer Polier-(CMP)-Schritt oder ein Schleifschritt ausgeführt, um die Formmasse 60 zu verdünnen, bis die Durchkontaktierungen 58 freigelegt werden. In manchen Ausführungsformen werden, wie in 7 gezeigt ist, die Abschnitte der Polymerschicht 36 an den Spitzen der Metallsäulen 40 durch die Planarisierung entfernt. Die Metallsäulen 40 werden somit infolge des Schleifens freigelegt. Aufgrund des Schleifens sind die oberen Flächen 58A' der Durchkontaktierungen 58 im Wesentlichen plan (koplanar) mit den oberen Flächen 40' der Metallsäulen 40 und sind im Wesentlichen plan (koplanar) mit einer oberen Fläche 60A der Formmasse 60.
  • Mit Bezug auf 8 wird eine dielektrische Schicht 62 über der Formmasse 60, den Durchkontaktierungen 58 und den Metallsäulen 40 ausgebildet und kontaktiert sie. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung wird die dielektrische Schicht 62 aus einem Polymer wie PBO, Polyimid oder Ähnlichem ausgebildet. In alternativen Ausführungsformen wird die dielektrische Schicht 62 aus einem anorganischen Dielektrikum wie Siliziumnitrid, Siliziumoxid, Siliziumoxinitrid oder Ähnlichem ausgebildet.
  • Als nächstes werden, mit Bezug auf 9, Umverteilungsleitungen („Redistribution Lines“, RDLs) 64 ausgebildet, um mit den Metallsäulen 40 und den Durchkontaktierungen 58 verbunden zu werden. Die RDLs 64 können auch die Metallsäulen 40 und die Durchkontaktierungen 58 unter einander verbinden. Obwohl nicht gezeigt, können die RDLs 64 Metallpfade (Metallleitungen) und Durchkontaktierungen umfassen, die unter den RDLs liegen und mit ihnen verbunden sind. In diesen Ausführungsformen werden die Durchkontaktierungen in der dielektrischen Schicht 62 ausgebildet, und die Metallpfade werden über der dielektrischen Schicht 62 ausgebildet. In manchen Ausführungsformen werden die RDLs 64 in einem Plattierverfahren ausgebildet, wobei jede der RDLs 64 eine Keimschicht (nicht gezeigt) und ein plattiertes metallisches Material über der Keimschicht umfasst. Die Keimschicht und das plattierte metallische Material können aus dem gleichen Material oder unterschiedlichen Materialien ausgebildet sein.
  • Wie in 9 gezeigt ist, sind die Metallsäulen 40A und die Durchkontaktierungen 58 mit den RDLs 64 elektrisch verbunden und können sie körperlich berühren. Andererseits müssen die Metallringe 40B nicht mit irgendeiner der RDLs 64 verbunden sein. Somit sind in Übereinstimmung mit den Ausführungsformen der vorliegenden Offenbarung die gesamten oberen Flächen der Metallringe 40B in Kontakt mit der unteren Fläche der dielektrischen Schicht 62 und sind nicht in Kontakt mit irgendwelchen Metalleinrichtungen.
  • Mit Bezug auf 10 werden, in Übereinstimmung mit verschiedenen Ausführungsformen, eine oder mehrere dielektrische Schichten 66 über der Struktur ausgebildet, die in 9 gezeigt ist, wobei RDLs 68 in den dielektrischen Schichten 66 ausgebildet sind. In einigen Ausführungsformen umfasst das Ausbilden jeder der Schichten der RDLs 68 das Ausbilden einer leeren Keimschicht, das Ausbilden und Strukturieren einer Maskenschicht über der leeren Keimschicht, das Ausführen einer Plattierung, um RDLs 68 auszubilden, das Entfernen der Maskenschicht und das Ausführen eines Ätzschritts, um die Abschnitte der leeren Keimschicht zu entfernen, die nicht durch die RDLs 68 bedeckt sind. Die RDLs 68 können ein Metall oder eine Metalllegierungen einschließlich Aluminium, Kupfer, Wolfram und/oder Legierungen davon umfassen.
  • 10 zeigt eine RDL-Schicht 68. In alternativen Ausführungsformen kann es mehr als eine Schicht von RDLs 68 geben, abhängig von den Routing-Anforderungen des entsprechenden Gehäuses. Dielektrische Schichten 66 in diesen Ausführungsformen können Polymere wie PBO, Polyimid, BCB oder Ähnliches umfassen. Alternativ können die dielektrischen Schichten 66 anorganische Dielektrika umfassen, etwa Siliziumoxid, Siliziumnitrid, Siliziumkarbid, Siliziumoxinitrid oder Ähnliches.
  • 11 zeigt das Ausbilden einer dielektrischen Schicht 69, UBMs 70 und elektrischen Verbindungsstücken 72, in Übereinstimmung mit einigen beispielhaften Ausführungsformen. Die dielektrische Schicht 69 kann aus einem Material ausgebildet werden, das aus den Kandidatenmaterialien ausgewählt ist, die verwendet werden, um die dielektrischen Schichten 62 und 66 auszubilden. Das Ausbilden der elektrischen Verbindungsstücke 72 kann das Anordnen von Lötkugeln auf den freiliegenden Abschnitten der UBMs 70 und dann das Aufschmelzen der Lötkugeln umfassen. In alternativen Ausführungsformen umfasst das Ausbilden der elektrischen Verbindungsstücke 72 das Ausführen eines Plattierschritts, um Lötbereiche über den RDLs 68 auszubilden, und dann das Aufschmelzen der Lötbereiche. Elektrische Verbindungsstücke 72 können auch Metallsäulen oder Metallsäulen und Lötkappen umfassen, die auch durch Plattieren ausgebildet werden können. In der Beschreibung wird die kombinierte Struktur einschließlich des Vorrichtungs-Dies 100', der Durchkontaktierungen 58, der Formmasse 60, der darüber liegenden RDLs 64 und 68 und der dielektrischen Schichten 62 und 66 als Gehäuse 74 bezeichnet, die ein Verbundwafer sein kann, der mehrere Vorrichtungs-Dies 100' umfasst.
  • Als nächstes werden die Bondstellen des Gehäuses 74 von dem Träger 48 gelöst, beispielsweise indem UV-Licht oder ein Laser auf die Haftschicht 50 gelenkt wird. Die Rest-Haftschicht 50 und die Pufferschicht 52 (wenn vorhanden) werden auch von dem Gehäuse 74 entfernt. Die sich ergebende Struktur ist in 12 gezeigt. Das Gehäuse 74 wird weiter an einem Träger 78 durch eine Haftschicht 80 befestigt, wobei die elektrischen Verbindungsstücke 72 dem Kontaktklebstoff 80 zugewandt sein können. Dielektrische Schichten 82 und RDLs 84 werden dann ausgebildet. In Übereinstimmung mit einigen Ausführungsformen der vorliegenden Offenbarung repräsentieren die gezeigten RDLs 84 eine einzige RDL-Schicht. In alternativen Ausführungsformen repräsentieren die gezeigten RDLs 84 mehr als eine RDL-Schicht, wobei Durchkontaktierungen ausgebildet sind, um die unterschiedlichen Metallpfade in unterschiedlichen RDL-Schichten untereinander zu verbinden. Die dielektrischen Schichten 82 können auch aus einem Polymer wie PBO, BCB, Polyimid oder einem anorganischen Material wie Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder Ähnlichem ausgebildet werden.
  • Wie auch in 12 gezeigt ist, wird eine dielektrische Schicht 86 über den RDLs 84 und der dielektrischen Schicht 82 ausgebildet. Die dielektrische Schicht 86 kann aus PBO oder anderen organischen oder anorganischen Materialien ausgebildet sein. In manchen Ausführungsformen wird, wie in 13 gezeigt ist, ein Klebeband 88 über der dielektrischen Schicht 86 ausgebildet/laminiert. Öffnungen 90 werden dann in der dielektrischen Schicht 86 und dem Klebeband 88 ausgebildet, und dadurch werden die Metall-Anschlussstellen in den oberen RDLs 84 freigelegt.
  • In nachfolgenden Schritten wird das Gehäuse 74 mit einer Gehäuse-Komponente 200 gebondet, und die sich ergebende Struktur ist in 14 gezeigt. In Übereinstimmung mit einigen Ausführungsformen ist die Gehäuse-Komponente 200 ein Gehäuse, das (einen) Speicher-Die(s) (etwa statische RAM-(SRAM)-Dies oder dynamische RAM-(DRAM)-Dies) 204 darin umfasst. Des Weiteren kann die Gehäuse-Komponente 200 ein Gehäuse-Substrat 202 umfassen, auf dem ein Die 204 gebondet ist.
  • 14 zeigt, dass ein Die 100' in der Formmasse 60 gegossen ist. In dem Herstellungsverfahren können mehrere Dies 100' zur gleichen Zeit durch die Formmasse 60 gegossen werden. In der Beschreibung umfasst das Gehäuse 74 mehrere Gehäuse 74', die jeweils einen der Vorrichtungs-Dies 100' und die umgebenden Durchkontaktierungen 58 umfassen. Somit kann jedes der Gehäuse 74' mit einem von mehreren Gehäuse-Komponenten gebondet werden, die mit der Gehäuse-Komponente 200 identisch sind. Nach dem Bonden wird ein Sägeschritt ausgeführt, um das Gehäuse 74 in mehrere Gehäuse zu sägen, die jeweils eines der Gehäuse 74' und die zugehörige Gehäuse-Komponente 200 umfassen.
  • In den Ausführungsformen in 14 sind die unteren Flächen der Metallringe 40B in Kontakt mit den oberen Flächen der Metall-Anschlussstelle 30B, die auch einen Ring bildet. In diesen Ausführungsformen können der Metallring 40B und der zugehörige Abdichtring 42 elektrisch erdfrei oder elektrisch geerdet sein.
  • In Übereinstimmung mit alternativen Ausführungsformen sind, wie in 15 gezeigt ist, die unteren Flächen des Metallrings 40B in Kontakt mit der oberen Fläche der Passivierungsschicht 32 und haben einen Abstand von der oberen Fläche der Metall-Anschlussstelle 30B durch die Passivierungsschicht 32. Somit ist der Metallring 40B vollständig in den Dielektrika isoliert, wobei die dielektrischen Schichten 62, 32 und 36 den Metallring 40B vollständig umschließen. Darüber hinaus ist in diesen Ausführungsformen der Metallring 40B elektrisch erdfrei.
  • 14 und 15 zeigen, dass es zwei Abdichtringe gibt (als 42A und 42B bezeichnet) und zwei Metallringe 40B1 und 40B2, wobei der Abdichtring 42A den Abdichtring 42B umgibt. Der Metallring 40B1 umgibt weiter den Metallring 40B2. In Übereinstimmung mit alternativen Ausführungsformen gibt es, wie in 16 gezeigt ist, einen einzigen Abdichtring 42 und einen einzigen Metallring 40B.
  • 17 zeigt die Draufsicht des Wafers 100 und der Vorrichtungs-Dies 100' darin. In Übereinstimmung mit einigen Ausführungsformen liegt die Breite A des Metallrings 40B zwischen etwa 15µm und etwa 70µm. Die Breite A des Metallrings 40B kann größer, gleich groß oder kleiner als die Breite E der Metall-Anschlussstelle 30 sein. Die Breite oder der Durchmesser C der Kupfersäulen 40A kann zwischen etwa 50µm und etwa 100µm liegen. Man beachte jedoch, dass die Werte, die in der Beschreibung angegeben sind, nur Beispiele sind und auf andere Werte geändert werden können. Der Abstand D zwischen den Metallringen 40B1 und 40B2 kann größer als etwa 20µm sein. 17 zeigt, dass die Abdichtringe 42 in einigen Ausführungsformen breiter als die entsprechenden darüber liegenden Metallringe 40B sind, wobei der Einschluss durch B bezeichnet wird, der größer als 2µm sein kann. In alternativen Ausführungsformen können die Abdichtringe 42 schmaler als die entsprechenden darüber liegenden Metallringe 40B sein.
  • Die Ausführungsformen der vorliegenden Offenbarung haben einige vorteilhafte Eigenschaften. Wenn der Metallring nicht in der oberen Polymerschicht in dem Schritt des Sägens des Wafer in mehrere Vorrichtungs-Dies ausgebildet wird, wie es in 1 gezeigt ist, kann die mechanische Kraft, die durch das Sägeblatt ausgeübt wird, Delaminierung zwischen der oberen Polymerschicht und der darunterliegenden dielektrischen Schicht, etwa der Passivierungsschicht, bewirken. Indem der Metallring ausgebildet wird, wird die mechanische Stärke des Wafers verbessert, und die Wahrscheinlichkeit, dass Delaminierung auftritt, wird verringert. Des Weiteren verbessert das Ausbilden des Metallrings den Widerstand der Dies gegenüber Eintritt von Feuchtigkeit.
  • In Übereinstimmung mit manchen Ausführungsformen der vorliegenden Offenbarung umfasst ein Die eine Metall-Anschlussstelle, eine Passivierungsschicht über der Metall-Anschlussstelle und eine Polymerschicht über der Passivierungsschicht. Eine Metallsäule liegt über der Metall-Anschlussstelle und ist mit ihr elektrisch verbunden. Ein Metallring ist koplanar mit der Metallsäule, wobei der Metallring mehrere Seiten aufweist, die angrenzend an Ränder des Dies liegen. Die Polymerschicht umfasst einen Abschnitt, der koplanar mit der Metallsäule und dem Metallring ist.
  • In Übereinstimmung mit alternativen Ausführungsformen der vorliegenden Offenbarung umfasst eine Struktur einen Die. Der Die umfasst eine erste Metall-Anschlussstelle und eine zweite Metall-Anschlussstelle, die koplanar mit der ersten Metall-Anschlussstelle ist, wobei die zweite Metall-Anschlussstelle einen Ring bildet, der die erste Metall-Anschlussstelle umgibt. Der Die umfasst weiter eine Passivierungsschicht über der ersten Metall-Anschlussstelle und der zweiten Metall-Anschlussstelle, wobei die Passivierungsschicht eine Öffnung aufweist, die an einem mittleren Abschnitt der ersten Metall-Anschlussstelle ausgerichtet ist. Eine Polymerschicht liegt über der Passivierungsschicht. Eine Metallsäule liegt über der ersten Metall-Anschlussstelle und ist mit ihr elektrisch verbunden. Ein Metallring ist koplanar mit der Metallsäule, wobei der Metallring die Metallsäule umgibt. Der Metallring überlappt die zweite Metall-Anschlussstelle. Ein Abdichtring liegt unter dem Metallring und wird durch ihn überlappt. Eine Formmasse umgibt den Die, wobei eine obere Fläche der Formmasse koplanar mit einer ersten oberen Fläche der Metallsäule und einer zweiten oberen Fläche des Metallrings ist. Eine dielektrische Schicht liegt über der Formmasse und ist mit ihr in Kontakt. Umverteilungsleitungen sind in der dielektrischen Schicht ausgebildet und mit der Metallsäule elektrisch verbunden, wobei der gesamte Metallring durch die dielektrische Schicht bedeckt ist.
  • In Übereinstimmung mit weiteren alternativen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Ausbilden eines Dies, der eine Metallsäule umfasst, einen Metallring, der koplanar mit der Metallsäule ist, wobei der Metallring vier Seiten angrenzend an Ränder des Dies hat, und eine Polymerschicht, die einen Abschnitt aufweist, der koplanar mit der Metallsäule und dem Metallring ist. Die Metallsäule und der Metallring werden durch die Polymerschicht umschlossen. Das Verfahren umfasst weiter das Gießen des Dies in einer Formmasse und das Schleifen der Formmasse, um eine erste obere Fläche der Metallsäule und eine zweite obere Fläche des Metallrings freizulegen.

Claims (16)

  1. Struktur, die Folgendes umfasst: einen Die (100'), der Folgendes umfasst: eine erste Metall-Anschlussstelle (30/30A); eine Passivierungsschicht (32) über der ersten Metall-Anschlussstelle (30/30A); eine Polymerschicht (36) über der Passivierungsschicht (32); eine Metallsäule (40/40A) über der ersten Metall-Anschlussstelle (30/30A) und elektrisch mit ihr verbunden; und einen Metallring (40/40B), der koplanar mit der Metallsäule (40/40A) ist, wobei die Polymerschicht (36) einen ersten Abschnitt umfasst, der koplanar mit der Metallsäule (40/40A) und dem Metallring (40/40B) ist; eine Formmasse (60) zum Ausformen des Dies (100'); mehrere Durchkontaktierungen (58), die die Formmasse (60) durchbrechen; eine dielektrische Schicht (62) mit einer Oberfläche, die die Formmasse (60) kontaktiert; und Umverteilungsleitungen (64) in der dielektrischen Schicht (62) und elektrisch verbunden mit der Metallsäule (40/40A) und den mehreren Durchkontaktierungen (58), wobei der Metallring (40/40B) eine Oberfläche umfasst, die koplanar mit der Oberfläche der dielektrischen Schicht (62) ist, und wobei die gesamte Oberfläche des Metallrings (40/40B) in Kontakt mit der dielektrischen Schicht (62) ist.
  2. Struktur nach Anspruch 1, die weiter eine zweite Metall-Anschlussstelle (30/30B) umfasst, die koplanar mit der ersten Metall-Anschlussstelle (30/30A) ist, wobei die zweite Metall-Anschlussstelle (30/30B) einen zusätzlichen Metallring bildet, der Rändern des Dies (100') benachbart ist.
  3. Struktur nach Anspruch 2, wobei der Metallring (40/40B) sich in die Passivierungsschicht (32) erstreckt, wobei eine untere Fläche des Metallrings (40/40B) in Kontakt mit einer oberen Fläche der zweiten Metall-Anschlussstelle (30/30B) ist.
  4. Struktur nach Anspruch 2, wobei der Metallring (40/40B) eine untere Fläche in Kontakt mit einer oberen Fläche der Passivierungsschicht (32) umfasst, wobei der Metallring (40/40B) von der zweiten Metall-Anschlussstelle (30/30B) vollständig getrennt ist.
  5. Struktur nach einem der vorangegangenen Ansprüche, wobei der Metallring (40/40B) elektrisch erdfrei ist.
  6. Struktur nach einem der vorangegangenen Ansprüche, die weiter einen Abdichtring (42) umfasst, der durch den Metallring (40/40B) überlappt wird, wobei sich der Abdichtring (42) in mehrere dielektrische Schichten zwischen Metallen (engl. „Inter-Metal Dielectric“, IMD) erstreckt.
  7. Struktur, die Folgendes umfasst: einen Die (100'), der Folgendes umfasst: eine erste Metall-Anschlussstelle (30/30A); eine zweite Metall-Anschlussstelle (30/30B), die koplanar mit der ersten Metall-Anschlussstelle (30/30A) ist, wobei die zweite Metall-Anschlussstelle (30/30B) einen Ring bildet, der die erste Metall-Anschlussstelle (30/30A) umschließt; eine Passivierungsschicht (32) über der ersten Metall-Anschlussstelle (30/30A) und der zweiten Metall-Anschlussstelle (30/30B), wobei die Passivierungsschicht (32) eine Öffnung umfasst, die an einem mittleren Abschnitt der ersten Metall-Anschlussstelle (30/30A) ausgerichtet ist; eine Polymerschicht (36) über der Passivierungsschicht (32); eine Metallsäule (40/40A) über der ersten Metall-Anschlussstelle (30/30A) und elektrisch mit ihr verbunden; einen Metallring (40/40B), der koplanar mit der Metallsäule (40/40A) ist, wobei der Metallring (40/40B) die Metallsäule (40/40A) umgibt und die zweite Metall-Anschlussstelle (30/30B) überlappt; und einen Abdichtring (42), der unter dem Metallring (40/40B) liegt und von ihm überlappt wird; eine Formmasse (60), die den Die (100') umgibt, wobei eine obere Fläche der Formmasse (60) koplanar mit einer ersten oberen Fläche der Metallsäule (40/40A) und einer zweiten oberen Fläche des Metallrings (40/40B) ist; eine dielektrische Schicht (62) über der Formmasse (60) und in Kontakt mit ihr; und Umverteilungsleitungen (64) in der dielektrischen Schicht (62) und elektrisch verbunden mit der Metallsäule (40/40A), wobei der gesamte Metallring (40/40B) durch die dielektrische Schicht (62) bedeckt ist.
  8. Struktur nach Anspruch 7, wobei keine leitende Einrichtung in der dielektrischen Schicht (62) in Kontakt mit dem Metallring (40/40B) ist.
  9. Struktur nach Anspruch 7, wobei der Metallring (40/40B) in Kontakt mit der zweiten Metall-Anschlussstelle (30/30B) ist.
  10. Struktur nach Anspruch 9, wobei der Metallring (40/40B) einen Abschnitt in der Passivierungsschicht (32) umfasst, wobei eine untere Fläche des Abschnitts des Metallrings (40/40B) in Kontakt mit der zweiten Metall-Anschlussstelle (30/30B) ist.
  11. Struktur nach Anspruch 7, wobei der Metallring (40/40B) eine untere Fläche in Kontakt mit einer oberen Fläche der Passivierungsschicht (32) umfasst, wobei der Metallring (40/40B) von der zweiten Metall-Anschlussstelle (30/30B) durch die Passivierungsschicht (32) vollständig getrennt ist.
  12. Struktur nach Anspruch 7, wobei der Metallring (40/40B) in den Dielektrika (36, 62) vollständig isoliert ist, wobei alle Oberflächen des Metallrings (40/40B) in Kontakt mit den Dielektrika (36, 62) stehen.
  13. Verfahren, das Folgendes umfasst: Ausbilden eines Dies (100'), der Folgendes umfasst: eine Metallsäule (40/40A); einen Metallring (40/40B), der koplanar mit der Metallsäule (40/40A) ist; und eine Polymerschicht (36), die einen ersten Abschnitt umfasst, der koplanar mit der Metallsäule (40/40A) und dem Metallring (40/40B) ist, wobei die Metallsäule (40/40A) und der Metallring (40/40B) durch die Polymerschicht (36) umgeben sind; Ausformen des Dies (100') in einer Formmasse (60); Schleifen der Formmasse (60), um eine erste obere Fläche der Metallsäule (40/40A) und eine zweite obere Fläche des Metallrings (40/40B) freizulegen; Ausbilden einer dielektrischen Schicht (62) über der Metallsäule (40/40A), dem Metallring (40/40B) und der Formmasse (60) und in Kontakt mit ihnen; Ausbilden von Umverteilungsleitungen (64) in der dielektrischen Schicht (62), wobei eine der Umverteilungsleitungen (64) mit der Metallsäule (40/40A) verbunden ist und, nachdem die Umverteilungsleitungen (64) ausgebildet wurden, die gesamte zweite obere Fläche des Metallrings (40/40B) in Kontakt mit einer unteren Fläche der dielektrischen Schicht (62) ist; und Ausbilden von Durchkontaktierungen (58) in der Formmasse (60), wobei nach dem Schleifen der Formmasse (60) die Durchkontaktierungen (58) freigelegt sind.
  14. Verfahren nach Anspruch 13, wobei, nachdem die Umverteilungsleitungen (64) ausgebildet wurden, keine Metalleinrichtung in der dielektrischen Schicht (62) in Kontakt mit dem Metallring (40/40B) ist.
  15. Verfahren nach Anspruch 13 oder 14, wobei der Metallring (40/40B) so ausgebildet wird, dass er einen Abdichtring (42) in dem Die (100') überlappt.
  16. Verfahren nach Anspruch 15, wobei eine untere Fläche des Metallrings (40/40B) in Kontakt mit dem Abdichtring (42) ist.
DE102014112860.5A 2014-05-30 2014-09-08 Ringstrukturen in Vorrichtungs-Die und Verfahren Active DE102014112860B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462005735P 2014-05-30 2014-05-30
US62/005,735 2014-05-30
US14/467,698 US9852998B2 (en) 2014-05-30 2014-08-25 Ring structures in device die
US14/467,698 2014-08-25

Publications (2)

Publication Number Publication Date
DE102014112860A1 DE102014112860A1 (de) 2015-12-03
DE102014112860B4 true DE102014112860B4 (de) 2018-10-25

Family

ID=54481198

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014112860.5A Active DE102014112860B4 (de) 2014-05-30 2014-09-08 Ringstrukturen in Vorrichtungs-Die und Verfahren

Country Status (5)

Country Link
US (2) US9852998B2 (de)
KR (1) KR101731684B1 (de)
CN (1) CN105321913B (de)
DE (1) DE102014112860B4 (de)
TW (1) TWI550787B (de)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6031059B2 (ja) * 2014-03-31 2016-11-24 信越化学工業株式会社 半導体装置、積層型半導体装置、封止後積層型半導体装置、及びこれらの製造方法
US10177032B2 (en) * 2014-06-18 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaging devices, and methods of packaging semiconductor devices
US9831214B2 (en) * 2014-06-18 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device packages, packaging methods, and packaged semiconductor devices
US9520385B1 (en) 2015-06-29 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for forming same
US9691723B2 (en) * 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
JP6862087B2 (ja) * 2015-12-11 2021-04-21 株式会社アムコー・テクノロジー・ジャパン 配線基板、配線基板を有する半導体パッケージ、およびその製造方法
TWI578483B (zh) * 2016-01-11 2017-04-11 美光科技公司 包含不同尺寸的封裝穿孔的封裝上封裝構件
US9837367B1 (en) * 2016-10-19 2017-12-05 International Business Machines Corporation Fabrication of solder balls with injection molded solder
US10297494B2 (en) * 2017-01-26 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Raised via for terminal connections on different planes
DE102017127920A1 (de) 2017-01-26 2018-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Erhöhte Durchkontaktierung für Anschlüsse auf unterschiedlichen Ebenen
JP6903375B2 (ja) * 2017-04-19 2021-07-14 株式会社ディスコ デバイスチップの製造方法
CN107393900B (zh) * 2017-08-08 2019-07-26 中国电子科技集团公司第五十八研究所 极多层布线的埋置型tsv转接板结构
US10420211B2 (en) * 2017-08-09 2019-09-17 Advanced Semiconductor Engineering, Inc. Semiconductor package device
US10510691B2 (en) * 2017-08-14 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10074618B1 (en) * 2017-08-14 2018-09-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR101973445B1 (ko) * 2017-11-07 2019-04-29 삼성전기주식회사 팬-아웃 센서 패키지 및 카메라 모듈
KR101912292B1 (ko) * 2017-12-15 2018-10-29 삼성전기 주식회사 팬-아웃 반도체 패키지 및 이를 포함하는 패키지 온 패키지
US10622302B2 (en) 2018-02-14 2020-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Via for semiconductor device connection and methods of forming the same
US10872862B2 (en) * 2018-03-29 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having bridge structure for connection between semiconductor dies and method of fabricating the same
US11640934B2 (en) * 2018-03-30 2023-05-02 Intel Corporation Lithographically defined vertical interconnect access (VIA) in dielectric pockets in a package substrate
DE102018126130B4 (de) 2018-06-08 2023-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und -verfahren
US11158775B2 (en) 2018-06-08 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11114407B2 (en) * 2018-06-15 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package and manufacturing method thereof
US10992100B2 (en) 2018-07-06 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11088037B2 (en) * 2018-08-29 2021-08-10 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device having probe pads and seal ring
US11075173B2 (en) * 2018-10-31 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
US20200161206A1 (en) * 2018-11-20 2020-05-21 Advanced Semiconductor Engineering, Inc. Semiconductor package structure and semiconductor manufacturing process
US11373962B2 (en) 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Advanced seal ring structure and method of making the same
KR20220027338A (ko) 2020-08-26 2022-03-08 삼성전자주식회사 반도체 장치
US11728229B2 (en) 2021-03-25 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy patterns in redundant region of double seal ring

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10320646A1 (de) 2003-05-07 2004-09-16 Infineon Technologies Ag Elektronisches Bauteil, sowie Systemträger und Nutzen zur Herstellung desselben
US20050098893A1 (en) 2003-11-10 2005-05-12 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US20100123219A1 (en) 2008-11-14 2010-05-20 Hsien-Wei Chen Heat Spreader Structures in Scribe Lines
US20100233831A1 (en) 2009-03-10 2010-09-16 Infineon Technologies Ag Reconfigured wafer alignment
US20130001776A1 (en) 2011-06-28 2013-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure for Wafer Level Package

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6770971B2 (en) * 2002-06-14 2004-08-03 Casio Computer Co., Ltd. Semiconductor device and method of fabricating the same
JP4502173B2 (ja) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US6879019B2 (en) * 2003-06-24 2005-04-12 Intel Corporation Guard ring of a combination wafer or singulated die
JP4055717B2 (ja) * 2004-01-27 2008-03-05 カシオ計算機株式会社 半導体装置およびその製造方法
JP2006173232A (ja) * 2004-12-14 2006-06-29 Casio Comput Co Ltd 半導体装置およびその製造方法
JP4395775B2 (ja) * 2005-10-05 2010-01-13 ソニー株式会社 半導体装置及びその製造方法
JP4851794B2 (ja) * 2006-01-10 2012-01-11 カシオ計算機株式会社 半導体装置
US8836146B2 (en) * 2006-03-02 2014-09-16 Qualcomm Incorporated Chip package and method for fabricating the same
JP5065695B2 (ja) 2007-02-01 2012-11-07 ルネサスエレクトロニクス株式会社 半導体装置
US8237160B2 (en) * 2007-05-10 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Probe pad on a corner stress relief region in a semiconductor chip
US7868445B2 (en) * 2007-06-25 2011-01-11 Epic Technologies, Inc. Integrated structures and methods of fabrication thereof with fan-out metallization on a chips-first chip layer
US8587124B2 (en) * 2007-09-21 2013-11-19 Teramikros, Inc. Semiconductor device having low dielectric insulating film and manufacturing method of the same
US7821107B2 (en) 2008-04-22 2010-10-26 Micron Technology, Inc. Die stacking with an annular via having a recessed socket
DE102008025202B4 (de) * 2008-05-27 2014-11-06 Epcos Ag Hermetisch geschlossenes Gehäuse für elektronische Bauelemente und Herstellungsverfahren
US8168529B2 (en) 2009-01-26 2012-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Forming seal ring in an integrated circuit die
CN102272903A (zh) 2009-01-30 2011-12-07 松下电器产业株式会社 半导体装置及其制造方法
US8193555B2 (en) 2009-02-11 2012-06-05 Megica Corporation Image and light sensor chip packages
CN105140136B (zh) 2009-03-30 2018-02-13 高通股份有限公司 使用顶部后钝化技术和底部结构技术的集成电路芯片
JP2011031036A (ja) * 2009-07-07 2011-02-17 Katsutoshi Kuki 自力服薬援助器具
JP5327654B2 (ja) * 2010-03-18 2013-10-30 マーベル ワールド トレード リミテッド インタポーザを備える装置および方法
US8343810B2 (en) * 2010-08-16 2013-01-01 Stats Chippac, Ltd. Semiconductor device and method of forming Fo-WLCSP having conductive layers and conductive vias separated by polymer layers
KR101411741B1 (ko) 2011-11-11 2014-06-27 앰코 테크놀로지 코리아 주식회사 반도체 디바이스
US9607921B2 (en) * 2012-01-12 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package on package interconnect structure
US9099485B2 (en) * 2012-03-13 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of guard rings for wafer-level-packaging
US8796829B2 (en) 2012-09-21 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal dissipation through seal rings in 3DIC structure
US9031518B2 (en) 2012-12-17 2015-05-12 Qualcomm Incorporated Concurrent hybrid matching network
US8884400B2 (en) * 2012-12-27 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor in Post-Passivation structures and methods of forming the same
US20140183744A1 (en) * 2012-12-28 2014-07-03 Texas Instruments Incorporated Package substrate with bondable traces having different lead finishes
US8970001B2 (en) * 2012-12-28 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Guard ring design for maintaining signal integrity
US9129944B2 (en) * 2013-01-18 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package structure and methods for forming the same
US9082870B2 (en) * 2013-03-13 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of packaging semiconductor devices
US8987918B2 (en) * 2013-03-14 2015-03-24 Intel Corporation Interconnect structures with polymer core
US9425121B2 (en) * 2013-09-11 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure with guiding trenches in buffer layer
US9728517B2 (en) * 2013-12-17 2017-08-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9583460B2 (en) * 2014-02-14 2017-02-28 Qualcomm Incorporated Integrated device comprising stacked dies on redistribution layers
US9666522B2 (en) * 2014-05-29 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment mark design for packages
US9922896B1 (en) * 2016-09-16 2018-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Info structure with copper pillar having reversed profile

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10320646A1 (de) 2003-05-07 2004-09-16 Infineon Technologies Ag Elektronisches Bauteil, sowie Systemträger und Nutzen zur Herstellung desselben
US20050098893A1 (en) 2003-11-10 2005-05-12 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US20100123219A1 (en) 2008-11-14 2010-05-20 Hsien-Wei Chen Heat Spreader Structures in Scribe Lines
US20100233831A1 (en) 2009-03-10 2010-09-16 Infineon Technologies Ag Reconfigured wafer alignment
US20130001776A1 (en) 2011-06-28 2013-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure for Wafer Level Package

Also Published As

Publication number Publication date
KR101731684B1 (ko) 2017-04-28
TWI550787B (zh) 2016-09-21
KR20150137967A (ko) 2015-12-09
CN105321913B (zh) 2018-10-26
US20180122751A1 (en) 2018-05-03
US10262952B2 (en) 2019-04-16
DE102014112860A1 (de) 2015-12-03
US20150348916A1 (en) 2015-12-03
US9852998B2 (en) 2017-12-26
TW201545286A (zh) 2015-12-01
CN105321913A (zh) 2016-02-10

Similar Documents

Publication Publication Date Title
DE102014112860B4 (de) Ringstrukturen in Vorrichtungs-Die und Verfahren
DE102016100378B4 (de) Verringerung einer rissbildung durch einstellen einer öffnungsgrösse in pop-packages
DE102019130567B4 (de) Package mit brücken-die zum verbinden und verfahren zu dessen herstellung
DE102016015805B3 (de) Multi-stack-package-on-package-strukturen
DE102015106723B4 (de) Package mit einem Bauelementchip und Verfahren zur Herstellung eines Packages
DE102014112433B4 (de) Ausrichtungsmarkierungs-Design für Chipgehäuse und Verfahren
DE102016100279B4 (de) Öffnung im pad zum bonden einer integrierten passiven vorrichtung in ein info-package
DE102019115275B4 (de) Halbleiter-Interconnect-Struktur und Verfahren
DE102015108684B4 (de) Beseitigen von durch sägen hervorgerufenes ablösen durch ausbilden von gräben
DE102013101192B4 (de) Halbleitergehäuse
DE102014110666B4 (de) Verfahren zum kapseln von halbleitervorrichtungen
DE102011056315B4 (de) Halbleiterbauelement und Verfahren zu dessen Herstellung
DE102018100045A1 (de) Zwischenverbindungs-chips
DE102019109690A1 (de) Halbleiterstrukturen und Verfahren zu deren Herstellung
DE102015105855A1 (de) Halbleitergehäuse und Verfahren zu ihrer Ausbildung
DE102016101287B4 (de) Halbleitervorrichtungsstruktur und verfahren zu deren bildung
DE102015113085A1 (de) Umverteilungsleitungen mit gestapelten Durchkontaktierungen
DE102014114630A1 (de) Metall-Platte für Lasermarkierung
DE102014113698A1 (de) Kontaktstelle für Halbleitervorrichtung
DE102019109592B4 (de) Die-stapel und deren ausbildungsverfahren
DE102012109484A1 (de) Gepackte Halbleitervorrichtung und Verfahren zum Packen der Halbleitervorrichtung
DE102018117689A1 (de) Unterstützen von Info-Packages zum Reduzieren von Durchbiegung
DE102019117763A1 (de) Seitenwandschutz für metallkontakthügel
DE102018110866A1 (de) Ausrichtungsmarke mit Gitterstrukturen und Verfahren zum Ausbilden von dieser
DE102016100523B4 (de) Multi-Stack-Package-on-Package-Strukturen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0023482000

Ipc: H01L0023500000

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final