CN1976059A - 鳍片型场效应晶体管结构以及用于制造这种结构的方法 - Google Patents

鳍片型场效应晶体管结构以及用于制造这种结构的方法 Download PDF

Info

Publication number
CN1976059A
CN1976059A CNA2006101395598A CN200610139559A CN1976059A CN 1976059 A CN1976059 A CN 1976059A CN A2006101395598 A CNA2006101395598 A CN A2006101395598A CN 200610139559 A CN200610139559 A CN 200610139559A CN 1976059 A CN1976059 A CN 1976059A
Authority
CN
China
Prior art keywords
stress
semiconductor fin
semiconductor
gate electrode
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006101395598A
Other languages
English (en)
Inventor
朱慧珑
骆志炯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1976059A publication Critical patent/CN1976059A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种包括位于衬底上面的半导体鳍片的半导体结构以及它的制造方法。栅电极位于所述半导体鳍片的上面。该栅电极在位于离半导体鳍片较近处的第一区域中具有第一应力,并且在位于离半导体鳍片较远处的第二区域中具有不同于第一应力的第二应力。所述半导体鳍片还可在衬底内部的基座上面对齐。在适当的应力条件下对所述半导体结构进行退火,以获得半导体器件性能的增强。

Description

鳍片型场效应晶体管结构以及用于制造这种结构的方法
技术领域
本发明一般涉及半导体结构。尤其是,本发明涉及通过在半导体结构内部利用机械应力效应和/或掺杂物再分布效应来增强半导体器件的性能。
背景技术
由于半导体技术的进步和半导体器件密度需求的增加,增加了对制造具有减小的尺寸比如较小器件栅电极长度的半导体器件(例如金属氧化物半导体场效应晶体管(MOSFET)器件)的需求。一种适应由于尺寸的显著减小而增大的密度的新颖半导体结构是使用了鳍片型场效应晶体管(finFET)结构的双栅器件。finFET结构提供了一条垂直沟道器件,其包括一条在衬底上侧向设置的半导体鳍片。为了获得理想的短沟道效应(SCE)控制,半导体鳍片在器件沟道区域中足够细,以确保形成完全耗尽的半导体器件。一般,一对栅介电层位于一对相对的半导体鳍片侧壁上。一般,一个呈倒置U形的栅电极位于半导体鳍片上并覆盖住所述那对栅介电层。在某些其它情况下,栅电极并非位于所述鳍片的顶上,因此会受到所述鳍片侧壁的限制。
除了提供具有理想的SCE控制的空间效率高的晶体管结构的finFET结构之外,半导体器件现在通常被设计成使用机械应力效应(MSE)和/或掺杂物再分布或迁移效应来增强晶体管的性能。MSE通常被用来在半导体器件内部提供增强的电荷载流子迁移率。一般,增强的电荷载流子迁移率会带来增强的半导体器件性能。
finFET结构可用受应力的组件制造以提高finFET结构的性能。例如:(1)Rim在美国专利No.6815738中和(2)Lee等人在专利公开No.2004/0256647中均提出了finFET内部的受应力的半导体鳍片结构。当形成受应力的半导体鳍片结构时,他们均通过利用分层组件的点阵错位来形成所述受应力的半导体鳍片结构。
由于在半导体器件技术中,理想的SCE控制和finFET器件的空间效率高的优点可能一直被认为相当重要,并且由于受应力的结构同样也一直用于提供具有增强性能的半导体器件,所以可能会继续在finFET内部使用受应力的结构。
发明内容
本发明提供了一对finFET结构和一种用于制造finFET结构的方法。
这对finFET结构中的第一个结构包括位于衬底上面的半导体鳍片。该结构还包括位于半导体鳍片上面的栅电极。在该第一个结构之中,栅电极在位于离半导体鳍片较近处的第一区域中具有第一应力,并且在位于离半导体鳍片较远处的第二区域中具有不同于第一应力的第二应力。
这对finFET结构中的第二个结构包括位于衬底内部的基座上面的半导体鳍片。最好,该半导体鳍片在衬底内部的基座上面对齐设置。
所述方法从所述finFET结构中的第一个结构开始。这种方法包括在衬底上面形成半导体鳍片。该方法还包括在半导体鳍片上形成一个栅电极,其中所述栅电极在位于离半导体鳍片较近处的第一区域中具有第一应力,并且在位于离半导体鳍片较远处的第二区域中具有不同于第一应力的第二应力。
附图说明
正如下面描述的那样,本发明的目的、特征以及优点参考具体实施方式得以理解。优选实施方式参考附图得以理解,附图构成了本公开的实体部分,其中:
图1至图13显示了一系列的示意性截面图和平面视图,示出了在制造根据本发明的优选实施例的finFET结构的过程中逐个阶段的结果。
具体实施方式
本发明提供了一种具有增强的性能的finFET结构、和一种用于制造这种finFET结构的方法。
图1至图13显示了一系列的示意性截面图和平面视图,示出了在制造根据本发明的优选实施例的finFET结构的过程中逐个阶段的结果。
具体来说,图1显示了具有埋入介电层12的衬底10,埋入介电层12位于衬底10之上。半导体层14位于埋入介电层12之上。硬掩模层16位于半导体层14之上。最后,图案化光致抗蚀层18位于硬掩模层16之上。
衬底10可包含几种材料中的任意一种,这些材料包括但不仅限于:导体材料、半导体材料或介电材料。一般,衬底10包含半导体材料。半导体材料可从包括但不仅限于下述物质中的组中选取:硅(Si)、锗(Ge)、硅锗(SiGe)合金、碳化硅(SiC)、碳化硅锗合金(SiGeC)和诸如(III-VI)和(II-VI)半导体材料这样的化合物半导体材料。化合物半导体材料的非限制性例子包括砷化镓、砷化铟和磷化铟材料。一般,衬底10具有从大约1到大约3密耳的厚度。
当衬底10包含有半导体材料时,埋入介电层12通常包含构成衬底10的半导体材料的氧化物。可选择地,埋入介电层12可包含氮化物、氮氧化物或其它介电材料。埋入介电层12可以利用半导体制造技术领域的常规方法形成。这些方法的非限制性例子包括热退火方法、化学气相淀积法和物理气相淀积法。一般,埋入介电层12具有从大约200到大约10000埃的厚度。
半导体层14可包含几种半导体材料中的任何一种,这些材料也是本技术领域中的常规材料。半导体材料可包括,但不仅限于:硅、锗、硅锗合金、碳化硅、碳化硅锗合金、砷化镓(GaAs)、砷化铟(InAs)、磷化铟(InP)、以及其它化合物(III-V)和(II-VI)半导体材料。半导体层14也可包含有机半导体材料。一般,半导体层14具有从大约300到大约1000埃的厚度。
衬底10(当包含半导体材料时)、埋入介电层12和半导体层14共同构成绝缘体上半导体衬底。在本发明的实施例中,衬底10一般包含硅或硅锗合金半导体材料,埋入介电层12一般包含相应的硅或硅锗的氧化物材料,并且半导体层14也一般包含相应的硅或硅锗合金半导体材料。该绝缘体上半导体衬底可用半导体制造技术领域中的几种常规方法中的任何一种形成。这些方法的非限制性例子包括层转移方法、层压方法,尤其是注氧分离方法(SIMOX)。
硬掩模层16包含有硬掩模材料,这种材料也是本技术领域中的常规材料。硬掩模材料的非限制性例子一般包括硅和/或锗的氧化物、氮化物和氮氧化合物,但也可使用其它元素的氧化物、氮化物和氮氧化合物。前述的硬掩模材料可用以下方法淀积,所述方法包括但不仅限于:热退火方法、化学气相淀积法和物理气相淀积溅射方法。一般,硬掩模层16具有从大约200到400埃的厚度,尽管这个厚度并不会对该实施例或本发明造成限制。
图案化光致抗蚀剂层18可包含本技术领域中的常规光致抗蚀材料。非限制性例子包括阳性的光致抗蚀材料、阴性的光致抗蚀材料以及混合光致抗蚀材料。抗蚀剂可以被处理成利用本技术领域中常规的旋涂、曝光和显影方法和材料来形成图案化光致抗蚀剂层18。一般,图案化光致抗蚀剂层18具有从大约5000到大约15000埃的厚度。
图2显示了依次刻蚀硬掩模层16、半导体层14和部分埋入介电层12的结果,以产生相应的图案化硬掩模层16a,该图案化硬掩模层16a对齐设置在半导体鳍片14a上,而半导体鳍片14a对齐设置在刻蚀后的埋入介电层12′内部的基座13上。一般,基座13在刻蚀后的埋入介电层12′中具有大约200到大约400埃的高度。使用图案化光致抗蚀剂层18作为刻蚀掩模,来至少对硬掩模层16进行前述蚀刻来形成图案化硬掩模层16a。当从半导体层14形成半导体鳍片14a的图案时,以及一般还有当对埋入介电层12进行蚀刻来形成刻蚀后的埋入介电层12′时,利用带或不带图案化光致抗蚀剂层18a的图案化硬掩模层16a。
前述层最好被各向异性地刻蚀,以便由此提供基本上直的侧壁。一般,这种刻蚀利用活性离子刻蚀等离子刻蚀剂或其它的各向异性刻蚀剂,比如离子束刻蚀剂。尽管一般不太常用,但是在一定条件下也可使用湿式化学刻蚀剂材料,尽管它们一般是各向同性的刻蚀剂。当使用活性离子刻蚀等离子刻蚀剂时,在刻蚀含硬掩模材料的硅或含介电材料的硅时一般利用含氟的刻蚀剂气体成分。当刻蚀含有半导体材料的硅或锗时一般利用含氯的刻蚀剂气体成分。
图3显示了一对位于半导体鳍片14a的一对相对侧壁之上的栅介电层20。这对栅介电层20一般包含构成半导体鳍片14a的半导体材料的热氧化物。当使用加热技术时,栅介电层20如图所示形成于半导体鳍片14a的表面部分之内。可选择地,也可使用淀积的介电材料而不是热生长的介电材料。当使用淀积的电介质时,栅电介质将通常出现在半导体鳍片14a的侧壁上面和顶部之上。这种可供选择的淀积介电材料可包括,但不仅限于:其它的硅的氧化物、氮化物和氮氧化物,其一般具有在真空中测量的从大约4到大约20的介电常数;以及重金属氧化物,如氧化铪、硅酸铪、氧化铝、氧化钛、氧化镧、钛酸锶钡(BST)、锆钛酸铅(PZT)和其它的铁电体材料。重金属氧化物一般具有大于20,而且有可能高达至少100的电介质常数。一般,当由热氧化硅材料制成时,这对栅介电层20中的每一个具有从大约10到大约20埃的厚度。
图4显示的是位于半导体鳍片14a之上和刻蚀后的埋入介电层12′、栅介电层对20以及图案化硬掩模层16a上面的倒置U形栅电极22。一般,栅电极22包含有掺杂的聚硅材料(即,掺杂剂浓度为每立方厘米大约le18到le20个掺杂剂原子)。
可以利用可供选择的栅电极导体材料,只要它们是在名义上的晶态或有序态,其中有序态是指对去晶或非晶化或其它用以提供深度特定的非晶区或非结晶区域的处理敏感。这些其它导体材料因此包括,但不仅限于:某些金属、金属合金、金属氮化物和金属硅化物。栅电极22可使用在本技术领域常规的淀积和图案化方法形成。非限制性例子包括化学气相淀积法和物理气相淀积法。一般,栅电极22具有从大约800到大约1500埃的厚度。
图5显示了对应于图4中所示半导体结构的半导体结构的示意性平面视图。
图5显示了刻蚀后的埋入介电层12′。呈狗骨头形状的图案化硬掩模层16a位于刻蚀后的埋入介电层12′之上。半导体鳍片14a和一对栅介电层20在图案化硬掩模层16a的下面对齐。构件14a和20都没有在图5中具体示出。栅电极22横跨半导体鳍片14a和上覆的图案化硬掩模层16a中间部位。半导体鳍片14a上被栅电极22覆盖的部分包括沟道区域。半导体鳍片14a上没有被栅电极22覆盖的端部包括这样一个区域,其中可以依次设置和形成一对源极/漏极区域。图案化硬掩模层16a和在其下面对齐的半导体鳍片14a两者的狗骨头形状的目的都在于具有中心轴向部分,其延伸到一对线宽大于该中心轴向部分的突出(lobed)端部。中心轴向部分可具有从大约0.05到大约0.30微米的线宽,尽管该实施例和本发明都不局限于这个线宽范围。图5示出了图案化硬掩模层16a的突出端部为具有显著角形的狗骨头形状,但在如图5中示出的分立的finFET结构中,突出端部可选择地具有较少的角形特征。
类似地,尽管图5中的示意性平面视图示出了根据当前实施例的finFET为具有单个半导体鳍片和栅电极的分立器件,但是这种特殊结构并非对实施例或本发明加以限制。相反地,也可以想到这样的实施例,其中多个finFET器件可在一个方向上或在两个方向上都利用单个细长跨越栅电极(比如栅电极22)连接起来。多个器件也可在一个方向上或两个方向上都通过其它跨越半导体鳍片(比如半导体鳍片14a)连接起来。
图6显示了根据图5中所示的参考剖面6-6贯穿半导体鳍片14a的源极/漏极扩展区域的示意性截面图。
图6显示了位于刻蚀后的埋入介电层12′内部的基座13之上的半导体鳍片14a。图6也显示了位于半导体鳍片14a的相对侧壁之上的那一对栅介电层20和位于半导体鳍片14a顶上的图案化硬掩模层16a。最后,图6显示了一定剂量的初始注入离子21,其用于形成扩展注入和/或位于扩展区域内部的晕环式(halo)注入。一般,这一剂量的初始注入离子21相对于刻蚀后的埋入介电层12′的主平面具有从大约30°到大约45°的倾斜角度,并且处于finFET制造技术领域中常规的浓度。这一剂量的初始注入离子21还具有适合于所要制造的finFET的极性。
图7显示了一个示意性截面图,示出了对具有图6中所示示意性截面图的finFET进一步处理的结果。
图7显示了邻接型分隔层16′,其覆盖了所述一对栅介电层20的侧壁并合并了如图6中所示的图案化硬掩模层16a。一般,邻接型分隔层16′包含与构成图案化硬掩模层16a的硬掩模材料类似、相同或等同的分隔材料。典型的非限制性的材料包括氧化物、氮化物和氮氧化物。一般,邻接型分隔层16′是利用覆盖层(即,厚度从大约300到大约500埃)淀积步骤及随后的各向异性内刻蚀步骤形成的。化学气相淀积法(热激活和等离子体激活)和物理气相淀积法也可被用于覆盖层淀积。并不排除其它方法。
如图7所示的邻接型分隔层16′阻止了随着对具有图4所示示意性截面图的半导体结构进行进一步的非晶化离子注入处理而对在半导体鳍片层14a内部的源极/漏极以及扩展区域造成所不期望的离子注入损伤。因而,根据该实施例和本发明,图6中所示的扩展和晕环式离子注入处理以及图7中所示的邻接型分隔层处理一般都在对图4中所示半导体结构的附加非晶化离子注入处理之前进行。
图8显示了从图5中所示的参考剖面4-4看到的对图4所示半导体结构的这种附加的非晶化离子注入处理的结果。同样,附加的非晶化离子注入处理一般在图6和图7所示的处理之后进行。
图8显示了离子注入栅电极22以形成局部非晶化栅电极22′的结果。局部非晶化栅电极22′包含位于半导体鳍片14a附近的未非晶化子层22a(unamorphized sub-layer)。局部非晶化栅电极22′还包含非晶化子层22b作为表面层,该表面层位于离半导体鳍片14a较远处。局部非晶化栅电极22′被非晶化至与非晶化子层22b的厚度对应的距离。这个距离最好比半导体鳍片14a与刻蚀后的埋入介电层12′的交界面深。利用一定剂量的非晶化离子23进行非晶化作用。在非晶化离子也可被用来掺杂如图8所示半导体鳍片14a内部的源极/漏极区域中没有被局部非晶化栅电极22′覆盖的特定部分、或如图7所示的邻接型分隔层16′的较厚部分的情况下,这一剂量的非晶化离子23最好是还包含掺杂离子的一种非晶化离子。类似地,非晶化离子23也可包括不掺杂非晶化离子,比如锗的非晶化离子。也可以使用其它更重的不非晶化离子。一般,非晶化离子23(包括掺杂和不掺杂非晶化离子)的总体浓度为每立方厘米大约le18到大约le22个掺杂原子。非晶化离子23最好以如图6中所示的从大约30°到大约45°的倾斜角度提供,尽管这一点在当前的实施例或本发明中都不要求。
图9显示了位于局部非晶化栅电极22′之上的衬垫介电层24(paddielectric layer)、和位于衬垫介电层24之上的应力传递层26。
一般,衬垫介电层24包含用作衬垫介电层的常规材料的几种介电材料中的任何一种。非限制性例子包括氧化硅、氮化硅和氮氧化硅材料。氧化硅材料特别常用。衬垫介电层24可利用本技术领域中几种常规的方法中的任何一种方法形成。非限制性例子包括热氧化方法、化学气相淀积法和物理气相淀积法。最好,衬垫介电层24利用热氧化方法形成以得到氧化硅材料。一般,衬垫介电层24具有从大约10到大约100埃的厚度。
应力传递层26可包含几种应力传递材料中的任何一种,但从实际可行的观点来看,应力传递材料必须具有阻热性能,其允许较高温度退火,而不破坏应力传递层或任何在其下方的层。应力传递材料的非限制性例子包括氮化硅材料和氮氧化硅材料。氮化硅材料特别优选。应力传递层26可以具有适用于n-finFET或p-finFET的正应力或者负应力。
同样从实际可行的观点来看,当形成应力传递层26时,可利用几个过程变量来影响应力。非限制性例子包括淀积温度、起始材料、淀积速度和厚度。一般,应力传递层具有从大约500到大约2000埃的厚度,尽管实施例和本发明都不受此限制。
图10显示的是对图9中所示半导体结构进行热退火的结果。随着热退火,局部非晶化栅电极22′再结晶以生成再结晶的栅电极22″。在当前的实施例中,局部非晶化栅电极22′的再结晶以生成再结晶的栅电极22″的过程同时涉及非晶化子层22b的再结晶以形成一个再结晶子层22b′的过程。当局部非晶化栅电极22′再结晶以生成再结晶的栅电极22″时,其(即尤其是针对非晶化子层22b来说)在应力传递层26的应力条件的影响下进行再结晶。因而,再结晶的栅电极22″在位于离半导体鳍片14a和刻蚀后的埋入介电层12′较近处的第一部分或区域(即在初始应力水平下形成的未非晶化的子层22a)中具有第一应力,在离半导体鳍片14a和刻蚀后的埋入介电层12′较远的分离的第二部分或区域(即再结晶子层22b′)具有不同于第一应力的第二应力。另外,在再结晶期间和与之伴生的热退火期间,在局部非晶化栅电极22′和半导体鳍片14a中包含的掺杂剂将在从应力传递层26传递到非晶化子层22b中的应力范围之内重新分布。这种掺杂剂重新分布的类型和机理也能增强finFET器件的性能。
至于再结晶的栅电极22′,其中的第一应力可小于第二应力或第一应力可大于第二应力。第一应力和第二应力可都是压缩性的或都是拉伸性的。可选择地,第一应力和第二应力中的一个可以是拉伸性的,而第一应力和第二应力中的另一个可以是压缩性的。
可利用半导体制造技术领域中常规的几种热退火方法中的任何一种来进行局部非晶化栅电极22′的再结晶以形成再结晶栅电极22″。非限制性例子包括炉内退火方法和快速热退火方法。一般,但并非排他性地,对局部非晶化栅电极22′以从大约1000℃到大约1200℃的温度进行热退火持续大约2到大约6个小时的时间。一般,热退火在惰性气体中进行,诸如氦气、氩气、氪气或氮气,尽管对这一点并不作要求。本领域的技术人员应理解,前述热退火条件也适用于半导体鳍片14a中的源极/漏极区域的再结晶和对植入其中的活性掺杂剂的驱动。
图11显示了从图10中所示半导体结构中依次剥离应力传递层26和衬垫介电层24的结果。在剥离后,它们暴露出再结晶栅电极22″。在使用半导体制造技术领域中常规的方法和材料的同时,它们被依次剥离。特别地,但并非加以限制,对于氮化硅或氧化硅材料,可采用磷酸水溶液材料。同样并非加以限制,对于氧化硅材料,可采用氢氟酸水溶液材料。也可利用其它合适的湿式化学刻蚀材料和干式离子刻蚀材料。
图11显示了在第一种情况下根据本发明优选实施例的半导体结构。在第一种情况下,所述半导体结构包括在刻蚀后的埋入介电层12′之中的基座13上对齐的半导体鳍片14a。基座13使得半导体鳍片14a与刻蚀后的埋入介电层12′的周围部分隔离开。由于隔离,依次地设置并且形成于半导体鳍片14a上的再结晶的栅电极22″具有更完整的覆盖面(more complete overlap)。在根据图11的finFET结构之中,再结晶的栅电极22″具有多个不同应力的区域。这些区域包括位于离半导体鳍片14a较近处并具有第一应力的第一区域,同时具有离半导体鳍片14a较远处并具有不同于第一应力的第二应力的第二区域。
尽管优选实施例以具有两个不同应力区域的再结晶栅电极22″说明了本发明,但是当前的实施例和本发明都并非受此限制。相反地,本领域技术人员应理解,本发明可通过用深度依次越来越小的栅电极的离子注入非晶化,并在多个依次应力传递层作用下伴随着并行的和依次的再结晶化来实施。前述的工艺步骤在多个再结晶栅电极之中进一步生成额外限定的应力区域。
图12显示了一个示意性平面视图,示出了对图11中所示finFET更进一步处理的结果。图12也与图5对应,但具有一对位于半导体鳍片层14a上没有被再结晶的栅电极22″覆盖的源极/漏极区域之上的硅化物层28。为了提供与图5中所示finFET结构相似的图12中的finFET结构,位于半导体鳍片14a区域上没有被再结晶栅电极22″覆盖的图案化硬掩模层16a被部分移除。它们可用半导体制造技术领域中常规的刻蚀方式移除。所述方法包括,但不仅限于:湿式化学刻蚀方法和干式离子刻蚀方法。
一旦半导体鳍片14a的源极/漏极区域部分被暴露,可用也是本技术领域中常规的方法形成这对硅化物层28。一般,这对硅化物层28是利用金属硅化物形成金属层淀积、热退火以及后续的无反应金属刻蚀方法(即自对准多晶硅化物方法)形成的。可以采用其它方法。典型的金属硅化物成形金属包括,但不仅限于:钨、钴、铂、镍和钛。一般,热退火条件是以大约350℃到大约850℃的温度持续从大约1秒钟到大约10分钟的时间。未反应的金属刻蚀剂专门用于特殊金属并且一般为湿式化学刻蚀剂,尽管这不是发明的要求。一般,这对硅化物层28中的每一个都具有从大约50到大约300埃的厚度。它们在本发明中是任选的。
图13显示了对图11或图12中所示半导体结构的更进一步处理结果,因为如图12所示的这对硅化物层28在本发明中是任选性的。图13显示了位于再结晶栅电极22″之上的第二应力传递层30。第二应力传递层30可包含与用于应力传递层26的那些应力传递材料类似的、相同的或等同的应力传递材料。它也可利用类似的、相同的或等同的方法形成。一般,第二应力传递层具有从大约200到大约1000埃的厚度。
在当前的实施例中,第二应力传递层30可具有不同于下述应力中任何一个的第三应力:(1)再结晶栅电极22″中的未非晶化子层22a内部的离半导体鳍片14a较近的第一应力;或(2)再结晶栅电极22″中的再结晶子层22b′内部的离半导体鳍片14a较远的第二应力。第一应力、第二应力和第三应力可定义一个连续的应力级数(要么增大要么减小)。或者,它们可定义一个不连续的应力级数。第一应力、第二应力和第三应力中的每一个可互相独立地为拉伸应力或压缩应力。第一应力、第二应力和第三应力的大小也可变化,但典型的范围从大约-3.5GPa到2.5GPa。
图13显示了进一步根据本发明优选实施例的半导体结构。这种半导体结构包括具有再结晶栅电极22″的finFET结构,其中再结晶栅电极22″在位于离其中的半导体鳍片14a较近处的第一区域(即未非结晶型子层22a)中具有的第一应力,并且在位于离半导体鳍片14a较远处的第二区域(即再结晶子层22b′)中具有不同于第一应力的第二应力。所述finFET结构还包含位于再结晶栅电极22″上面的应力传递层30。应力传递层30可具有既不同于第一应力也不同于第二应力的第三应力。根据本实施例在finFET中的再结晶栅电极22″的多个部分内部的不同应力水平,有助于调节finFET中的半导体鳍片14a内部的应力。进而,这可以提供增强的finFET性能。
本发明的优选实施例是为了说明本发明而不是对本发明加以限制。在仍旧根据本发明提供实施例或者进一步地根据所附权利要求提供实施例的同时,可以对根据本发明优选实施例的方法、材料、结构和尺寸进行修正和改动。

Claims (20)

1、一种结构,包括:
位于衬底上面的半导体鳍片;
位于半导体鳍片上面的栅电极,该栅电极在位于离半导体鳍片较近处的第一区域中具有第一应力,并且在位于离半导体鳍片较远处的第二区域中具有不同于第一应力的第二应力。
2、如权利要求1所述的结构,其特征在于,所述衬底是绝缘体上半导体衬底。
3、如权利要求1所述的结构,其特征在于,所述半导体鳍片包含从由Si、SiC、SiGe、SiGeC、Ge、GaAs、InAs、InP、其它III-V或II-VI化合物半导体材料以及有机半导体材料构成的组中选取的半导体材料。
4、如权利要求1所述的结构,其特征在于,所述第一应力和第二应力形成应力梯度。
5、如权利要求1所述的结构,其特征在于:所述第一应力和第二应力属于相反类型。
6、如权利要求1所述的结构,其特征在于,所述半导体鳍片位于衬底内部的基座上面。
7、如权利要求1所述的结构,其特征在于,所述半导体鳍片在衬底内部的基座上面对齐设置。
8、如权利要求1所述的结构,还包括位于所述栅电极上面的应力传递层。
9、如权利要求1所述的结构,其特征在于,所述应力传递层具有不同于第二应力和第一应力的第三应力。
10、一种结构,包括位于衬底内部的基座上面的半导体鳍片。
11、如权利要求10所述的结构,其特征在于,所述半导体鳍片在衬底内部的所述基座上面对齐设置。
12、如权利要求10所述的结构,其特征在于,所述半导体鳍片是硅半导体鳍片。
13、如权利要求10所述的结构,其特征在于,所述基座在所述衬底内部具有从大约300到大约500埃的高度。
14、一种制造一种结构的方法,包括:
在衬底上面形成半导体鳍片;和
在半导体鳍片上面形成栅电极,该栅电极在位于离半导体鳍片较近处的第一区域中具有第一应力,并且在位于离半导体鳍片较远处的第二区域中具有不同于第一应力的第二应力。
15、如权利要求14所述的方法,其特征在于,所述衬底是绝缘体上半导体衬底。
16、如权利要求14所述的方法,所述半导体鳍片包含从由Si、SiC、SiGe、SiGeC、Ge、GaAs、InAs、InP、其它III-V或II-VI化合物半导体材料以及有机半导体材料构成的组中选择的半导体材料。
17、如权利要求14所述的方法,其特征在于,所述第一应力和第二应力形成应力梯度。
18、如权利要求14所述的方法,其特征在于:所述第一应力和第二应力属于相反类型。
19、如权利要求14所述的方法,其特征在于,所述半导体鳍片被形成在衬底内部的基座上面。
20、如权利要求14所述的方法,其特征在于,所述半导体鳍片被制成在衬底内部的基座上面对齐设置。
CNA2006101395598A 2005-11-30 2006-09-26 鳍片型场效应晶体管结构以及用于制造这种结构的方法 Pending CN1976059A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/164,621 US7564081B2 (en) 2005-11-30 2005-11-30 finFET structure with multiply stressed gate electrode
US11/164,621 2005-11-30

Publications (1)

Publication Number Publication Date
CN1976059A true CN1976059A (zh) 2007-06-06

Family

ID=38086598

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006101395598A Pending CN1976059A (zh) 2005-11-30 2006-09-26 鳍片型场效应晶体管结构以及用于制造这种结构的方法

Country Status (3)

Country Link
US (2) US7564081B2 (zh)
JP (1) JP5186101B2 (zh)
CN (1) CN1976059A (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101924133A (zh) * 2009-04-14 2010-12-22 台湾积体电路制造股份有限公司 鳍式fet及其形成方法
CN102456734A (zh) * 2010-10-29 2012-05-16 中国科学院微电子研究所 半导体结构及其制作方法
CN103187439A (zh) * 2011-12-29 2013-07-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、cmos及其形成方法
CN103247535A (zh) * 2012-02-08 2013-08-14 台湾积体电路制造股份有限公司 用于finfet器件的位错smt
CN103296068A (zh) * 2012-03-02 2013-09-11 中芯国际集成电路制造(上海)有限公司 Cmos及其形成方法
CN105144366A (zh) * 2013-11-22 2015-12-09 国际商业机器公司 用具有不同的沟道材料的nfet和pfet形成cmos的结构和方法
CN105144389A (zh) * 2013-03-19 2015-12-09 Soitec公司 具有背栅的finFET
CN106158616A (zh) * 2014-08-08 2016-11-23 爱思开海力士有限公司 三维半导体集成电路器件及其制造方法
CN107492501A (zh) * 2016-06-13 2017-12-19 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN108807544A (zh) * 2013-06-26 2018-11-13 美商新思科技有限公司 具有异质结和改进的沟道控制的FinFET

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7452768B2 (en) 2005-10-25 2008-11-18 Freescale Semiconductor, Inc. Multiple device types including an inverted-T channel transistor and method therefor
US8513066B2 (en) * 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
JP2008010503A (ja) * 2006-06-27 2008-01-17 Toshiba Corp 半導体記憶装置およびその製造方法
US7704823B2 (en) * 2006-08-31 2010-04-27 Infineon Technologies Ag Strained semiconductor device and method of making same
US7691690B2 (en) * 2007-01-12 2010-04-06 International Business Machines Corporation Methods for forming dual fully silicided gates over fins of FinFet devices
US7928426B2 (en) * 2007-03-27 2011-04-19 Intel Corporation Forming a non-planar transistor having a quantum well channel
US8124483B2 (en) * 2007-06-07 2012-02-28 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7671418B2 (en) * 2007-09-14 2010-03-02 Advanced Micro Devices, Inc. Double layer stress for multiple gate transistors
JP4575471B2 (ja) * 2008-03-28 2010-11-04 株式会社東芝 半導体装置および半導体装置の製造方法
JP5285947B2 (ja) * 2008-04-11 2013-09-11 株式会社東芝 半導体装置、およびその製造方法
US20100308409A1 (en) * 2009-06-08 2010-12-09 Globalfoundries Inc. Finfet structures with fins having stress-inducing caps and methods for fabricating the same
US8236709B2 (en) 2009-07-29 2012-08-07 International Business Machines Corporation Method of fabricating a device using low temperature anneal processes, a device and design structure
US20110068348A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Thin body mosfet with conducting surface channel extensions and gate-controlled channel sidewalls
US8344425B2 (en) * 2009-12-30 2013-01-01 Intel Corporation Multi-gate III-V quantum well structures
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US9312179B2 (en) 2010-03-17 2016-04-12 Taiwan-Semiconductor Manufacturing Co., Ltd. Method of making a finFET, and finFET formed by the method
US8338280B2 (en) * 2010-07-08 2012-12-25 Globalfoundries Singapore Pte. Ltd. Method for fabricating nano devices
US8394684B2 (en) * 2010-07-22 2013-03-12 International Business Machines Corporation Structure and method for stress latching in non-planar semiconductor devices
US8778744B2 (en) * 2011-06-24 2014-07-15 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor field effect transistor
US8629559B2 (en) * 2012-02-09 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Stress reduction apparatus with an inverted cup-shaped layer
CN103681846B (zh) * 2012-09-20 2017-02-08 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US8722494B1 (en) * 2012-11-01 2014-05-13 International Business Machines Corporation Dual gate finFET devices
US8766363B2 (en) * 2012-11-07 2014-07-01 International Business Machines Corporation Method and structure for forming a localized SOI finFET
EP2741320B1 (en) 2012-12-05 2020-06-17 IMEC vzw Manufacturing method of a finfet device with dual-strained channels
US9559181B2 (en) * 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
US9147682B2 (en) 2013-01-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin spacer protected source and drain regions in FinFETs
US9034716B2 (en) * 2013-01-31 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8981466B2 (en) * 2013-03-11 2015-03-17 International Business Machines Corporation Multilayer dielectric structures for semiconductor nano-devices
US9117842B2 (en) * 2013-03-13 2015-08-25 Globalfoundries Inc. Methods of forming contacts to source/drain regions of FinFET devices
US9040363B2 (en) 2013-03-20 2015-05-26 International Business Machines Corporation FinFET with reduced capacitance
US9105662B1 (en) 2014-01-23 2015-08-11 International Business Machines Corporation Method and structure to enhance gate induced strain effect in multigate device
US9373706B2 (en) 2014-01-24 2016-06-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices, including forming a semiconductor material on a fin, and related semiconductor devices
US10468528B2 (en) 2014-04-16 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with high-k metal gate stack
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9502565B2 (en) 2014-06-27 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Channel strain control for nonplanar compound semiconductor devices
US9224736B1 (en) 2014-06-27 2015-12-29 Taiwan Semicondcutor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device
KR20160004097A (ko) * 2014-07-02 2016-01-12 삼성전자주식회사 핀 구조물 및 그 제조방법, 및 이를 이용하는 핀 트랜지스터 및 그 제조방법
US9941406B2 (en) 2014-08-05 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with source/drain cladding
US9306067B2 (en) 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
CN105448985B (zh) * 2014-08-14 2018-12-11 中国科学院微电子研究所 半导体器件及其制造方法
US9263587B1 (en) * 2014-09-04 2016-02-16 Globalfoundries Inc. Fin device with blocking layer in channel region
US9577101B2 (en) 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
US9362311B1 (en) 2015-07-24 2016-06-07 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
CN105405890B (zh) * 2015-11-05 2018-07-20 中国科学院微电子研究所 包括带电荷体侧墙的半导体器件及其制造方法
US9680019B1 (en) * 2016-07-20 2017-06-13 Globalfoundries Inc. Fin-type field-effect transistors with strained channels
US11164876B2 (en) * 2019-02-07 2021-11-02 Micron Technology, Inc. Atom implantation for passivation of pillar material

Family Cites Families (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
ATE59917T1 (de) 1985-09-13 1991-01-15 Siemens Ag Integrierte bipolar- und komplementaere mostransistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
WO1994027317A1 (de) 1993-05-06 1994-11-24 Siemens Aktiengesellschaft Herstellungsverfahren für bauelemente auf soi-substrat
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
JP2701821B2 (ja) * 1995-12-27 1998-01-21 日本電気株式会社 半導体基板
KR100213196B1 (ko) 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
KR100392909B1 (ko) 1997-08-26 2004-03-22 엘지.필립스 엘시디 주식회사 박막트랜지스터및그의제조방법
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US6373088B2 (en) * 1997-06-16 2002-04-16 Texas Instruments Incorporated Edge stress reduction by noncoincident layers
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6677141B2 (en) * 1998-05-17 2004-01-13 Honda Trading Corporation Edible compositions of Bacillus subtilis natto cells containing water-soluble vitamin K
US6074903A (en) 1998-06-16 2000-06-13 Siemens Aktiengesellschaft Method for forming electrical isolation for semiconductor devices
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
JP4521542B2 (ja) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置および半導体基板
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6326667B1 (en) 1999-09-09 2001-12-04 Kabushiki Kaisha Toshiba Semiconductor devices and methods for producing semiconductor devices
US6180501B1 (en) * 1999-10-14 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method to fabricate a double-polysilicon gate structure for a sub-quarter micron self-aligned-titanium silicide process
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
DE10028963A1 (de) 2000-06-10 2001-12-13 Mann & Hummel Filter Ansaugsystem für Brennkraftmaschinen
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6603156B2 (en) 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
JP2002305293A (ja) 2001-04-06 2002-10-18 Canon Inc 半導体部材の製造方法及び半導体装置の製造方法
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
JP2005504436A (ja) 2001-09-21 2005-02-10 アンバーウェーブ システムズ コーポレイション 画定された不純物勾配を有するひずみ材料層を使用する半導体構造、およびその構造を製作するための方法。
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6621392B1 (en) 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6833588B2 (en) * 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
CN100378901C (zh) 2002-11-25 2008-04-02 国际商业机器公司 应变鳍型场效应晶体管互补金属氧化物半导体器件结构
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6855990B2 (en) 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6774015B1 (en) 2002-12-19 2004-08-10 International Business Machines Corporation Strained silicon-on-insulator (SSOI) and method to form the same
US6815738B2 (en) 2003-02-28 2004-11-09 International Business Machines Corporation Multiple gate MOSFET structure with strained Si Fin body
US6828628B2 (en) 2003-03-05 2004-12-07 Agere Systems, Inc. Diffused MOS devices with strained silicon portions and methods for forming same
WO2004090992A1 (ja) * 2003-04-09 2004-10-21 Nec Corporation 高移動度シリコンチャネルを有する縦型misfet半導体装置
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US6815278B1 (en) 2003-08-25 2004-11-09 International Business Machines Corporation Ultra-thin silicon-on-insulator and strained-silicon-direct-on-insulator with hybrid crystal orientations
US6767802B1 (en) 2003-09-19 2004-07-27 Sharp Laboratories Of America, Inc. Methods of making relaxed silicon-germanium on insulator via layer transfer
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US6962843B2 (en) 2003-11-05 2005-11-08 International Business Machines Corporation Method of fabricating a finfet
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
KR100618852B1 (ko) * 2004-07-27 2006-09-01 삼성전자주식회사 높은 동작 전류를 갖는 반도체 소자
US7354806B2 (en) 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods
US7244659B2 (en) * 2005-03-10 2007-07-17 Micron Technology, Inc. Integrated circuits and methods of forming a field effect transistor
US7326617B2 (en) * 2005-08-23 2008-02-05 United Microelectronics Corp. Method of fabricating a three-dimensional multi-gate device

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101924133B (zh) * 2009-04-14 2012-10-03 台湾积体电路制造股份有限公司 鳍式fet及其形成方法
CN101924133A (zh) * 2009-04-14 2010-12-22 台湾积体电路制造股份有限公司 鳍式fet及其形成方法
CN102456734B (zh) * 2010-10-29 2015-06-10 中国科学院微电子研究所 半导体结构及其制作方法
CN102456734A (zh) * 2010-10-29 2012-05-16 中国科学院微电子研究所 半导体结构及其制作方法
US9312386B2 (en) 2011-12-29 2016-04-12 Semiconductor Manufacturing International Corp. Method for forming fin FET structure with dual-stress spacers
CN103187439A (zh) * 2011-12-29 2013-07-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、cmos及其形成方法
US9099558B2 (en) 2011-12-29 2015-08-04 Semiconductor Manufacturing International Corp. Fin FET structure with dual-stress spacers and method for forming the same
CN103187439B (zh) * 2011-12-29 2015-08-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、cmos及其形成方法
CN103247535A (zh) * 2012-02-08 2013-08-14 台湾积体电路制造股份有限公司 用于finfet器件的位错smt
CN103247535B (zh) * 2012-02-08 2016-06-08 台湾积体电路制造股份有限公司 Finfet器件及其形成方法
CN103296068B (zh) * 2012-03-02 2016-03-16 中芯国际集成电路制造(上海)有限公司 Cmos及其形成方法
CN103296068A (zh) * 2012-03-02 2013-09-11 中芯国际集成电路制造(上海)有限公司 Cmos及其形成方法
CN105144389A (zh) * 2013-03-19 2015-12-09 Soitec公司 具有背栅的finFET
CN108807544A (zh) * 2013-06-26 2018-11-13 美商新思科技有限公司 具有异质结和改进的沟道控制的FinFET
CN108807544B (zh) * 2013-06-26 2023-03-07 美商新思科技有限公司 具有异质结和改进的沟道控制的FinFET
CN105144366A (zh) * 2013-11-22 2015-12-09 国际商业机器公司 用具有不同的沟道材料的nfet和pfet形成cmos的结构和方法
CN106158616A (zh) * 2014-08-08 2016-11-23 爱思开海力士有限公司 三维半导体集成电路器件及其制造方法
CN106158616B (zh) * 2014-08-08 2020-01-03 爱思开海力士有限公司 三维半导体集成电路器件及其制造方法
CN107492501A (zh) * 2016-06-13 2017-12-19 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法

Also Published As

Publication number Publication date
US7564081B2 (en) 2009-07-21
JP5186101B2 (ja) 2013-04-17
US20070120154A1 (en) 2007-05-31
US8058157B2 (en) 2011-11-15
US20090280626A1 (en) 2009-11-12
JP2007158329A (ja) 2007-06-21

Similar Documents

Publication Publication Date Title
CN1976059A (zh) 鳍片型场效应晶体管结构以及用于制造这种结构的方法
CN100345308C (zh) 半导体元件及其制造方法
CN1287433C (zh) 三栅极器件的加工方法
TW591798B (en) Strained-channel multiple-gate transistor
CN100413038C (zh) 形成鳍状场效应晶体管器件中的结构的方法
CN1284245C (zh) 使用多栅极晶体管的互补金属氧化物半导体晶体管反向器
CN100345301C (zh) 整合型晶体管及其制造方法
CN1577890A (zh) 具有凸起的结区域的pmos晶体管应变最优化
CN101064310A (zh) 应用自对准双应力层的cmos结构和方法
TW201117324A (en) FinFET structures with stress-inducing source/drain-forming spacers and methods for fabricating the same
CN1892998A (zh) 形成半导体结构或元件的方法
CN1993815A (zh) 具有不同材料结构元件的半导体晶体管及其形成方法
CN1728385A (zh) 沟槽应变抬升源/漏结构及其制造方法
CN1913175A (zh) 半导体元件及其形成方法
CN1977387A (zh) 高迁移率三栅器件及其制造方法
CN1941387A (zh) 半导体结构及其制造方法
CN1790638A (zh) 具有区域化应力结构的金属氧化物半导体的场效晶体管
US9460971B2 (en) Method to co-integrate oppositely strained semiconductor devices on a same substrate
CN1627535A (zh) 金刚石半导体器件及其制造方法
JP5770944B2 (ja) 非プレーナ型トランジスタのフィン製造
CN101055851A (zh) 互补金属氧化物半导体及其形成方法
US8618616B2 (en) FinFET structures and methods for fabricating the same
CN1956214A (zh) 场效应晶体管及其制造方法
US20100308382A1 (en) Semiconductor structures and methods for reducing silicon oxide undercuts in a semiconductor substrate
KR950027916A (ko) 반도체장치의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication