CN1938661A - 半导体制造气流分配系统和方法 - Google Patents

半导体制造气流分配系统和方法 Download PDF

Info

Publication number
CN1938661A
CN1938661A CNA2005800102004A CN200580010200A CN1938661A CN 1938661 A CN1938661 A CN 1938661A CN A2005800102004 A CNA2005800102004 A CN A2005800102004A CN 200580010200 A CN200580010200 A CN 200580010200A CN 1938661 A CN1938661 A CN 1938661A
Authority
CN
China
Prior art keywords
flow
ratio
stream pipeline
stream
pipeline
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800102004A
Other languages
English (en)
Inventor
阿里·沙吉
西达尔斯·纳加尔卡蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MKS Instruments Inc
Original Assignee
MKS Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MKS Instruments Inc filed Critical MKS Instruments Inc
Publication of CN1938661A publication Critical patent/CN1938661A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • G05D7/0641Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means
    • G05D7/0664Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means the plurality of throttling means being arranged for the control of a plurality of diverging flows from a single flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0363For producing proportionate flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/2496Self-proportioning or correlating systems
    • Y10T137/2514Self-proportioning flow systems
    • Y10T137/2521Flow comparison or differential response
    • Y10T137/2524Flow dividers [e.g., reversely acting controls]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Measuring Volume Flow (AREA)
  • Flow Control (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一种用于将单一气流分成两个或更多所需比例的次级气流的系统,包括:入口,适用于接收所述单一气流;至少两个次级流管线,与所述入口相连;输入装置,适用于接收至少一个所需流量比;至少一个原位工艺监视器,用于提供对由所述每条流管线产生的产品的测量;以及控制器,与所述输入装置和所述原位工艺监视器相连。该控制器被程序化用于:接收通过所述输入装置的所需的流量比;接收来自所述工艺监视器的产品测量值;基于所述的所需流量比和所述的产品测量值计算校正的流量比。如果产品的测量值是不相等的,那么校正的流量比将不同于所需的流量比。

Description

半导体制造气流分配系统和方法
技术领域
本发明总体上涉及半导体制造设备,具体涉及用于向半导体工艺室精确输送定量的工艺气体的系统和方法。更具体地,本发明涉及一种系统和方法,该系统和方法用于将单一的工艺气流分成两个或更多所需比例的气流,其中,原位工艺监视器被用于提供对由每个气流产生的处理结果的实时监测,并且如果由每个气流产生的处理结果是不等的,那么该系统和方法实时地调整气流的比例,用以获得所需的处理结果。
背景技术
半导体器件的制造或制作通常需要对向工艺室输送的多种气体进行严格的同步和精确的测量。各种配方被用在制造过程中,并且可能需要许多分立的工艺步骤,在这些工艺步骤中半导体器件被清洗、抛光、氧化、掩蔽、刻蚀、掺杂以及金属化等。所用的步骤、它们特定的顺序以及包括的材料都对特定器件的制备有影响。
相应地,晶片制造设施一般规划为包括一些区域,在这些区域中进行化学气相淀积、等离子淀积、等离子刻蚀、溅射以及其它类似的气体制造工艺。必须向诸如化学气相淀积反应堆、真空溅射机、等离子刻蚀机或等离子增强化学气相淀积等工艺工具提供各种工艺气体。必须向这些工具提供精确计量的纯净气体。
在典型的晶片制造设施中,气体被存放在储气罐中,储气罐通过管道或导管与气箱相连。气箱从制造设施的储气罐中将精确计量的纯净惰性或反应气体送到工艺工具中。气箱或气体计量系统包括多个具有气体计量单元的气体通道,这些气体计量单元例如是阀、压力调节器和转换器、质量流量控制器以及过滤器/纯化器等。每个气体通道有它自己的用于连接单独的气体源的入口,但是所有的气体通道将会聚成用于连接工艺工具的单一出口。
有时需要将组合的工艺气体分成相等的气流或者分成不等但按一定比例的气流。例如,也许需要将来自气箱的单一气流分到多个工艺室中,其中每个工艺室得到等量的气流。还可能需要将来自气箱的单一气流分配到单个工艺室的单独的部分,其中工艺室的每部分获得相等的气流或不等但按一定比例的气流。
例如低或常压化学气相淀积、刻蚀以及外延等各种半导体制造工艺利用反应室中的喷头向反应室中要被处理的半导体晶片均匀分配工艺气体。喷头可以包括单个区域,或者可以包括两个或多个区域。多区域喷头的实例包括但不仅限于那些在美国专利NO.5,453,124(Mosleshi等)、美国专利NO.5,624,498(Lee等)、美国专利NO.5,976,261(Mosleshi等)、美国专利NO.6,251,187(Li等)、美国专利NO.6,302,964(Umotoy等)以及美国专利NO.6,676,760(Kholodenko等)中所示的喷头。
气流分配系统被用于确保在各个工艺室或单个工艺室的各个部分间按需分配气箱的主要气流。气流分配系统的实例包括但不仅限于那些在美国专利NO.4,369,031(Goldman等)、美国专利NO.6,333,372(McMillin等)、美国专利NO.6,418,954(Taylor等)以及已公开的美国专利申请NO.2003/0130807中所示的气流分配系统。
仍然需要一种新的和改进的气流分配系统和方法,该系统和方法可以用于例如在各个工艺室或单个工艺室的各个部分间划分单一的工艺气流。优选地,该气流分配系统和方法将结合原位工艺(晶片一致性)监测,如果需要,用以立即调整由气流分配系统和方法产生的流量比例,并实时校正半导体晶片的不一致。
发明内容
本发明提供了一种用于将单个质量流量分成两种或更多所需比例的次级流量。该系统包括用于接收单个质量流量的入口和与该入口相连的至少两个次级流管线。该系统还包括:输入装置,用于接收至少一个所需比例的流量(如设定点);至少一个原位工艺监视器,用于提供对由每条流管线产生的产品的测量(如半导体晶片的薄膜测量);以及控制器,其与输入装置和原位工艺监视器相连。控制器被程序化以接收通过输入装置的所需流量比,并接收来自原位工艺监视器的产品测量值,从而基于所需的流量比和产品测量值计算出校正的流量比。
根据本发明的一个方面,该系统包括与每条流管线相连的单独的工艺室,且每个工艺室包括至少一个原位工艺监视器,该原位工艺监视器用于提供对在每个工艺室中的半导体晶片的测量。
根据另一方面,该系统包括与所有流管线相连的单一工艺室,位于该工艺室中的半导体晶片被划分为相应于这些流管线的多个区域。根据额外的方面,这些流管线与工艺室的喷头相连,且该工艺室包括至少一个原位工艺监视器,该原位工艺监视器用于提供在工艺室中的半导体晶片的每个区域的测量。
本发明的其它方面和优点包括,该系统提供了对半导体晶片工艺不一致性的实时校正。该系统可以在各工艺室间或单个工艺室的各部分间分配单一工艺气流,并结合原位工艺(晶片一致性)监测,如果需要,用以立即调整由气流分配系统产生的流量比例,以实时校正半导体晶片的不一致。
根据本发明的再一方面,原位工艺监视器包括差动传感器。因此,本发明使用只需相对校准的传感器,而避免使用需要绝对校准的传感器,因为需要绝对校准的传感器麻烦、昂贵且常常是不可靠的。
根据以下的具体说明,其中将简单地通过示意的方式显示和描述本发明的示例实施例,本发明的其它方面和优点对本专业的技术人员而言将是显而易见的。要认识到,本发明可以包括其它不同的实施例,它的一些细节可以在各个方面进行修改,这些都不背离本发明。相应地,附图和描述只是示意性的说明本发明,而不是限制本发明。
附图说明
将参考以下附图,其中具有相同参考符号的组件表示类似的组件,并且其中:
图1是根据本发明构造的气流分配系统的示意图,示出了气流分配系统连接在气体计量盒和单个工艺室的喷头间;
图2是用于分配图1系统的气流的方法的流程图;和
图3是示出为连接在气体计量盒和两个工艺室间的图1的气流分配系统的示意图。
具体实施方式
参考图1和图2,本发明提供了气流分配系统10和方法12,用于将单一气流(也称质量流量)分成所需比例的两个或更多气流。系统10和方法12特别设计用于与气体计量系统配合使用,用于向半导体工艺室输送无污染的、精确计量的工艺气体。本发明的系统10和方法12结合原位工艺(晶片一致性)监测,用以在需要的情况下即时调整流量比例,以实时校正半导体晶片的不一致。
图1是气流分配系统10的示例性实施例的示意图,示出了气流分配系统10连接在气体计量盒110的示例实施例和单个工艺室106的喷头107的示例实施例间,工艺室106示为具有待处理的半导体晶片200。气体计量盒110例如从气体供应装置(如储气罐)104a、104b、104c、104d(虽然示出了四个罐,然而系统可以按需要包括多于或少于四个罐)接收多种气体,包括例如工艺气体和净化气体,然后混合并精确计量这些气体,将其送到气流分配系统10。
气箱110具有多个气棒112a、112b、112c、112d(虽然示出了四个棒,然而气箱可以包括多于或少于四个棒)。每个棒包括例如质量流量控制器(MFC)114、位于MFC前的阀116以及位于MFC后的阀118。气棒112a、112b、112c、112d分别与气体源104a、104b、104c、104d相连并提供可控的气体通道,使得可以将无污染、精确计量的气体或多种气体的混合气从气箱110提供到气流分配系统10。尽管未在图中显示,然而棒112a、112b、112c、112d也可每个分别配置有用于监测或控制气体的其它部件,诸如过滤器、净化器以及压力转换器和控制器。棒112a、112b、112c、112d在例如出口总管128处连接在一起,使得在离开气箱之前来自每个棒的气流按期望混合在一起。
真空泵120通过闸式阀122与工艺室106相连。在操作期间,真空泵120从气体源104a、104b、104c、104d抽取气体,通过气箱110以及气流分配系统10送入工艺室106中,使得气体可以用于处理半导体晶片200。在工艺室106中进行的工艺可以包括但不仅限制于化学气相淀积、等离子淀积、等离子刻蚀以及溅射。这些工艺可以使得材料层淀积在晶片200的上表面或从晶片200的上表面去除,或者可以使得晶片200上表面的特性(如气孔率)发生改变。可以监测晶片200的这些变化用以确定由气体产生的所需的工艺或多种工艺的进度。
仍然参考图1,本发明的气流分配系统10包括:入口管道或总管13,用于从气箱110的出口总管128接收单一气流;以及第一和第二流管线14a、14b,与入口13相连。每个管路14a、14b配置有:质量流量计量器18a、18b,用于测量通过管路的质量流量并提供测量流量的信号指示;阀20a、20b,用于基于所需流量比的信号指示控制通过管路的流量。比例系统10还具有:输入装置22,用于接收所需的流量比(直接来自操作人员,或者间接通过晶片处理微机控制器获得);以及控制器24,与流量计量器18a和18b、阀20a和20b以及输入装置22相连。在这里,流量比被定义为通过第二管路14b的流量Q2除以通过第一管路14a的流量Q1
要指出的是,虽然图1中所示的气体分配系统10的示例实施例只包括两条流管线14a、14b,但根据本发明构建的气体分配系统可以配置有三个或更多的流管线。
气流分配系统10还包括原位工艺监视器100,用于提供对由每条流管线14a、14b产生的产品的测量。例如,原位工艺监视器100可以提供对淀积在晶片200的上表面或从晶片200上去除的膜层厚度的测量。或者,原位工艺监视器100例如可以提供对晶片200的上表面特性(如气孔率)的测量。由原位工艺监视器100提供的测量值被用于确定工艺室106中晶片200上由气体产生的所需的一种或多种工艺的进度。
原位工艺监视器采用先进的薄膜测量方法,诸如椭率测量术、发光摄谱仪(OES)以及干涉测量法,用以确定诸如淀积膜厚等半导体晶片的特性。根据一个示例实施例,用作本发明系统10的部件的原位工艺监视器100包括差动传感器,通过监测来自光源的发射光和反射光的比例获得测量值。
原位工艺监视器在如美国专利NO.5,387,307(Bobel等)、美国专利NO.6,113,733(Eriguchi等)、美国专利NO.6,117,348(Peng等)、美国专利NO.6,278,809(Johnson等)、美国专利NO.6,563,578(Halliyal等)以及美国专利NO.6,633,391(Oluseyi等)中示出,以上的文献都在此参考并入。目前可用的原位工艺监视器例如来自Jobin Yvon,Inc.of Edison,NJ(www.jobinyvon.com)、SVT Associates,Inc.of Eden Prairie,MN(www.svta.com)、Micro Photonics Inc.ofAllentown,PA(www.microphotonics.com)、LuxtronCorporation ofSanta Clara,CA(www.luxtron.com)以及的4Wave,Inc.of Sterling,VA(www.4waveinc.com)。
在图1的示例实施例中,系统10的流管线14a、14b都流向工艺室106的喷头107。通过第二管路14b的流量Q2因此作用于晶片200的外部或外区,而通过第一管路14a的流量Q1作用于晶片200的内部或内区。因此,晶片200的内区对应于第一流管线14a,而晶片200的外区对应于第二流管线14b。
在图1的示例实施例中,原位工艺监视器100提供来自晶片200的内区的至少一个测量值M1,用以指示通过第一流管线14a的气流的处理结果。原位工艺监视器100同时提供来自晶片200的外区的至少一个测量值M2,用以指示通过第二流管线14b的气流的处理结果。
现在参考图2,控制器24被程序化用于:接收通过输入装置22的所需流量比,如30所示;接收来自流量指示器18a、18b的测量流量的信号指示,如32所示;基于测量的流量计算通过流管线14a、14b的实际流量比,如34所示;以及将实际的流量比与校正的流量比比较,如36所示。控制器24同时被程序化用于:如果实际的比例不等于校正的比例,计算通过流管线14a、14b中至少一个所需的流量,如38所示;以及向阀20a、20b中至少一个提供所需流量的调整的信号指示,如40所示。因此,控制器24调整通过流管线14a、14b中至少一个的流量,直到通过管路的实际流量比等于校正的流量比时为止。
控制器24还被程序化用于:接收来自原位工艺监视器100的测量值M1和M2,如图2的50所示;以及比较测量值M1和M2,如52所示。如果测量值M1和M2是相等的,这表明流量Q1和Q2在晶片200的内区和外区产生相等的处理结果,然后控制器24被程序化用于计算等于所需的流量比的校正的流量比,如54所示。换句话说,因为流量Q1和Q2没有产生不等的处理结果(如在晶片200的外区和内区的膜厚不等)且系统10正按要求运行,所以不需要进行校正。
如果测量值M1和M2是不相等的,这表明流量Q1和Q2在晶片200的内区和外区没有产生相等的处理结果,然后控制器24被程序化用于计算校正的流量比,如56所示。首先,控制器24计算工艺一致性偏差εm=κm/2[(M1-M2)/(M1+M2)],其中κm是任意的正标量常数,然后基于所需的流量比和工艺一致性偏差εm计算校正的流量比。根据一个示例实施例,校正的流量比等于所需的流量比乘以工艺一致性偏差。或者,校正的流量比可以用f(εm)计算,其中是f基于所用的实际的物理系统采用基于模型方法确定的函数。
在一个示例实施例中,控制器24被程序化用于:向第一管路14a的阀20a提供指示第一所需流量的“初始”信号;如果实际的流量比不等于所需的流量比,计算第二所需流量;以及向第二管路14b的阀20b提供指示第二所需流量的“调整”信号。调整信号Vc2由以下方程计算:
         Vc2=K(α-αsp)+K∫(α-αsp)dt
其中,Vc2是从控制器24到第二阀20b的命令;K是用于比例控制的比例增益;K是用于比例控制的积分增益;α是测量的流量比;αsp是比例设定点或所需的流量比。这样,第一管路14a的阀20a起固定孔的作用,而第二管路14b的阀20b起可变控制阀的作用。这个特征使得系统10独立于通过系统控制的气体类型,因为不同气体的流量测量值的偏差对流量计量器18a、18b是相同的。优选地,控制器24被程序化用于使第一管路14a的阀20a完全打开,使得系统10中总的压力下降最小。
用于本发明的比例系统10的适合的质量流量计量器18a、18b的实例是来自本发明受让人MSK Instruments of Andover MA(麻省Andover的MKS仪器公司)(http://www.mksinst.com)的热基Mass-Flo牌控制器。适合的阀20a、20b也来自本受让人。阀20a、20b是非线性的且具有窄的可控范围。然而,热流量计量器18a、18b是确定由系统10提供的控制范围的限制因子,因为流量计量器在最大探测范围以下5%通常是不可靠的(如2,000sccm热流量计量器在100sccm以下是不可靠的)。
尽管未显示,然而质量流量比例系统10可以配置有超过两条的流管线14,且每条流管线具有与控制器24相连的阀20和流量计量器18。此外,要意识到,质量流量控制器可以用作每条管路的质量流量计量器和阀。尽管未显示,要意识到,公开的比例系统10可以作为气箱和工艺室间快速和易于装配的模块单元。如此,截流阀或适合的连接器150可以被配置在比例系统10的入口总管和气箱110的出口总管128间,如图1所示。
根据本发明的用于分配气流的系统和方法的实施例可以进一步包括用于系统10的入口13和/或出口的压力传感器。由压力传感器提供的入口压力和/或出口压力测量值不仅被控制器24用于控制流量的比例α,同时也用于控制入口压力和/或出口压力。
增加压力控制特性具有多种附加的好处,其中包括提高系统10的性能以及减小对系统10的上游或下游设备的扰动。通过以最大允许压力操作系统10,比例控制系统中对安全因素的需要可以被去除或减小。此外,通过控制阀20a、20b上的压力下降可以提高阀的性能且使其安装、匹配以及调整变得更简单。因此,本发明意在包括具有任意附加的压力控制特性的用于分配气流的系统和方法。例如,本发明意在包括气流分配系统10以及系统的入口和/或出口处的压力传感器。本发明还意在包括分配气流以及在入口和/或出口处测量压力的方法12。实际上,本发明意在包括用于权利要求所述的气流分配系统和方法的利用压力测量的任意控制方法。
以下的实例参考图1。假定在质量流量比系统10的入口13增加了压力传感器(未显示),控制器24被程序化为接受三个输入:通过第二管路14b的流量Q2;通过第一管路14a的流量Q1;以及由压力传感器提供的在入口13测量的压力Pin。控制器24被程序化为向第一和第二阀10a、20b动态地发出命令,而不是一次只控制一个阀。然而,就比例控制而言,“固定阀”基本上是打开的,而比例通过将另一个阀控制在其控制范围的10%到50%间来确定比例。采用附加的压力信号,固定阀被设定为用于控制入口压力,而另一阀被用于控制流量比。
入口压力控制的实例可以写成:
              Vc1=Kpp(Pin-Pt)+Kip∫(Pin-Pt)dt
              Vc2=K(α-αsp)+K∫((α-αsp)dt
其中Vc1是从控制器24到第一阀20a的命令;Vc2是到第二阀20b的命令;Kpp是用于压力控制的比例增益;Kip是用于压力控制的积分增益;K是用于比例控制的比例增益;K是用于比例控制的积分增益;α是测量的流量比;αsp是比例设定点或所需的流量比;Pin是测量的入口压力,Pt是工作压力门限(或所需的压力)。
尽管控制系统和方法被描述为比例与积分(PI)型控制系统和方法,应该理解的是,也可以采用其它类型的控制系统和方法,诸如比例型、积分型、比例与微分(PD)型以及比例与积分与微分(PID)型的控制系统和方法。
在图3中,系统10包括分别与每条流管线14a、14b相连的单独的工艺室106、108,每个工艺室106、108包括至少一个原位工艺监视器100,用于提供对每个工艺室中半导体晶片200的测量。
本发明的其它方面和优点是,系统10提供了对半导体晶片处理不一致的实时校正。系统10可以在各工艺室106、108间或单个工艺室106的各部分间分配单一工艺气体流,且结合了原位工艺(晶片一致性)检测,用以在需要的情况下即时调整由气流分配系统10产生的流量比例,以实时校正半导体晶片的不一致。因为原位工艺监视器100包括差动传感器,因此,原位工艺监视器只需要相对较准,而避免了对绝对较准的需要,绝对较准麻烦、昂贵且常常是不可靠的。
本说明书中的示例实施例通过示意而非限制的方式进行了描述。本领域的技术人员可以在不背离本发明的较宽泛方面的精神和范围,以及不背离所附权利要求书所限定的精神和范围的情况下,进行各种修改、组合和替代。

Claims (31)

1、一种用于将单一流分成两个或更多所需比例的次级气流的系统,包括:
入口,用于接收所述单一流;
至少两个次级流管线,与所述入口相连;
输入设备,用于接收至少一个所需流量比;
至少一个原位工艺监视器,用于提供对由所述每条流管线产生的产品的测量;以及
控制器,与所述输入设备和所述原位工艺监视器相连,并被程序化用于:
接收通过所述输入装置的所需的流量比;
接收来自所述工艺监视器的产品测量值;
基于所述的所需流量比和所述的产品测量值计算校正的流量比。
2、根据权利要求1所述的系统,进一步包括与每条流管线相连的单独的工艺室。
3、根据权利要求2所述的系统,其中,每个工艺室包括至少一个所述原位工艺监视器,用于提供对每个工艺室中的半导体晶片的测量。
4、根据权利要求3所述的系统,其中,由所述原位工艺监视器提供的测量值包括每个晶片的膜厚测量值。
5、根据权利要求1所述的系统,进一步包括与所有所述流管线相连的单个工艺室,且位于所述工艺室中的半导体晶片被划分为相应于所述流管线的多个区域。
6、根据权利要求5所述的系统,其中,所述流管线与所述工艺室的喷头相连。
7、根据权利要求5所述的系统,其中,所述工艺室包括至少一个所述原位工艺监视器,用于提供对在所述工艺室中的所述半导体晶片的每个所述区域的测量。
8、根据权利要求7所述的系统,其中,由所述原位工艺监视器提供的所述测量值包括每个区域的膜厚测量值。
9、根据权利要求1所述的系统,其中,所述系统包括两个流管线,所述原位工艺监视器提供两个所述测量值M1和M2,且所述控制器被程序化以计算工艺一致性偏差εm=κm/2[(M1-M2)/(M1+M2)],其中κm是任意的正标量常数,然后基于所述所需的流量比和所述工艺一致性偏差εm计算所述校正的流量比。
10、根据权利要求1所述的系统,其中,所述校正的流量比等于所需的流量比乘以所述工艺一致性偏差εm
11、根据权利要求1所述的系统,其中,所述的原位工艺监视器是差动传感器。
12、根据权利要求11所述的系统,其中,所述的原位工艺监视器通过监测来自光源的发射光和反射光的比例获得测量值。
13、根据权利要求1所述的系统,其中,每条流管线包括:流量计,用于测量通过所述流管线的流量;以及阀,用于控制通过所述流管线的流量;其中,所述控制器接收来自所述流量计测得的流量,基于所述测得的流量计算通过所述流管线的实际比例,比较所述的实际比例与所述的校正流量比,如果所述实际比例不等于补偿的所需的比例,计算通过至少一个所述流管线的所需流量,并向至少一个所述阀提供所述所需流量。
14、根据权利要求13所述的系统,其中,所述所需流量基本上等于Kp(α-αsp)+Ki∫(α-αsp)dt,其中,Kp是比例增益;Ki是积分增益;α是所述实际流量比;αsp是所述校正流量比。
15、根据权利要求13所述的系统,进一步包括用于测量所述入口中的压力的压力传感器。
16、根据权利要求15所述的系统,其中,所述控制器被程序化以向所述第一流管线的所述阀提供所需流量的信号指示,所需流量基本上等于K(α-αsp)+K∫(α-αsp)dt,其中,K是用于比例控制的比例增益;K是用于比例控制的积分增益;α是所述实际流量比;αsp是所述校正流量比。
17、根据权利要求16所述的系统,其中,所述控制器被程序化以向所述第二流管线的所述阀提供所需流量的信号指示,所需流量基本上等于Kpp(Pin-Pt)+Kip∫(Pin-Pt)dt,其中,Kpp是用于压力控制的比例增益;Kip是用于压力控制的积分增益;Pin是测得的入口压力;Pt是工作压力门限。
18、一种用于将单一流分成两个或更多所需比例的次级气流的方法,包括:
将单一流分配到至少两条流管线;
测量通过每条流管线的流量;
接收至少一个所需的流量比;
原位测量由每条所述流管线产生的产品;
基于所需的流量比和所述的产品测量值计算校正的流量比;
基于所述测得的流量计算通过所述流管线的实际的质量流量比;
如果所述的实际比例不等于所述的校正比例,计算通过至少一条所述流管线的所需流量;以及
将所述流管线调整到所述的所需流量。
19、根据权利要求18所述的方法,其中:
所述的单一质量流量被分配到第一和第二流管线;
将所述第一流管线调整到第一所需流量;
如果所述的实际比例不等于所述的校正比例,利用所述的所需比例和所述的第一所需流量计算第二所需流量;以及
将所述第二流管线调整到所述第二所需流量。
20、根据权利要求19所述的方法,其中,所述第一所需流量使得所述第一管路完全打开。
21、根据权利要求18所述的方法,其中,所述所需流量基本上等于Kp(α-αsp)+Ki∫(α-αsp)dt,其中,Kp是比例增益;Ki是积分增益;α是所述实际流量比;αsp是所述校正流量比。
22、根据权利要求18所述的方法,进一步包括测量所述入口内的压力。
23、根据权利要求22所述的方法,其中,所述流管线中的一个的所述所需流量基本上等于K(α-αsp)+K∫(α-αsp)dt,其中,K是用于比例控制的比例增益;K是用于比例控制的积分增益;α是所述实际流量比;αsp是所述校正流量比。
24、根据权利要求22所述的方法,其中,所述流管线中的一个的所述所需流量基本上等于Kpp(Pin-Pt)+Kip∫(Pin-Pt)dt,其中,Kpp是用于压力控制的比例增益;Kip是用于压力控制的积分增益;Pin是所述测得的入口压力;Pt是工作压力门限。
25、根据权利要求18所述的方法,进一步包括将每条流管线与单独的工艺室连接。
26、根据权利要求18所述的方法,进一步包括将流管线单个工艺室与所有所述流管线连接。
27、根据权利要求26所述的方法,其中,所述流管线与所述工艺室的喷头相连。
28、根据权利要求18所述的方法,其中,所述的校正流量比基于所述所需流量比和工艺一致性偏差εm=κm/2[(M1-M2)/(M1+M2)],其中κm是任意的正标量常数,M1和M2是由每条流管线产生的产品的原位测量值。
29、根据权利要求28所述的方法,其中,所述校正流量比等于所述所需流量比乘以所述工艺一致性偏差εm
30、根据权利要求18所述的方法,其中,产品的所述原位测量值包括差动测量值。
31、根据权利要求18所述的方法,其中,产品的所述原位测量值通过监测来自光源的发射光和反射光的比例获得。
CNA2005800102004A 2004-03-09 2005-02-01 半导体制造气流分配系统和方法 Pending CN1938661A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/796,693 US7072743B2 (en) 2004-03-09 2004-03-09 Semiconductor manufacturing gas flow divider system and method
US10/796,693 2004-03-09

Publications (1)

Publication Number Publication Date
CN1938661A true CN1938661A (zh) 2007-03-28

Family

ID=34919914

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800102004A Pending CN1938661A (zh) 2004-03-09 2005-02-01 半导体制造气流分配系统和方法

Country Status (7)

Country Link
US (1) US7072743B2 (zh)
JP (2) JP5300261B2 (zh)
KR (1) KR101113776B1 (zh)
CN (1) CN1938661A (zh)
DE (1) DE112005000485T5 (zh)
GB (1) GB2428823B (zh)
WO (1) WO2005094404A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102053617A (zh) * 2009-10-28 2011-05-11 北京北方微电子基地设备工艺研究中心有限责任公司 流量比例控制器在线校准方法、系统及等离子体处理设备
CN101164029B (zh) * 2005-04-21 2011-06-22 Mks仪器公司 包括反对称最优控制型流量比率控制器的输气方法和系统
CN104737086B (zh) * 2012-11-02 2017-06-30 株式会社富士金 集成型气体供给装置
CN110804730A (zh) * 2018-08-06 2020-02-18 Asm Ip控股有限公司 多端口气体喷射系统及包括其的反应器系统
CN112626494A (zh) * 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 气体注入系统和包括其的反应器系统

Families Citing this family (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US9405298B2 (en) * 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7706925B2 (en) * 2007-01-10 2010-04-27 Mks Instruments, Inc. Integrated pressure and flow ratio control system
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US20100084023A1 (en) * 2008-10-07 2010-04-08 Chris Melcer Flow control module for a fluid delivery system
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101386552B1 (ko) * 2009-08-20 2014-04-17 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법
US9127361B2 (en) * 2009-12-07 2015-09-08 Mks Instruments, Inc. Methods of and apparatus for controlling pressure in multiple zones of a process tool
WO2011085064A2 (en) * 2010-01-08 2011-07-14 Applied Materials, Inc. N-channel flow ratio controller calibration
JP5562712B2 (ja) * 2010-04-30 2014-07-30 東京エレクトロン株式会社 半導体製造装置用のガス供給装置
CN103003924B (zh) * 2010-06-28 2015-07-08 东京毅力科创株式会社 等离子体处理装置及方法
JP5696931B2 (ja) * 2010-08-06 2015-04-08 日立金属株式会社 分流制御装置
JP5528374B2 (ja) * 2011-03-03 2014-06-25 東京エレクトロン株式会社 ガス減圧供給装置、これを備えるシリンダキャビネット、バルブボックス、及び基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5739261B2 (ja) * 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US9004107B2 (en) * 2012-08-21 2015-04-14 Applied Materials, Inc. Methods and apparatus for enhanced gas flow rate control
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102064552B1 (ko) 2013-03-26 2020-01-10 삼성전자주식회사 기판 처리 장치
US9632516B2 (en) * 2013-12-19 2017-04-25 Tawan Semiconductor Manufacturing Co., Ltd Gas-supply system and method
US10161060B2 (en) 2013-12-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gas-supply system and method
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9724663B2 (en) 2014-08-05 2017-08-08 Board Of Regents, The University Of Texas System Systems and methods of continuously producing encapsulated liquid water
US20160041089A1 (en) * 2014-08-08 2016-02-11 Minna Hovinen Systems and methods utilizing long wavelength electromagnetic radiation for feature definition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6417999B2 (ja) * 2015-02-19 2018-11-07 東京エレクトロン株式会社 処理液供給装置、処理液供給方法及び記憶媒体
KR101652469B1 (ko) * 2015-02-27 2016-08-30 주식회사 유진테크 다중 가스 제공 방법 및 다중 가스 제공 장치
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111276421A (zh) * 2018-12-05 2020-06-12 北京七星华创流量计有限公司 流量分配装置、进气系统及反应腔室
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP7296854B2 (ja) * 2019-11-07 2023-06-23 東京エレクトロン株式会社 ガス供給方法及び基板処理装置
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US11486927B2 (en) * 2020-04-02 2022-11-01 Applied Materials, Inc. Bode fingerprinting for characterizations and failure detections in processing chamber
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11940307B2 (en) * 2021-06-08 2024-03-26 Mks Instruments, Inc. Methods and apparatus for pressure based mass flow ratio control
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113944876B (zh) * 2021-10-31 2023-05-05 东风商用车有限公司 一种多气瓶燃气供气系统
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4369031A (en) 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US5040470A (en) * 1988-03-25 1991-08-20 Shell Western E&P Inc. Steam generating system with NOx reduction
JPH02229788A (ja) * 1989-02-28 1990-09-12 Sumitomo Metal Ind Ltd 気相成長装置
JPH03281780A (ja) * 1990-03-30 1991-12-12 Hitachi Ltd Cvd装置
DE4017440C2 (de) 1990-05-30 1994-02-10 Fraunhofer Ges Forschung Verfahren zur Messung der Schichtdicke und des Brechungsindex einer dünnen Schicht auf einem Substrat und Vorrichtung zur Durchführung des Verfahrens
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5289678A (en) * 1992-11-25 1994-03-01 Ford Motor Company Apparatus and method of on-board catalytic converter efficiency monitoring
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
JPH08203694A (ja) * 1995-01-30 1996-08-09 Hitachi Ltd プラズマ処理装置
JP3624476B2 (ja) * 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
KR100201386B1 (ko) * 1995-10-28 1999-06-15 구본준 화학기상증착장비의 반응가스 분사장치
US5772771A (en) 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6113733A (en) 1996-11-08 2000-09-05 Matsushita Electric Industrial Co., Ltd. Apparatus and method for optical evaluation, apparatus and method for manufacturing semiconductor device, method of controlling apparatus for manufacturing semiconductor device, and semiconductor device
US6278809B1 (en) 1997-05-30 2001-08-21 Ion Optics, Inc. Fiber optic reflectance apparatus for in situ characterization of thin films
US6117348A (en) 1998-06-03 2000-09-12 Taiwan Semiconductor Manufacturing Company, Ltd Real time monitoring of plasma etching process
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
EP1125314A1 (en) * 1998-07-10 2001-08-22 Applied Materials, Inc. Improved endpoint detection for substrate fabrication processes
JP3787444B2 (ja) * 1998-10-28 2006-06-21 キヤノン株式会社 半導体薄膜の形成方法および装置
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6633391B1 (en) 2000-11-07 2003-10-14 Applied Materials, Inc Monitoring of film characteristics during plasma-based semi-conductor processing using optical emission spectroscopy
US6563578B2 (en) 2001-04-02 2003-05-13 Advanced Micro Devices, Inc. In-situ thickness measurement for use in semiconductor processing
US6418954B1 (en) 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6766260B2 (en) * 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
US6829456B2 (en) * 2002-05-10 2004-12-07 Hewlett-Packard Development Company, L.P. Printer calibration system and method
JP3856730B2 (ja) * 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101164029B (zh) * 2005-04-21 2011-06-22 Mks仪器公司 包括反对称最优控制型流量比率控制器的输气方法和系统
CN102053617A (zh) * 2009-10-28 2011-05-11 北京北方微电子基地设备工艺研究中心有限责任公司 流量比例控制器在线校准方法、系统及等离子体处理设备
CN102053617B (zh) * 2009-10-28 2013-11-13 北京北方微电子基地设备工艺研究中心有限责任公司 流量比例控制器在线校准方法、系统及等离子体处理设备
CN104737086B (zh) * 2012-11-02 2017-06-30 株式会社富士金 集成型气体供给装置
CN110804730A (zh) * 2018-08-06 2020-02-18 Asm Ip控股有限公司 多端口气体喷射系统及包括其的反应器系统
CN112626494A (zh) * 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 气体注入系统和包括其的反应器系统

Also Published As

Publication number Publication date
US20050199342A1 (en) 2005-09-15
US7072743B2 (en) 2006-07-04
JP2012169651A (ja) 2012-09-06
JP5300261B2 (ja) 2013-09-25
JP2007528603A (ja) 2007-10-11
DE112005000485T5 (de) 2007-01-25
GB2428823A (en) 2007-02-07
KR20070011342A (ko) 2007-01-24
GB2428823B (en) 2008-08-06
WO2005094404A3 (en) 2006-09-21
GB0618943D0 (en) 2006-11-08
WO2005094404A2 (en) 2005-10-13
KR101113776B1 (ko) 2012-02-27

Similar Documents

Publication Publication Date Title
CN1938661A (zh) 半导体制造气流分配系统和方法
KR100944962B1 (ko) 질량유량분할 시스템과 방법
JP5613752B2 (ja) マルチ反対称最適制御性能構成を使用する流量比制御装置を含むガス送出方法及びシステム
US11053591B2 (en) Multi-port gas injection system and reactor system including same
US6418954B1 (en) System and method for dividing flow
US6210482B1 (en) Apparatus for feeding gases for use in semiconductor manufacturing
US20040261492A1 (en) System and method for in-situ flow verification and calibration
TWI451220B (zh) 控制壓力與混合比例的方法與設備
CN101962757B (zh) 在基材上形成薄膜的方法和设备
TW201323651A (zh) 用於在多個化學氣相沉積反應器之間平衡氣流的方法
TWI636218B (zh) 流量分配網路及平衡流動的方法
EP1422747A1 (en) APPARATUS AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICE, AND METHOD FOR CLEANING SEMICONDUCTOR PRODUCING APPARATUS
JP2005501428A (ja) 内圧制御システム及び方法を有する大気圧ウェーハ処理反応器
TW202124920A (zh) 低溫熱流量比控制器
JP6477381B2 (ja) エピタキシャルウェーハの成膜条件決定方法、ならびにエピタキシャルウェーハの製造方法および製造装置
JP3070728B2 (ja) 薄膜気相成長装置
CN103591458B (zh) 气体分配网络中的流量平衡
WO2002033361A2 (en) Apparatus and method for maintaining a constant pressure drop across a gas metering unit
Nagarkatti et al. 104aft. 14-re na 104b.--it-112b
KR20240017819A (ko) 압력-기반 질량 유량비 제어 방법 및 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication