CN112626494A - 气体注入系统和包括其的反应器系统 - Google Patents

气体注入系统和包括其的反应器系统 Download PDF

Info

Publication number
CN112626494A
CN112626494A CN202011071411.1A CN202011071411A CN112626494A CN 112626494 A CN112626494 A CN 112626494A CN 202011071411 A CN202011071411 A CN 202011071411A CN 112626494 A CN112626494 A CN 112626494A
Authority
CN
China
Prior art keywords
gas
injection system
precursor
gas injection
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011071411.1A
Other languages
English (en)
Inventor
T.H.阿科斯塔
A.德莫斯
P.韦斯特罗姆
C.米斯金
A.卡伊巴夫瓦拉
A.莫巴莱格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN112626494A publication Critical patent/CN112626494A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J4/00Feed or outlet devices; Feed or outlet control devices
    • B01J4/008Feed or outlet control devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)

Abstract

公开了一种气体注入系统、包括所述气体注入系统的反应器系统以及使用所述气体注入系统和反应器系统的方法。气体注入系统可用于气相反应器系统中,以独立地监测和控制联接到反应室的气体注入系统的多个通道中的气体流速。

Description

气体注入系统和包括其的反应器系统
技术领域
本公开大体上涉及气相反应器和系统。更具体地,本发明涉及用于将气体引入反应室的气体注入系统、包括气体注入系统的反应器和反应器系统,以及其使用方法。
背景技术
气相反应器,例如化学气相沉积(CVD)、等离子体增强CVD(PECVD)和原子层沉积(ALD)反应器,可用于多种应用,包括在衬底表面上沉积和蚀刻材料和/或清洁衬底表面。例如,气相反应器可用于在衬底上沉积并且/或者蚀刻各层,以形成半导体装置、平板显示装置、光伏装置、微电子机械系统(MEMS)等。
典型的气相反应器系统包括反应器,该反应器包括反应室、流体联接到反应室的一个或多个前体和/或反应物气体源、流体联接到反应室的一个或多个载气源和/或吹扫气体源、递送气体(例如,一种或多种前体/反应物气体和/或一种或多种载气/吹扫气体)到反应室的气体注入系统,以及流体联接到反应室的排气源。
通常,期望的是整个衬底表面具有均匀的膜特性(例如,膜厚度和膜组成)和/或能够控制膜特性的任何所需的变化。随着衬底表面上形成的功能部件的尺寸减小,控制膜特性(例如膜厚度、组成和电阻率)变得越来越重要。此外,可能需要的是独立地调整膜特性;例如,独立地调整使用气相反应器沉积的层(例如使用此类反应器生长的外延层)中的膜厚度均匀性和/或组成。因此,需要气体注入系统、包括气体注入系统的反应器系统以及使用气体注入和反应器系统的方法,其允许对产生期望膜特性的参数进行期望的控制和操作。
这一部分中阐述的任何讨论,包括对问题和解决方案的讨论,仅出于为本公开提供上下文的目的而包括在本公开中,且不应视为承认任何或所有这些讨论在完成本发明时是已知的或以其它方式构成现有技术。
发明内容
提供此概述是为了以简化的形式引入一系列概念。下文在本公开的示例实施例的详细描述中更详细地描述这些概念。本概述并非打算必定标识所要求保护的主题的关键特征或必要特征,也并非打算用于限制所要求保护的主题的范围。
本公开的各种实施例涉及气体注入系统、包括气体注入系统的反应器系统,以及使用气体注入系统和反应器系统的方法。尽管本公开的各种实施例解决现有气体注入系统和反应器系统的缺点的方式在下文更详细地讨论,但是大体上本公开的各种实施例提供了气体注入系统,其可以提供对整个衬底表面的膜厚度和/或膜组成的改进的控制。如下文更详细地阐述,本公开的实例可特别适用于在衬底的表面上形成掺杂外延层。示例性系统和方法可允许微调到反应室和/或衬底表面的前体和掺杂剂流速,以允许形成具有期望厚度和/或组成均匀性和/或变化的膜。例如,在一些情况下,可能希望的是形成具有期望组成变化的膜,而不是在衬底表面上形成具有均匀组成的膜。
根据本公开的示例性实施例,一种气体注入系统包括:第一气体歧管,所述第一气体歧管包括第一气体入口和多个第一气体出口;第二气体歧管,所述第二气体歧管包括第二气体入口和多个第二气体出口;多个第一气体阀,其中所述多个第一气体出口中的每一个联接到所述多个第一气体阀中的至少一个;以及多个第二气体阀,其中所述多个第二气体出口中的每一个联接到所述多个第二气体阀中的至少一个。所述第一气体入口可接收包含第一前体和掺杂剂的第一气体。所述第二气体入口可接收包含所述第一前体或第二前体和蚀刻剂的第二气体。根据本公开的一些实例,所述第二气体包含所述第一前体。所述第一前体的化学式和所述第二前体的化学式可包括一个或多个或所有相同的元素。所述气体注入系统还可以包括联接到第一前体源和所述第一气体入口的第一流量控制器,以及联接到所述第一前体源和所述第二气体入口的第二流量控制器。
根据本公开的附加实施例,一种气体注入系统包括:第一气体歧管,所述第一气体歧管包括第一气体入口和多个第一气体出口;第二气体歧管,所述第二气体歧管包括第二气体入口和多个第二气体出口;多个第一气体阀,其中所述多个第一气体出口中的每一个联接到所述多个第一气体阀中的至少一个;以及多个第二气体阀,其中所述多个第二气体出口中的每一个联接到所述多个第二气体阀中的至少一个;其中,所述第一气体入口接收包括蚀刻剂和掺杂剂的第一气体。所述第二气体入口可接收包含前体的第二气体。所述第一气体和/或第二气体可包含载气。所述气体注入系统可以包括流量控制器,以控制到所述第一气体入口和/或第二气体入口的载气的流速。
根据本公开的另外的示例性实施例,气相反应器系统包括如本文所述的一个或多个气体注入系统。示例性系统还可以包括联接到反应室的排气(例如真空)源、流体联接到一个或多个第一气体通道的第一气体源以及流体联接到一个或多个第二气体通道的第二气体源。示例性系统还可以包括额外的气体源和/或排气源。
根据本公开的又一示例性实施例,提供了一种方法。示例性方法包括使用如本文所述的气体注入系统和/或反应器系统将材料沉积在衬底的表面上。示例性方法可以包括自动地调整联接到所述一个或多个第一气体出口的一个或多个阀和/或自动地调整联接到所述一个或多个第二气体出口的一个或多个阀。示例性方法还可包括以下步骤:提供来自第一气体源的第一气体和来自第二气体源的第二气体的一个或多个的非对称设置,从而例如调整(例如独立地)膜特性,例如整个衬底的表面(包括衬底的边缘区域)的膜厚度、膜厚度均匀性和膜组成等。根据一些实例,一种方法包括以约60到约30、或约30到约15或约15到约5转/分钟的旋转速度旋转感受器的步骤。
通过下文参考附图对某些实施例的详细描述,这些及其他实施例将对于本领域技术人员将是显而易见的;本公开不限于所公开的任何特定实施例。
附图说明
当结合以下示意性附图考虑时,可通过参考详细描述和权利要求来得到对本公开的示例性实施例的更全面理解。
图1示出了根据本公开的至少一个示例性实施例的反应器系统。
图2示意性示出了根据本公开的至少一个示例性实施例的气体注入系统。
图3示出了根据本公开的至少一个示例性实施例的凸缘的横截面图。
图4示意性示出了根据本公开的至少一个示例性实施例的反应器系统的一部分。
图5A和5B示出了描绘根据本公开的至少一个示例性实施例沉积在衬底上的硅锗层的特性的图表。
图6示出了描绘根据本公开的至少一个示例性实施例沉积在衬底上的另一个硅锗层的特性的图表。
应了解,图中的元件仅为了简单和清晰而说明,并且不一定按比例绘制。举例来说,图中的元件中一些的尺寸可相对于其它元件放大,以帮助增进对本公开的所说明实施例的理解。
具体实施方式
在下文提供的对例示性实施例的描述仅为例示性的,并且意欲仅用于说明的目的;以下描述并不意欲限制本公开或权利要求的范围。此外,具有所陈述特征的多个实施例的叙述并不意图排除具有额外特征的其它实施例或并入所陈述特征的不同组合的其它实施例。
本公开大体上涉及气体注入系统,反应器和包括气体注入系统的反应器系统,以及使用气体注入系统和反应器系统的方法。气体注入系统、反应器和包括如本文所述气体注入系统的反应器系统可用于处理衬底(例如半导体晶片)。作为实例,本文所述的系统可用于在衬底的表面上形成或生长外延层(例如,两个部件和/或掺杂半导体层)。示例性系统还可用于向衬底表面提供蚀刻化学方法。例如,示例性系统可提供沉积(例如,生长)过程期间的两种或更多种气体(例如,在本文中统称为混合物或简单地称作气体或第一气体或第二气体)的混合物。例如,第一气体可以包括第一前体和/或掺杂剂,并且第二气体可以包括第一前体和/或第二前体和/或蚀刻剂,或者第一气体可以包括蚀刻剂和/或掺杂剂,并且第二气体可以包括前体。蚀刻剂可用于促进所需膜沉积和/或促进相对于沉积覆盖第二材料/衬底的表面的膜选择性沉积覆盖第一材料/衬底的表面的膜。本文中描述的示例性气体注入系统、反应器系统和方法可尤其适用于形成具有相对高掺杂浓度(例如,大于约30%、约20%或约10%)的膜。
如下文更详细地阐述,如本文中所描述的示例性气体注入系统的使用是有利的,这是因为其允许独立计量和控制通过气体注入系统的各种通道并且继而又进入反应室的输入部位的气体(例如,气体混合物)。气体流量的独立控制又可允许独立地调整使用包括气体注入系统的反应器系统形成的膜的膜特性。例如,示例性气体注入系统可用于独立地调整例如在衬底上外延形成的层的掺杂浓度曲线和膜厚度(或厚度均匀性)。另外或者备选地,示例性气体注入系统可用于补偿气体流量变化、耗竭率变化、自动掺杂、由于衬底表面上的功能部件可能发生的掺杂剂曲线的变化或在反应器系统的反应室内可能发生的变化的组合。例如,在各种输入部位处的独立气体流量控制可用于补偿或减轻不期望的边缘效应(例如,减轻边缘下卷,即朝衬底的边缘层厚度增加速率的下降)和/或不希望的旋转衬底的效应,其否则可能导致一个或多个膜特性的不期望的非均匀性或其它特性。示例性气体注入系统可扩展到任何所需数目的通道,并且可与气体混合物一起使用,同时维持流速的所要精确度和控制(例如,独立于气体混合物的组成)。另外,本公开的示例性气体注入系统可用于相对高的气体流速(例如,通过每个通道的氮气大于五标准升每分钟),和/或可在需要时以相对高的(例如,接近大气)压力操作。本文中所描述的系统和方法的这些和其它特征可尤其用于在衬底上沉积高质量外延层。
如本文所使用,术语“前体”和/或“反应物”可指参与化学反应的或参与反应的气相物质源自于此的一种或多种气体/蒸汽。化学反应可以在气相中和/或气相与衬底表面和/或衬底表面上的物质之间发生。
如本文所使用,“衬底”可以指具有可在其上沉积材料的表面的任何材料。衬底可包括诸如硅(例如,单晶硅)的块状材料,或者可包括覆盖在块状材料上的一层或多层。另外,衬底可包括各种拓扑结构,如在衬底的层的至少一部分内或在衬底的层的至少一部分上形成的沟槽、通孔、线条等等。
如本文中所使用,术语“外延层”可指在底层大致单晶衬底或层上的大致单晶层。
如本文中所使用,术语“化学气相沉积”可以指其中衬底暴露于一种或多种气相前体的任何工艺,所述前体在衬底表面上反应和/或分解以产生所期望的沉积。
如本文所使用,术语“膜”和/或“层”可以指任何连续或不连续的结构和材料,如通过本文所公开的方法沉积的材料。举例来说,膜和/或层可包括二维材料、三维材料、纳米粒子或甚至部分或全部分子层,或部分或全部原子层,或原子和/或分子的簇。“膜”或“层”可以包含具有针孔的材料或层,其可以是至少部分连续的。
如本文所使用,术语结构可指如本文所述的衬底和/或包含覆盖衬底的一个或多个层的衬底,诸如根据如本文所述的方法形成的一个或多个层。
此外,在本公开中,变量的任何两个数字可构成变量的可工作范围,且所指示的任何范围可包括或排除端点。另外,所指示的任何变量值(不论它们是否用“约”指示)可以指精确值或近似值且包括等效值,且可指平均值、中值、代表值、多数值等。此外,在本公开中,在一些实施例中,术语“包括”、“由……构成”和“具有”独立地指“通常或广义上包含”、“包含”、“基本上由……组成”或“由……组成”。在本公开中,在一些实施例中,任何定义的含义不一定排除普通和惯用的含义。
现在转到附图,图1示出了示例性反应器系统100。反应器系统100可用于各种应用,例如,化学气相沉积(CVD)、等离子体增强CVD(PECVD)、原子层沉积(ALD)、清洁过程、蚀刻过程等。尽管下文结合外延反应器系统描述示例性实施例,但是除非另外说明,否则实施例和本公开不限于此。
在所说明的实例中,反应器系统100包括可选的衬底处理系统102、反应室104、气体注入系统106,以及任选地设置在反应室104与衬底处理系统102之间的壁108。系统100还可以包括第一气体源112、第二气体源114、排气源110和前体或衬底支架116。尽管用两个气体源112、114进行图示,但反应器系统100可以包括任何合适数量的气体源。此外,反应器系统100可以包括任何合适数量的反应室104,所述反应室可以各自联接到气体注入系统106。在反应器系统100包括多个反应室的情况下,每个气体注入系统可联接到相同气体源112、114或不同气体源。
气体源112、114可包括用于递送到反应室104的化合物或化合物的组合。例如,气体源112、114可包括一种或多种前体、一种或多种掺杂剂源、一种或多种蚀刻剂和气体混合物的各种组合,所述气体混合物包括一种或多种前体、掺杂剂源和/或蚀刻剂与一种或多种载气的混合物。
作为实例,第一气体源112可以包括蚀刻剂和掺杂剂源。第二气体源114可以包括前体。替代性地,第一气体源112可以包括蚀刻剂和掺杂剂源;第二气体源114可以包括前体。作为另一个实例,第一气体源112可包括第一前体、第二前体和/或蚀刻剂,并且第二气体源114可包括第一前体和/或蚀刻剂。第一气体源112和第二气体源114中包括的蚀刻剂可以是相同的化合物。在各种实施例中,第一气体源112和第二气体源114可具有共同的至少一种组分(例如,前体、蚀刻剂等)。
示例性蚀刻剂可包括卤化物,例如含氯气体。示例性含氯气体包括选自氯化氢、氯气等中的一种或多种气体。
示例性前体包括含硅前体,例如三氯硅烷、二氯硅烷、硅烷、乙硅烷、丙硅烷、四氯化硅等等,和/或含锗前体,例如锗烷(GeH4)、乙锗烷(Ge2H6)、三锗烷(Ge3H8)等。
示例性掺杂剂源包括气体,所述气体包括As(砷)、P(磷)、C(碳)、Ge(锗)和B(硼)中的一个或多个。作为实例,掺杂剂源可以包括锗烷、乙硼烷、膦、胂、三氯化磷。本文所述的气体注入系统、反应器系统和方法可特别用于形成p-型掺杂膜,例如包含硅、硅锗等的p-型掺杂膜。
载气可以是或可以包含一种或多种惰性气体和/或氢。示例性载气包括选自氢、氮、氩、氦等中的一种或多种气体。
当第一气体包含第一前体和掺杂剂源时,第一气体可以包含约30到约5或约15到约5体积百分比的第一前体和/或约15到约5或约10到约5体积百分比的掺杂剂源。第一气体源还可以包括约75到约95或约85到约90体积百分比的载气。
当第一气体包含蚀刻剂和掺杂剂源时,第一气体可以包含约25到约5、约20到约5或约15到约5体积百分比的蚀刻剂和/或约25到约5、约20到约5或约15到约5体积百分比的掺杂剂源。第一气体源还可以包括约60到约95、约70到约90或约75到约85体积百分比的载气。
当第二气体包含第一前体和/或第二前体和蚀刻剂时,第一气体可以包含约0到约20或约5到约15体积百分比的第一和/或第二前体和/或约0到约7或约2到约5体积百分比的蚀刻剂。第二气体源还可以包括约75到约95或约85到约95体积百分比的载气。
当第二气体包含前体时,第一气体可包含约5到约20或约5到约15体积百分比的前体。第二气体源还可以包括约75到约95或约85到约95体积百分比的载气。
反应器系统100可以包括任何合适数量的反应室104和衬底处理系统102。反应器系统100的反应室104可以是或可包括例如横流冷壁外延反应室。
感受器或衬底支架116可包括一个或多个加热器118以加热衬底120,例如,达到约500到约600、约600到约700或约700到约800摄氏度的温度。感受器或衬底支架116还可被配置成在处理期间旋转。根据本公开的实例,感受器或衬底支架116以约60到约30、约30到约15或约15到约5转/分钟的速度旋转。
在反应器系统100的操作期间,衬底120(例如半导体晶片)从例如衬底处理系统102转移到反应室104。一旦衬底120被转移到反应室104,就将来自第一气体源112和第二气体源114的一种或多种气体(例如前体、掺杂剂、载气、蚀刻剂和/或吹扫气体)通过气体注入系统106引入反应室104中。如下文更详细地阐述的,气体注入系统106可用于在衬底处理期间计量和控制来自第一气体源112和第二气体源114的一种或多种气体的流速,并且将此气体的期望流速提供到反应室104内的多个部位。
图2示意性地示出根据本公开的示例性实施例的适合用作气体注入系统106的气体注入系统200。气体注入系统200包括联接到第一气体源203的第一气体供应管路202,第一气体源可与气体源112相同或相似,以及联接到第二气体源205的第二气体供应管路204,第二气体源可与气体源114相同或相似。当提到气体注入系统200的气体管路和流体部件时,术语“联接”是指流体联接,并且除非另有说明,否则管路或部件不需要直接流体联接,而是气体注入系统200可包括其它的介入元件,如连接器、阀、仪表等。
气体注入系统200包括通过第一气体入口215联接到第一气体供应管路202的第一气体歧管206和通过第二气体入口217联接到第二气体供应管路204的第二气体歧管208。第一气体歧管206包括多个第一气体出口210-218。类似地,第二气体歧管208包括多个第二气体出口220-228。第一气体歧管206和第二气体歧管208被构造成从一个或多个气体管路(例如,第一气体管路202和第二气体管路204)接收气体并且将气体分配到分别部分地由第一气体出口210-218和第二气体出口220-228限定的一个或多个通道中。在所示实例中,来自第一气体源203和第二气体源205的第一气体流和第二气体流中的每一个划分到五个气体通道。尽管图示了各自五个第一气体出口208-218和第二气体出口220-228,但是根据本公开的气体注入系统可包括对应于用于相应气体的多个通道的任何合适数量的第一、第二和/或其它气体出口。例如,示例性系统可包括例如约1-10个通道或包括每种气体的5、6、7、9或更多个通道。如图所示,第一气体歧管206和/或第二气体歧管208可包括环构造以便于通过气体通道均匀流动分布。另外或备选地,第一气体歧管206和/或第二气体歧管208可以具有相对于气体管路202、204相对较大的直径,例如,第一气体歧管206和/或第二气体歧管208的直径可以大于管路202和/或管路204的直径的2、3、4或5倍。在所示实例中,第一气体通道和第二气体通道彼此交替相邻。然而,不一定是此情况。
如上所述,第一气体源203和/或第二气体源205可为两种或更多种气体的混合物。在这种情况下,可包括气体的混合物或不包括气体混合物的一种或多种气体通过流量控制器207-213从其它源(未示出)供应到第一气体源203和/或第二气体源205。当流量控制器207-213上游的源气体不是气体的混合物时,流量控制器207-209可适当地为质量流控制器。作为实例,流量控制器207-213中的一个或多个可以控制到第一气体源203和/或第二气体源205的载气的流速。流量控制器207-213可联接到气体源302-308,这在下文更详细地描述。
气体注入系统200还包括联接到第一和第二气体出口210-228的多个流量传感器230-248。在所说明的实例中,每个第一、第二气体出口210-228联接到单个流量传感器230-248。然而,在一些情况下,可能期望使一些气体出口不联接到流量传感器和/或使一些气体出口联接到超过一个流量传感器。
流量传感器230-248可用于监测气体混合物的流速,并且将每个通道的实时和/或历史流速信息提供给用户,例如,使用图形用户界面。另外或备选地,流量传感器230-248可联接到控制器(例如,控制器294),并联接到气体阀250-268,以提供通过气体阀250-268的气体的受控流量比。通过将至少一个流量传感器230-248放置在每个气体通道中,不管气体成分如何,都可测量和控制通过每个通道的气体的流量比(例如,相对流速)。示例性流量传感器230-248可以是或可包括各种流量传感器,例如,热质量流量传感器、基于压降的流量传感器等。
气体阀250-268可以允许控制通过一个或多个出气口210-228的气体流量(例如,可以单独控制通过气体出口210-228的每个流速,或者可以控制气体出口的组,例如联接到第一气体歧管206和第一气体源203或者联接到第二气体歧管208和第二气体源205的所有气体出口)。气体阀250-268可包括计量气体的流量的任何合适的装置。根据本公开的各种实施例,气体阀250-268各自包括比例阀,如电磁阀、气动阀或压电阀。可选择具有相对高(例如,0.021-0.14)流量系数(Cv)的阀以减少下游的堵塞。气体阀250-268可期望地在闭环控制下操作,但也能够(例如,另外)在开环控制下操作。
流量传感器230-248和气体阀250-268可初始地形成例如质量流量控制器(例如,现成质量流量控制器)的部分,其中阀的控制功能由控制器294替换。例如,流量计230和气体阀250可形成或为质量流量控制器270的一部分,所述质量流量控制器设定成以开环模式操作,且其中控制器294提供对阀250-268的闭环控制。流量传感器232-248和气体阀252-268可类似地形成或作为质量流量控制器272-288的一部分。此构造允许在标准反应器构造中实施和/或使用易于获得的质量流控制器和流量传感器和阀。
气体阀250-268可经由凸缘292联接到反应室290。附加管路(例如,管道)和合适的连接器可用于将气体阀250-268联接到凸缘292。示例性凸缘292包括凸缘气体通道,以维持通道直到相应的气体退出到反应室290中;一个示例性凸缘气体通道310示于图3中。凸缘气体通道可以包括膨胀区312、314和相应的出口316、318,所述出口终止于凸缘的相对侧处并且彼此相邻。例如,对应于第一气流的第一气体通道可以终止于凸缘292的第一侧296处,并且对应于第二气流的第二气体通道可以终止于凸缘292的第二侧298处。
气体注入系统200可任选地包括水分样本板。水分样本板可以包括例如一个或多个压力换能器、气动阀和/或限制器。示例性水分样本板在2018年6月4日提交并且名称为“GAS DISTRIBUTION SYSTEM AND REACTOR SYSTEM INCLUDING SAME”的美国申请序列号15/997,445中公开,其内容以引用方式并入本文中,只要这些内容不与本公开冲突。
反应室290可由例如石英形成。在衬底处理期间,反应室290内的示例性操作压力可在约0.5毫托到约780托的范围内。作为实例,压力可在约2毫托到约780托的范围内。根据本公开的示范性实施例,系统200可在每个通道内对此类压力范围提供期望的、稳定的、独立的流动控制。
控制器294可以被配置成执行如本文所描述的各种功能和/或步骤。控制器294可以包括一个或多个微处理器、存储器元件和/或开关以执行各种功能。尽管示出为单个单元,但控制器294可以替代地包含多个装置。作为实例,控制器294可用于控制多个气体通道中来自第一气体源203和/或第二气体源205的气体流量,所述气体通道可跨越在例如相应的第一或第二出气口之间,任选地通过凸缘292,并且任选地到反应室290。控制器294可被配置成使用例如相同硬件提供开环和/或闭环流动控制。具体地,控制器294可被配置成提供联接到相应源的每个通道中的(例如,来自第一气体源203或第二气体源205的)相应气体的总流量的期望比率。根据本公开的各种实例,控制器294包括比例-积分-微分(PID)控制器,其允许对本文中描述的各种可控制阀(包括气体阀250-268)进行独立闭环控制。利用PID闭环控制,系统200可动态地将一个或多个(例如,全部)气体通道中的流量调节到设定点,和/或当在气体源之间切换时和/或在操作压力相对较高(例如,接近大气压)时向反应室290提供稳定的、尤其是初始的气体流速。闭环控制允许通过每个通道的流速在各种压力范围内的自动且稳定的控制,如本文中阐述的那些。闭环控制还允许没有工具匹配的控制,工具匹配是传统系统通常需要的。作为实例,使用PID控制,可选择每个受控阀的初始设定点。来自联接到可控阀的每个流量传感器的输出的流量比反馈然后可结合控制器294的PID控制器使用以控制每个受控阀的期望设定点(即,流量比)。
本文描述的系统和方法改进了使用所述系统和/或方法沉积的膜内掺杂剂的浓度分布。根据本公开的实例,从衬底的中心到边缘掺杂剂浓度的不均匀性变化小于10%、小于7.5%且小于6%——甚至在相对高掺杂剂浓度的情况。
如上所述,根据本公开的至少一个实施例,第一气体入口202可以接收包括第一前体和掺杂剂源的第一气体,以及第二气体入口204可以接收包括第一前体或第二前体和蚀刻剂的第二气体。在这种情况下,气体源302可以包括如本文所述的前体,气体源304可以包括如本文所述的掺杂剂源,气体源306可以是或可包括气体源302或另一个(例如,第二)前体气体源,并且气体源308可以包括蚀刻剂。图4示出了共享气体源302的实例。流量控制器207、211联接到共享气体源302。当气体源302和306是相同/共享源时,第一气体源203与第二气体源205之间的气体比率可以从例如约0.8到约0.9、约0.9到约1.0或约1.0到约1.3变化。
根据本公开的其它实例,第一气体入口215可以接收包括蚀刻剂和掺杂剂源的第一气体,第二气体入口217可以接收包括前体的第二气体。在这种情况下,气体源302可包括如本文所述的蚀刻剂,气体源304可包括如本文所述的掺杂剂源,气体源306可包括如本文所述的前体源,并且气体源308可包括载气。在这种情况下,载气和前体气体的流速可各自独立地控制以提供对沉积的合成膜的额外控制。
在各种实施方案中,本文的系统和方法可以减轻膜朝衬底边缘的不期望效应(例如,边缘下卷),以及改善膜中的所需锗浓度。例如,在衬底处理期间包括对第一气体和第二气体(例如,在来自第一气体源203的第一气体和来自第二气体源205的第二气体之间具有共同的前体和/或蚀刻剂)两者共同的化合物可以实现有益的结果。作为另一实例,第一气体源203和第二气体源205可都包括氯化氢和/或锗烷并将氯化氢和/或锗烷递送到反应室290。
参考表1和图5A,5B和6,描述了本公开的四个实例,其中被发送通过反应器系统的第一气体源和第二气体源(例如,图2所示的第一气体源203和第二气体源205)的包括各种组分的气体彼此比较。在由数据集512、522描绘的实例中,第一气体和第二气体不具有共同的组分,而在其它三个实验中,第一气体包括与第二气体共同的组分。数据集512、522在所有三个图表500、550和600中示出。每个图表中的上部绘图(上部曲线图510、560和610)示出了根据在衬底上的位置沉积在衬底上的硅锗层中的锗含量(x轴上的“0”位置指示衬底的中间,并且在任一方向上沿x轴移动指示从衬底中心朝向衬底边缘移动)。每个图表中的下部绘图(下部曲线图520、570和620)示出了根据在衬底上的位置衬底上沉积的硅锗层的厚度(与上部绘图的x轴单位相同)。
表1
Figure BDA0002715111120000131
如表1中所示,数据集512、522示出使包含锗烷(GeH4)和硅烷(SiH4)的第一气体流动通过第一气体源和使包含氯化氢(HCl)的第二气体流动通过第二气体源到反应室的结果。表1的“气体流量”列指示由第一气体和第二气体中的每一个构成的总气体流量的百分比(总气体流量是第一气体流量和第二气体流量的总和)。例如,对于数据集514、524,第一气体与第二气体之间的总气体流量包括59%的第一气体和41%的第二气体。此外,在这些实例中,第一气体源和第二气体源各自具有五个气体出口(例如,图2中所示的气体出口210-228)。因此,“通过气体出口的流量比”列和子列指示流动通过每个气体出口的每种气体的百分比。例如,对于数据集512、522的第一气体,第一气体的30%流过两个最外面的气体出口中的每一个(即最紧邻衬底边缘的气体出口),第一气体的9%流过两个内气体出口中的每一个,第一气体的22%流过中心气体出口。类似地,对于数据集512、522的第二气体,第二气体的15.5%流过两个最外面的气体出口中的每一个(即,最紧邻衬底边缘的气体出口),第二气体的23%流过两个内气体出口中的每一个,并且第二气体的23%流过中心气体出口。气体通过气体源中的任何气体出口的流速和/或流量如本文中讨论的可以独立地或与其他气体出口结合地调整(例如,经由相应的气体阀250-268,如图2中所示)。
如由数据集512可以看出,硅锗层中的锗含量从距衬底中心约85毫米处从相对恒定锗含量逐渐开始增加。硅锗层中的更期望的锗含量配置可以是从衬底中心跨度尽可能长地在衬底上相对恒定,接着紧邻衬底边缘急剧增大(因此,在靠近衬底边缘急速增大之前,在衬底的更大面积上在硅锗层中具有更一致的锗含量)。如由数据集522可以看出(并且由窗口528、578和628着重示出),硅锗层厚度朝衬底的边缘增加,然后随着增加层厚度的速率减小而渐缩(即,边缘下卷)。硅锗层的这种厚度图案可以比朝衬底边缘继续增大并且不渐缩的层厚度更不合乎需要。
表1和图5A和5B中所示的图表500、550以及数据集514、524和564、574分别描绘了使包含锗烷、硅烷和HCl的第一气体流过第一气体源,以及使包含HCl的第二气体流过第二气体源到反应室的结果。因此,第一气体和第二气体均包含HCl。通过每个气体出口的流量百分比和流量比显示在表1的相应列中。产生数据集514、524的实例包括具有55%顶部/45%底部的顶部偏置功率比的可控硅整流器(SCR),而产生数据集564、574的实例包括具有42%顶部/58%底部的底部偏置功率比的SCR。如通过数据集514、564可见,所得硅锗层中的锗含量从距衬底的中心约110毫米处从相对恒定的锗含量逐渐开始增大。因此,在第一气体和第二气体(例如蚀刻剂,诸如HCl)两者中包含共同化合物比数据集512中所示的在衬底的较大面积上在硅锗层中产生更一致的锗含量。如通过数据集524、574可见(并且由窗口528和578着重示出),硅锗层厚度朝衬底的边缘继续增加,而不渐缩(即,没有边缘下卷)。因此,提供这些均共享至少一种化合物(例如,HCl)的第一气体和第二气体产生比数据集522中所示的所得硅锗层的更合乎需要的特性。
表1和图6中示出的图表600和数据集614、624描绘了使包含锗烷、硅烷和HCl的第一气体流过第一气体源,以及使包含锗烷的第二气体流过第二气体源到反应室的结果。因此,第一气体和第二气体均包含锗烷。通过每个气体出口的流量百分比和流量比显示在表1的相应列中。如通过数据集614可见,所得硅锗层中的锗含量从距衬底的中心约120毫米处从相对恒定的锗含量逐渐开始增大。因此,在第一和第二气体中(例如,前体,诸如锗烷)都包括共同化合物比数据集512中所示的在衬底的更大面积上在硅锗层中产生更一致的锗含量。如通过数据集624可见(并且由窗口628着重示出),硅锗层厚度朝衬底的边缘继续增加,而不渐缩(即,没有边缘下卷)。因此,提供这些均共享至少一种化合物(例如,锗烷)的第一气体和第二气体产生比数据集522中所示的所得硅锗层的更合乎需要的特性。
尽管本文中阐述了本公开的示例性实施方案,但应了解本公开并不限于此。例如,尽管结合各种特定配置描述了气体注入系统和反应器系统,但本公开不一定局限于这些实例。在不脱离本公开的精神和范围的情况下,可对本文中阐述的系统和方法进行各种修改、变化和增强。
本公开的主题包括本文中所公开的各种系统、部件和构造及其它特征、功能、动作和/或特性以及其任何和所有等效物的所有新颖和非显而易见的组合和子组合。

Claims (21)

1.一种气体注入系统,其包括:
第一气体歧管,所述第一气体歧管包括第一气体入口和多个第一气体出口;
第二气体歧管,所述第二气体歧管包括第二气体入口和多个第二气体出口;
多个第一气体阀,其中所述多个第一气体出口中的每一个联接到所述多个第一气体阀中的至少一个;以及
多个第二气体阀,其中所述多个第二气体出口中的每一个联接到所述多个第二气体阀中的至少一个,
其中,所述第一气体入口接收包含第一前体和掺杂剂源的第一气体,并且
其中,所述第二气体入口接收包含所述第一前体或第二前体和蚀刻剂的第二气体。
2.根据权利要求1所述的气体注入系统,其中所述第二气体包括所述第一前体。
3.根据权利要求2所述的气体注入系统,其还包括联接到第一前体源和所述第一气体入口的第一流量控制器,以及联接到所述第一前体源和所述第二气体入口的第二流量控制器。
4.根据权利要求1所述的气体注入系统,其中所述第一前体的化学式和所述第二前体的化学式包括一个或多个相同元素。
5.根据权利要求1所述的气体注入系统,其中所述第一前体选自三氯硅烷、二氯硅烷、硅烷、乙硅烷、丙硅烷和四氯化硅。
6.根据权利要求1所述的气体注入系统,其中所述掺杂剂选自锗烷、乙硼烷、磷化氢、胂和三氯化磷。
7.根据权利要求1所述的气体注入系统,其中所述蚀刻剂包括氯化氢。
8.一种气体注入系统,其包括:
第一气体歧管,所述第一气体歧管包括第一气体入口和多个第一气体出口;
第二气体歧管,所述第二气体歧管包括第二气体入口和多个第二气体出口;
多个第一气体阀,其中所述多个第一气体出口中的每一个联接到所述多个第一气体阀中的至少一个;以及
多个第二气体阀,其中所述多个第二气体出口中的每一个联接到所述多个第二气体阀中的至少一个,
其中,所述第一气体入口接收包括蚀刻剂和掺杂剂源的第一气体,并且
其中所述第二气体入口接收包含前体的第二气体。
9.根据权利要求8所述的气体注入系统,其中所述第二气体还包括载气。
10.根据权利要求8所述的气体注入系统,其中所述第一气体还包括载气。
11.根据权利要求9所述的气体注入系统,其还包括流量控制器,以控制所述载气的流速。
12.根据权利要求9所述的气体注入系统,其中所述载气选自氮、氢和氦。
13.根据权利要求8所述的气体注入系统,其中所述前体选自三氯硅烷、二氯硅烷、硅烷、乙硅烷、丙硅烷、四氯化硅。
14.根据权利要求8所述的气体注入系统,其中所述掺杂剂选自锗烷、乙硼烷、磷化氢、胂、三氯化磷。
15.一种反应器系统,所述反应器系统包括根据权利要求1所述的气体注入系统。
16.根据权利要求15所述的反应器系统,其还包括感受器,其中,所述感受器以约60到约30、约30到约15或约15到约5转/分钟的旋转速度旋转。
17.一种使用根据权利要求1所述的气体注入系统将材料沉积在反应室内的衬底表面上的方法。
18.根据权利要求17所述的方法,其还包括以约60到约30、约30到约15或约15到约5转/分钟的旋转速度旋转感受器的步骤。
19.根据权利要求17所述的方法,其中感受器的温度为500到约600、约600到约700或约700到约800摄氏度。
20.根据权利要求17所述的方法,其中所述反应室内的压力在约2毫托到约780托之间。
21.根据权利要求17所述的方法,其中所述第一气体和所述第二气体包括共同组分。
CN202011071411.1A 2019-10-08 2020-10-09 气体注入系统和包括其的反应器系统 Pending CN112626494A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962912521P 2019-10-08 2019-10-08
US62/912,521 2019-10-08

Publications (1)

Publication Number Publication Date
CN112626494A true CN112626494A (zh) 2021-04-09

Family

ID=75273997

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011071411.1A Pending CN112626494A (zh) 2019-10-08 2020-10-09 气体注入系统和包括其的反应器系统

Country Status (4)

Country Link
US (1) US20210102290A1 (zh)
KR (1) KR20210042247A (zh)
CN (1) CN112626494A (zh)
TW (1) TW202128273A (zh)

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1607643A (zh) * 2003-09-23 2005-04-20 英特尔公司 拉伸半导体结构
CN2755462Y (zh) * 2004-11-05 2006-02-01 济南化肥厂有限责任公司 氨氧化炉快速点火装置
CN1938661A (zh) * 2004-03-09 2007-03-28 Mks仪器公司 半导体制造气流分配系统和方法
CN202379772U (zh) * 2011-12-13 2012-08-15 河南科技大学 流化床光催化反应器及其气体分布器
US20130295283A1 (en) * 2012-05-07 2013-11-07 Pinecone Material Inc. Chemical vapor deposition apparatus with multiple inlets for controlling film thickness and uniformity
US20150267299A1 (en) * 2014-03-18 2015-09-24 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
CN105727688A (zh) * 2016-03-09 2016-07-06 南通天蓝环保能源成套设备有限公司 一种变压吸附塔
US20170159180A1 (en) * 2014-08-20 2017-06-08 Tokyo Electron Limited Gas supply system, plasma processing apparatus, and operation method for plasma processing apparatus
CN109012763A (zh) * 2017-06-12 2018-12-18 湖北宏嘉化工股份有限公司 一种pp离子交换柱

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
DE102007017226A1 (de) * 2007-03-22 2008-09-25 Pangas Verfahren zum Lichtbogenfügen mit Wechselstrom
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US11053591B2 (en) * 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1607643A (zh) * 2003-09-23 2005-04-20 英特尔公司 拉伸半导体结构
CN1938661A (zh) * 2004-03-09 2007-03-28 Mks仪器公司 半导体制造气流分配系统和方法
CN2755462Y (zh) * 2004-11-05 2006-02-01 济南化肥厂有限责任公司 氨氧化炉快速点火装置
CN202379772U (zh) * 2011-12-13 2012-08-15 河南科技大学 流化床光催化反应器及其气体分布器
US20130295283A1 (en) * 2012-05-07 2013-11-07 Pinecone Material Inc. Chemical vapor deposition apparatus with multiple inlets for controlling film thickness and uniformity
US20150267299A1 (en) * 2014-03-18 2015-09-24 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US20170159180A1 (en) * 2014-08-20 2017-06-08 Tokyo Electron Limited Gas supply system, plasma processing apparatus, and operation method for plasma processing apparatus
CN105727688A (zh) * 2016-03-09 2016-07-06 南通天蓝环保能源成套设备有限公司 一种变压吸附塔
CN109012763A (zh) * 2017-06-12 2018-12-18 湖北宏嘉化工股份有限公司 一种pp离子交换柱

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
梁猛: "《生物工程技术实验指导》", 28 February 2018, 中国科学技术大学出版社, pages: 33 *

Also Published As

Publication number Publication date
KR20210042247A (ko) 2021-04-19
US20210102290A1 (en) 2021-04-08
TW202128273A (zh) 2021-08-01

Similar Documents

Publication Publication Date Title
CN112626494A (zh) 气体注入系统和包括其的反应器系统
US11053591B2 (en) Multi-port gas injection system and reactor system including same
US20230313377A1 (en) Gas distribution system and reactor system including same
US10604847B2 (en) Gas distribution system, reactor including the system, and methods of using the same
US20210292902A1 (en) Method of depositing epitaxial material, structure formed using the method, and system for performing the method
US7674337B2 (en) Gas manifolds for use during epitaxial film formation
US11814727B2 (en) Systems and methods for atomic layer deposition
US20070155138A1 (en) Apparatus and method for depositing silicon germanium films
US7732308B2 (en) Process for depositing layers containing silicon and germanium
KR20090006144A (ko) 에피택셜막을 형성하는 동안 이용되는 가스 매니폴드들
US11479854B2 (en) Apparatus and method of depositing a layer at atmospheric pressure
US20210358741A1 (en) Methods for silicon germanium uniformity control using multiple precursors
KR20070037503A (ko) 실리콘 및 게르마늄을 포함하는 층들의 증착 방법
US20220051891A1 (en) Apparatus and Method of Forming a Semiconductor Layer
TWI839400B (zh) 沉積硼摻雜矽鍺膜之方法
KR20240031101A (ko) 가스 주입 및 제어를 위한 방법, 어셈블리 및 시스템
TW202029294A (zh) 沉積硼摻雜矽鍺膜之方法
US20150329969A1 (en) Uniformity and selectivity of low gas flow velocity processes in a cross flow epitaxy chamber with the use of alternative highly reactive precursors though an alternative path

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination