KR20210042247A - 가스 주입 시스템 및 이를 포함하는 반응기 시스템 - Google Patents

가스 주입 시스템 및 이를 포함하는 반응기 시스템 Download PDF

Info

Publication number
KR20210042247A
KR20210042247A KR1020200127920A KR20200127920A KR20210042247A KR 20210042247 A KR20210042247 A KR 20210042247A KR 1020200127920 A KR1020200127920 A KR 1020200127920A KR 20200127920 A KR20200127920 A KR 20200127920A KR 20210042247 A KR20210042247 A KR 20210042247A
Authority
KR
South Korea
Prior art keywords
gas
injection system
precursor
gas injection
valves
Prior art date
Application number
KR1020200127920A
Other languages
English (en)
Inventor
토마스 에르난데즈 아코스타
알렉산드로스 데모스
피터 웨스트롬
칼렙 미스킨
아미르 카즈바프발라
알리 모발레그
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210042247A publication Critical patent/KR20210042247A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J4/00Feed or outlet devices; Feed or outlet control devices
    • B01J4/008Feed or outlet control devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/12Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Abstract

가스 주입 시스템, 가스 주입 시스템을 포함하는 반응기 시스템, 그리고 가스 주입 시스템 및 반응기 시스템을 사용하는 방법이 개시된다. 가스 주입 시스템은, 반응 챔버에 결합된 가스 주입 시스템의 복수의 채널에서 가스 유량을 독립적으로 모니터링하고 제어하기 위해, 기상 반응기 시스템 내에 사용될 수 있다.

Description

가스 주입 시스템 및 이를 포함하는 반응기 시스템{GAS INJECTION SYSTEM AND REACTOR SYSTEM INCLUDING SAME}
본 개시는 일반적으로 기상 반응기 및 시스템에 관한 것이다. 보다 구체적으로, 본 개시는 가스를 반응 챔버에 도입하기 위한 가스 주입 시스템, 가스 주입 시스템을 포함한 반응기와 반응기 시스템, 및 이를 사용하는 방법에 관한 것이다.
화학 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 원자층 증착(ALD) 반응기와 같은 기상 반응기는 기판 표면 상에 재료를 증착 및 에칭 단계 및/또는 기판 표면을 세정하는 단계를 포함하여, 다양한 응용에 사용될 수 있다. 예를 들어, 기상 반응기는 반도체 소자, 평면 패널 디스플레이 장치, 광전지 소자, 마이크로전자기계시스템(MEMS) 등을 형성하기 위해 기판 상에 층을 증착하고/증착하거나 에칭하기 위해 사용될 수 있다.
전형적인 기상 반응기 시스템은 반응기를 포함하고, 이는 반응 챔버, 반응 챔버에 유동적으로 결합된 하나 이상의 전구체 및/또는 반응물 가스 공급원, 반응 챔버에 유체 결합된 하나 이상의 캐리어 및/또는 퍼지 가스 공급원, 가스(예, 전구체 및/또는 반응물 가스(들) 및/또는 캐리어 또는 퍼지 가스(들))를 반응 챔버로 전달하는 가스 주입 시스템, 및 반응 챔버에 유체 결합된 배기 공급원을 포함한다.
일반적으로, 기판 표면에 균일한 막 특성(예, 막 두께 및 막 조성)을 갖고/갖거나 막 특성의 임의의 원하는 변화에 대한 제어를 갖는 것이 바람직하다. 기판 표면 상에 형성된 특징부의 크기가 감소함에 따라, 막 두께, 조성, 및 비저항과 같은 막 특성을 제어하는 것이 점점 중요해진다. 또한, 막 특성을 독립적으로 조정하는 것이, 예를 들어 이러한 반응기를 사용하여 성장된 에피택셜층과 같이, 기상 반응기를 사용하여 증착된 층에서의 막 두께 균일성 및/또는 조성을 독립적으로 조정하는 것이 바람직할 수 있다. 따라서, 가스 주입 시스템, 가스 주입 시스템을 포함하는 반응기 시스템, 및 원하는 막 특성을 유도하는 파라미터의 원하는 제어 및 조작을 허용하는 가스 주입 및 반응기 시스템을 사용하는 방법이 요구된다.
이 부분에서 진술된 문제점 및 해결책에 대한 임의의 논의를 포함하여 모든 논의는 단지 본 개시에 대한 맥락을 제공하는 목적으로 본 개시에 포함되었고, 그 논의의 일부 또는 전부가 본 발명이 이루어진 당시에 알려졌거나 달리 종래 기술을 구성하고 있음을 인정하는 것으로 받아들여져서는 안 된다.
본 발명의 내용은 선정된 개념을 단순화된 형태로 소개하기 위해 제공된다. 이들 개념은 하기의 본 발명의 예시적 구현예의 상세한 설명에 더 상세하게 기재되어 있다. 본 발명의 내용은 청구된 요지의 주된 특징 또는 본질적인 특징을 필수적으로 구분하려는 의도가 아니며 청구된 요지의 범주를 제한하기 위해 사용하려는 의도 또한 아니다.
본 개시의 다양한 구현예는 가스 주입 시스템, 가스 주입 시스템을 포함한 반응기 시스템, 및 상기 가스 주입 시스템과 반응기 시스템을 사용하는 방법에 관한 것이다. 본 개시의 다양한 구현예가 이전의 가스 주입 시스템 및 반응기 시스템의 문제점을 해결하는 방식을 아래에서 보다 상세히 논의하면서, 일반적으로 본 개시의 다양한 구현예는 기판의 표면에 걸쳐 막 두께 및/또는 막 조성의 개선된 제어를 제공할 수 있는 가스 주입 시스템을 제공한다. 이하에서 더욱 상세히 설명되는 바와 같이, 본 개시의 실시예는 기판의 표면 상에 도핑된 에피택셜 층을 형성하는데 특히 유용할 수 있다. 예시적인 시스템 및 방법은, 전구체 및 도펀트 유량을 반응 챔버 및/또는 기판 표면으로 미세 조정하는 것을 허용하여, 원하는 두께 및/또는 조성 균일성 및/또는 변형을 갖는 막의 형성을 허용할 수 있다. 예를 들어, 일부 경우에, 기판 표면에 걸쳐 균일한 조성을 갖는 막을 형성하기 보다는 원하는 조성 변화를 갖는 막을 형성하는 것이 바람직할 수 있다.
본 개시의 예시적인 구현예에 따라, 가스 주입 시스템은, 제1 가스 유입구와 복수의 제1 가스 유출구를 포함한 제1 가스 매니폴드; 제2 가스 유입구와 복수의 제2 가스 유출구를 포함한 제2 가스 매니폴드; 복수의 제1 가스 밸브(상기 복수의 제1 가스 유출구 각각은 상기 복수의 제1 가스 밸브 중 적어도 하나에 결합됨); 및 복수의 제2 가스 밸브(상기 복수의 제2 가스 유출구 각각은 상기 복수의 제2 가스 밸브 중 적어도 하나에 결합됨)를 포함한다. 상기 제1 가스 유입구는, 제1 전구체와 도펀트를 포함한 제1 가스를 수용할 수 있다. 상기 제2 가스 유입구는, 상기 제1 전구체 또는 제2 전구체 그리고 에천트를 포함한 제2 가스를 수용할 수 있다. 본 개시의 일부 구현예에 따라, 상기 제2 가스는 상기 제1 전구체를 포함한다. 상기 제1 전구체의 화학식과 상기 제2 전구체의 화학식은 동일한 원소 모두 또는 이들 중 하나 이상을 포함할 수 있다. 상기 가스 주입 시스템은, 제1 전구체 공급원과 상기 제1 가스 유입구에 결합된 제1 흐름 제어기, 및 상기 제1 전구체 공급원과 상기 제2 가스 유입구에 결합된 제2 흐름 제어기를 추가로 포함할 수 있다.
본 개시의 추가 구현예에 따라, 가스 주입 시스템은, 제1 가스 유입구와 복수의 제1 가스 유출구를 포함한 제1 가스 매니폴드; 제2 가스 유입구와 복수의 제2 가스 유출구를 포함한 제2 가스 매니폴드; 복수의 제1 가스 밸브(상기 복수의 제1 가스 유출구 각각은 상기 복수의 제1 가스 밸브 중 적어도 하나에 결합됨); 및 복수의 제2 가스 밸브(상기 복수의 제2 가스 유출구 각각은 상기 복수의 제2 가스 밸브 중 적어도 하나에 결합됨)를 포함하되, 상기 제1 가스 유입구는, 에천트와 도펀트를 포함한 제1 가스를 수용한다. 상기 제2 가스 유입구는, 전구체를 포함한 제2 가스를 수용할 수 있다. 상기 제1 및/또는 제2 가스는 캐리어 가스를 포함할 수 있다. 상기 가스 주입 시스템은 성가 제1 및/또는 제2 가스 유입구로의 캐리어 가스 유량을 제어하기 위한 흐름 제어기를 포함할 수 있다.
본 개시의 추가적이고 예시적인 구현예에 따라, 기상 반응기 시스템은 본원에 기술된 가스 주입 시스템을 하나 이상 포함한다. 예시적인 시스템은, 반응 챔버, 하나 이상의 제1 가스 채널에 유체 결합된 제1 가스 공급원, 및 하나 이상의 제2 가스 채널에 유체 결합된 제2 가스 공급원에 결합된 배기(예, 진공) 공급원을 또한 포함할 수 있다. 예시적인 시스템은 추가적인 가스 및/또는 배기 공급원을 또한 포함할 수 있다.
본 개시의 예시적인 추가 구현예에 따라, 방법이 제공된다. 예시적인 방법은, 본원에 기술된 가스 주입 시스템 및/또는 반응기 시스템을 사용하여 기판의 표면 상에 재료를 증착하는 단계를 포함한다. 예시적인 방법은, 하나 이상의 제1 가스 채널에 결합된 하나 이상의 밸브를 자동으로 조절하고/조절하거나 하나 이상의 제2 가스 채널에 결합된 하나 이상의 밸브를 자동으로 조절하는 단계를 포함할 수 있다. 예시적인 방법은, 제1 가스 공급원의 제1 가스와 제2 가스 공급원의 제2 가스 중 하나 이상의 비대칭 설정을 제공하여, 예를 들어 기판 가장자리 영역을 포함하는 기판 표면에 걸친 막 두께, 막 두께 균일성, 및 막 조성 등과 같은 막 특성을 (예를 들어, 독립적으로) 조정하는 단계를 또한 포함할 수 있다. 일부 실시예에 따라, 방법은 분당 약 60 내지 약 30, 또는 약 30 내지 약 15, 또는 약 15 내지 약 5회 회전 속도로 서셉터를 회전시키는 단계를 포함한다.
본 개시는 개시된 임의의 특정 구현예(들)에 제한되지 않으며, 이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다.
본 개시의 예시적인 실시예에 대한 더 완전한 이해는 다음의 예시적인 도면과 관련하여 고려될 때, 발명의 상세한 설명 및 청구 범위를 참조함으로써 도출될 수 있다.
도 1은 본 개시의 적어도 하나의 예시적 구현예에 따른 반응기 시스템을 나타낸다.
도 2는 본 개시의 적어도 하나의 예시적 구현예에 따른 가스 주입 시스템을 개략적으로 나타낸다.
도 3은 본 개시의 적어도 하나의 예시적인 구현예에 따른 플랜지의 단면도를 나타낸다.
도 4는 본 개시의 적어도 하나의 예시적 구현예에 따른 반응기 시스템의 일부를 개략적으로 나타낸다.
도 5a 및 도 5b는 본 개시의 적어도 하나의 예시적인 구현예에 따라 기판 상에 증착된 실리콘 게르마늄 층의 특성을 도시하는 차트를 나타낸다.
도 6은 본 개시의 적어도 하나의 예시적인 구현예에 따라 기판 상에 증착된 실리콘 게르마늄 층의 특성을 도시하는 차트를 나타낸다.
도면의 요소는 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시의 예시적인 구현예의 이해의 개선을 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
아래에 제공된 예시적인 구현예의 설명은 단지 예시적인 것이고, 예시의 목적으로만 의도된 것이며; 다음의 설명은 본 개시의 범주 또는 청구 범위를 한정하고자 함이 아니다. 또한, 특징부를 기술한 다수 구현예를 인용하는 것이 추가적인 특징부를 갖는 다른 구현예 또는 명시된 특징부의 다른 조합을 포함한 다른 구현예를 배제하고자 함이 아니다.
본 개시는 일반적으로 가스 주입 시스템, 가스 주입 시스템을 포함한 반응기 및 반응기 시스템, 그리고 가스 주입 시스템 및 반응기 시스템을 사용하는 방법에 관한 것이다. 가스 주입 시스템, 반응기, 본원에 기술된 가스 주입 시스템을 포함한 반응기 시스템은 반도체 웨이퍼와 같은 기판을 처리하기 위해 사용될 수 있다. 예로서, 본원에 설명된 시스템은 기판의 표면 상에 에피택셜 층(예, 두 성분 및/또는 도핑된 반도체 층)을 형성하거나 성장시키기 위해 사용될 수 있다. 예시적인 시스템은 기판 표면에 에칭 화학 물질을 제공하기 위해 추가로 사용될 수 있다. 예를 들어, 예시적인 시스템은 증착(예, 성장) 공정 동안에 두 개 이상의 가스 혼합물(예, 총괄적으로 본원에서 혼합물, 단순 가스, 제1 가스 또는 제2 가스로 지칭됨)을 제공할 수 있다. 예를 들어, 제1 가스는 제1 전구체 및/또는 도펀트를 포함할 수 있고, 제2 가스는 제1 전구체 및/또는 제2 전구체 및/또는 에천트를 포함할 수 있거나, 제1 가스는 에천트 및/또는 도펀트를 포함할 수 있고, 제2 가스는 전구체를 포함할 수 있다. 에천트는 원하는 막 증착을 용이하게 하고/하거나 기판의 제2 재료/표면 위에 놓인 막의 증착에 대해 기판 표면 상의 제1 재료/표면 위에 놓이는 막의 선택적 증착을 용이하게 하는데 사용될 수 있다. 본원에서 설명하는 예시적인 가스 주입 시스템, 반응기 시스템, 및 방법은 비교적 높은 도펀트 농도를 갖는(예를 들어, 약 30% 초과, 약 20% 또는 약 10%) 막을 형성하는 데 특히 유용할 수 있다.
더욱 상세히 후술하는 바와 같이, 본원에서 설명된 바와 같은 예시적인 가스 주입 시스템의 사용은 유리한데, 이는 가스 주입 시스템의 다양한 채널을 통해 차례로 반응 챔버의 입력 위치로 가스(예, 가스 혼합물) 흐름을 독립적으로 계량하고 제어할 수 있게 하기 때문이다. 따라서, 가스 흐름의 독립적인 제어는, 가스 주입 시스템을 포함한 반응기 시스템을 사용하여 형성되는 막의 막 특성을 독립적으로 조정시킬 수 있다. 예를 들어, 예시적인 가스 주입 시스템은 기판 상에, 예를 들어 에피택셜 형성된 층의 도펀트 농도 프로파일과 막 두께(또는 두께 균일성)를 독립적으로 조정하기 위해 사용될 수 있다. 추가적이거나 대안적으로, 예시적인 가스 주입 시스템은, 반응기 시스템의 반응 챔버 내에서 없었다면 발생할 수 있는 가스 흐름 변화, 고갈 속도 변화, 자동 도핑, 기판 표면 상의 특징부때문에 생길 수 있는 도펀트 프로파일의 변화 또는 이들의 조합을 보상하기 위해 사용될 수 있다. 예를 들어, 다양한 입력 부위에서의 독립적인 가스 흐름 제어는, 원하지 않는 에지 효과를 보상하거나, 이를 완화하거나, (예를 들어, 에지 롤-다운의 완화, 즉 기판 가장자리를 향해 층 두께 증가 속도의 감소), 및/또는 회전하는 기판의 원하지 않는 효과를 보상하거나 완화시키는데 사용될 수 있으며, 이는 그렇지 않으면 하나 이상의 막 특성에 바람직하지 않은 불균일성 또는 다른 특성을 야기할 수 있다. 예시적인 가스 주입 시스템은 원하는 개수의 채널로 확장 가능하고, 원하는 정밀도 및 유량 제어를 유지하면서 (예를 들어, 가스 혼합물의 구성과는 독립적으로) 가스 혼합물과 함께 사용될 수 있다. 또한, 본 개시의 예시적인 가스 주입 시스템은 비교적 높은 가스 유량(예, 각각의 채널을 통해 질소 5 slm 초과)을 위해 사용될 수 있고/있거나 원하는 경우 비교적 높은(예, 준 대기) 압력에서 작동할 수 있다. 본원에 기술된 시스템 및 방법의 이들 및 다른 특징부는 기판 상에 고품질의 에피택셜층을 증착하는 데 특히 유용할 수 있다.
본원에서 사용되는 바와 같이, 용어 전구체 및/또는 반응물은 화학 반응에 참여하는 하나 이상의 가스/증기를 지칭할 수 있거나, 이로부터 반응에 참여하는 기상 물질을 유도하는 것을 지칭할 수 있다. 화학 반응은 기상에서, 및/또는 기상과 기판 표면 사이에서, 및/또는 기판 표면 상의 종에서 일어날 수 있다.
본원에 사용된 바와 같이, 기판은 재료가 증착될 수 있는 표면을 갖는 임의의 재료를 지칭할 수 있다. 기판은, 실리콘(예, 단결정 실리콘)과 같은 벌크 재료를 포함하거나 벌크 재료의 위에 놓이는 하나 이상의 층을 포함할 수 있다. 또한, 기판은 기판의 층의 적어도 일부 내에 또는 위에 형성된, 예를 들어 트렌치, 비아, 라인 등과 같은 다양한 토폴로지를 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 에피탁시 층은 아래에 놓인 실질적으로 단결정질인 기판 또는 층 위의 실질적으로 단결정질인 층을 지칭할 수 있다.
본원에서 사용되는 바와 같이, 용어 화학 기상 증착은 원하는 증착을 생성시키기 위해 기판의 표면 상에서 반응 및/또는 분해되는 하나 이상의 기상 전구체에 기판이 노출되는 임의의 공정을 지칭할 수 있다.
본원에서 사용되는 바와 같이, 용어 막 및/또는 층은 본원에 개시된 방법에 의해 증착된 재료와 같이 임의의 연속적인 또는 비연속적인 구조체 및 재료를 지칭할 수 있다. 예를 들어, 막 및/또는 층은 이차원 재료, 삼차원 재료, 나노입자 또는 심지어는 부분 또는 전체 분자층 또는 부분 또는 전체 원자층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. 막 또는 층은 핀홀을 갖는 재료 또는 층을 포함할 수 있고, 이는 적어도 부분적으로 연속적일 수 있다.
본원에서 사용되는 바와 같이, 용어 구조체는 본원에 기술된 바와 같은 기판, 및/또는 본원에 기술된 방법에 따라 형성된 하나 이상의 층과 같이 기판 위에 놓인 하나 이상의 층을 포함한 기판을 지칭할 수 있다.
또한, 본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 지시된 변수의 임의의 값은 ("약"으로 표시되는지의 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 "포함한", "의해 구성되는", 및 "갖는"은 일부 구현예에서 "통상적으로 또는 대략적으로 포함하는", "포함하는", "본질적으로 이루어지는", 또는 "이루어지는"을 독립적으로 지칭한다. 본 개시에서, 임의의 정의된 의미는 일부 구현예에서 반드시 보통의 그리고 관습적인 의미를 배제하는 것은 아니다.
이제 도면을 보면, 도 1은 예시적인 반응기 시스템(100)을 도시한다. 반응기 시스템(100)은, 예를 들어 화학 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 원자층 증착(ALD), 세정 공정, 에칭 공정 등과 같은 다양한 응용에 사용될 수 있다. 비록 예시적인 구현예가 에피택셜 반응기 시스템과 관련하여 아래에 설명되었지만, 달리 언급되지 않는다면 구현예 및 본 개시는 그렇게 제한되지 않는다.
나타낸 예에서, 반응기 시스템(100)은 선택적인 기판 핸들링 시스템(102), 반응 챔버(104), 가스 주입 시스템(106), 및 선택적으로 반응 챔버(104)와 기판 핸들링 시스템(102) 사이에 배치되는 벽(108)을 포함한다. 시스템(100)은 또한 제1 가스 공급원(112), 제2 가스 공급원(114), 배기 공급원(110), 및 서셉터 또는 서셉터 지지체(116)을 포함할 수 있다. 두 개의 가스 공급원(112, 114)으로 나타냈지만, 반응기 시스템(100)은 임의 적절한 갯수의 가스 공급원을 포함할 수 있다. 또한, 반응기 시스템(100)은, 가스 주입 시스템(106)에 각각 결합될 수 있는 임의의 적합한 수의 반응 챔버(104)를 포함할 수 있다. 반응기 시스템(100)이 다수의 반응 챔버를 포함하는 경우, 각각의 가스 주입 시스템은 동일한 가스 공급원(112, 114) 또는 상이한 가스 공급원에 결합될 수 있다.
가스 공급원(112, 114)은, 반응 챔버(104)에 전달하기 위한 화합물 또는 화합물의 조합을 포함할 수 있다. 예를 들어, 가스 공급원(112, 114)은 하나 이상의 전구체, 하나 이상의 도펀트 공급원, 하나 이상의 에천트, 및 가스의 혼합물을 포함할 수 있고, 하나 이상의 전구체, 하나 이상의 도펀트 공급원, 및/또는 하나 이상의 캐리어 가스를 갖는 에천트의 혼합물을 포함한다.
예로서, 제1 가스 공급원(112)은 에천트 및 도펀트 공급원을 포함할 수 있다. 제2 가스 공급원(114)은 전구체를 포함할 수 있다. 대안적으로, 제1 가스 공급원(112)은 에천트 및 도펀트 공급원을 포함할 수 있고; 제2 가스 공급원(114)은 전구체를 포함할 수 있다. 다른 예로서, 제1 가스 공급원(112)은 제1 전구체, 제2 전구체, 및/또는 에천트를 포함할 수 있고, 제2 가스 공급원(114)은 제1 전구체 및/또는 에천트를 포함할 수 있다. 제1 가스 공급원(112) 및 제2 가스 공급원(114)에 포함된 에천트는 동일한 화합물일 수 있다. 다양한 구현예에서, 제1 가스 공급원(112) 및 제2 가스 공급원(114)은 적어도 하나의 성분(예, 전구체, 에천트 등)을 공통으로 가질 수 있다.
예시적인 에천트는 염소 함유 가스와 같은 할라이드를 포함할 수 있다. 예시적인 염소 함유 가스는, 염화수소, 염소 가스 등으로 이루어지는 군으로부터 선택된 하나 이상의 가스를 포함한다.
예시적인 전구체는, 트리클로로실란, 디클로로실란, 실란, 디실란, 트리실란, 실리콘 테트라클로라이드 등과 같은 실리콘 함유 전구체, 및/또는 저메인(GeH4), 디저메인(Ge2H6), 트리저메인(Ge3H8) 등과 같은 게르마늄 함유 전구체를 포함한다.
예시적인 도펀트 공급원은, As, P, C, Ge, 및 B 중 하나 이상을 포함한 가스를 포함한다. 예로서, 도펀트 공급원은 저메인, 디보란, 포스핀, 아신, 삼염화인을 포함할 수 있다. 가스 주입 시스템, 반응기 시스템, 및 본원에 기술된 방법은 p형 도핑된 막, 예컨대 실리콘, 실리콘 게르마늄 등을 포함하는 p형 도핑된 막에 특히 유용할 수 있다.
캐리어 가스는 하나 이상의 불활성 가스 및/또는 수소일 수 있거나 이를 포함할 수 있다. 예시적인 캐리어 가스는, 수소, 질소, 아르곤, 헬륨 등으로 이루어지는 군으로부터 선택된 하나 이상의 가스를 포함한다.
제1 가스가 제1 전구체 및 도펀트 공급원을 포함하는 경우, 제1 가스는 약 30 내지 약 5 또는 약 15 내지 약 5 부피%의 제1 전구체 및/또는 약 15 내지 약 5 또는 약 10 내지 약 5 부피%의 도펀트 공급원을 포함할 수 있다. 제1 가스 공급원은 또한 약 75 내지 약 95 또는 약 85 내지 약 90 부피%의 캐리어 가스를 포함할 수 있다.
제1 가스가 에천트 및 도펀트 공급원을 포함하는 경우, 제1 가스는 약 25 내지 약 5 또는 약 20 내지 약 5 또는 약 15 내지 약 5 부피%의 에천트 및/또는 약 25 내지 약 5 또는 약 20 내지 약 5, 또는 약 15 내지 약 5 부피%의 도펀트 공급원을 포함할 수 있다. 제1 가스 공급원은, 또한 약 60 내지 약 95, 약 70 내지 약 90, 또는 약 75 내지 약 85 부피%의 캐리어 가스를 포함할 수 있다.
제2 가스가 제1 전구체 및/또는 제2 전구체 및 에천트를 포함하는 경우, 제1 가스는 약 0 내지 약 20 또는 약 5 내지 약 15 부피%의 제1 전구체 및/또는 제2 전구체 및/또는 약 0 내지 약 7 또는 약 2 내지 약 5 부피%의 에천트를 포함할 수 있다. 제2 가스 공급원은, 또한 약 75 내지 약 95 또는 약 85 내지 약 95 부피%의 캐리어 가스를 포함할 수 있다.
제2 가스가 전구체를 포함하는 경우, 제1 가스는 약 5 내지 약 20 또는 약 5 내지 약 15 부피%의 전구체를 포함할 수 있다. 제2 가스 공급원은, 또한 약 75 내지 약 95 또는 약 85 내지 약 95 부피%의 캐리어 가스를 포함할 수 있다.
반응기 시스템(100)은 임의 적절한 수의 반응 챔버(104) 및 기판 핸들링 시스템(102)을 포함할 수 있다. 예로서, 반응기 시스템(100)의 반응 챔버(104)는, 예를 들어 교차 흐름의 냉벽 에피택셜 반응 챔버일 수 있거나 이를 포함할 수 있다.
서셉터 또는 기판 지지체(116)는 기판(120)을, 예를 들어 약 500 내지 약 600, 약 600 내지 약 700, 또는 약 700 내지 약 800℃의 온도로 가열하기 위한 하나 이상의 히터(118)를 포함할 수 있다. 서셉터 또는 기판 지지체(116)는 또한 처리 동안 회전하도록 구성될 수 있다. 본 개시의 실시예에 따라, 서셉터 또는 기판 지지체(116)는 분당 약 60 내지 약 30, 또는 약 30 내지 약 15, 또는 약 15 내지 약 5회 회전 속도로 회전한다.
반응기 시스템(100)의 작동 중에, 반도체 웨이퍼와 같은 기판(120)은, 예를 들어 기판 핸들링 시스템(102)에서 반응 챔버(104)로 이송된다. 일단 기판(들)(120)이 반응 챔버(104)로 이송되면, 전구체, 도펀트, 캐리어 가스, 에천트 및/또는 퍼지 가스와 같이, 제1 및 제2 가스 공급원(112, 114)으로부터 하나 이상의 가스가 가스 주입 시스템(106)을 통해 반응 챔버(104) 내로 유입된다. 이하에서 더욱 상세히 설명되는 바와 같이, 가스 주입 시스템(106)은 기판 처리 중에 제1 가스 공급원(112)과 제2 가스 공급원(114)으로부터 하나 이상의 가스 유량을 계량하고 제어하며, 이러한 가스(들)의 원하는 흐름을 반응 챔버(104) 내의 여러 위치에 제공하기 위해 사용될 수 있다.
도 2는, 본 개시의 예시적인 구현예에 따라 가스 주입 시스템(106)으로서 사용하기에 적절한 가스 주입 시스템(200)을 나타낸다. 가스 주입 시스템(200)은, 가스 공급원(112)과 동일하거나 유사할 수 있는 제1 가스 공급원(203)에 결합된 제1 가스 공급 라인(202), 및 가스 공급원(114)과 동일하거나 유사할 수 있는 제2 가스 공급원(205)에 결합된 제2 가스 공급 라인(204)을 포함한다. 가스 주입 시스템(200)의 가스 라인 및 유체 구성 요소를 지칭할 때, 용어 "결합된"은 유체 결합되며, 달리 언급되지 않는 한, 라인 또는 구성 요소는 직접 유체 결합될 필요가 없지만, 오히려 가스 주입 시스템(200)은 커넥터, 밸브, 계량기 등의 다른 개재 요소를 포함할 수 있다.
가스 주입 시스템(200)은, 제1 가스 유입구(215)를 통해 제1 가스 공급 라인(202)에 결합된 제1 가스 매니폴드(206), 및 제2 가스 유입구(217)를 통해 제2 가스 공급 라인(204)에 결합된 제2 가스 매니폴드(208)를 포함한다. 제1 가스 매니폴드(206)는 복수의 제1 가스 유출구(210 내지 218)를 포함한다. 유사하게, 제2 가스 매니폴드(208)는 복수의 제2 가스 유출구(220 내지 228)를 포함한다. 제1 가스 매니폴드(206) 및 제2 가스 매니폴드(208)는 하나 이상의 가스 라인(예, 제1 및 제2 가스 라인(202, 204))으로부터 가스를 수용하고, 제1 가스 유출구(210 내지 218) 및 제2 가스 유출구(220 내지 228)에 의해 부분적으로 각각 정의된 하나 이상의 채널에 가스를 분배하도록 구성된다. 나타낸 예에서, 제1 가스 공급원(203)과 제2 가스 공급원(205)으로부터 제1 및 제2 가스 스트림의 각각은 5개의 가스 채널로 분할된다. 제1 가스 유출구(210 내지 218)와 제2 가스 유출구(220 내지 228) 각각을 5개로 나타냈지만, 본 개시에 따른 가스 주입 시스템은 각각의 가스에 대해 다수의 채널에 대응하는, 임의 적절한 수의 제1, 제2, 및/또는 다른 가스 유출구를 포함할 수 있다. 예를 들어, 예시적인 시스템은, 예를 들어 약 1 내지 10개의 채널을 포함할 수 있고, 또는 각 가스에 대해 5, 6, 7, 9 또는 그 이상의 채널을 포함할 수 있다. 나타낸 바와 같이, 제1 가스 매니폴드(206) 및/또는 제2 가스 매니폴드(208)는 가스 채널을 통한 균일한 흐름 분포를 용이하게 하기 위한 루프 구성을 포함할 수 있다. 추가적으로 또는 대안적으로, 제1 가스 매니폴드(206) 및/또는 제2 가스 매니폴드(208)는 가스 라인(202, 204)에 대해 비교적 큰 직경을 가질 수 있고, 예를 들어 제1 가스 매니폴드(206) 및/또는 제2 가스 매니폴드(208)의 직경은 라인(202) 및/또는 라인(204)의 직경보다 2, 3, 4 또는 5배 클 수 있다. 나타낸 예에서, 제1 가스 채널 및 제2 가스 채널은 서로 교대로 인접한다. 그러나, 이는 반드시 그러할 필요는 없다.
전술한 바와 같이, 제1 가스 공급원(203) 및/또는 제2 가스 공급원(205)은 둘 이상의 가스의 혼합물일 수 있다. 이러한 경우, 차례로 가스 혼합물을 포함하거나 포함하지 않을 수 있는 하나 이상의 가스는, 흐름 제어기(207 내지 213)를 통해 다른 공급원(미도시)으로부터 제1 가스 공급원(203) 및/또는 제2 가스 공급원(205)으로 공급될 수 있다. 흐름 제어기(207 내지 213)의 상류에 있는 공급원 가스가 가스의 혼합물이 아닌 경우, 흐름 제어기(207 내지 209)는 적합한 질량 흐름 제어기일 수 있다. 예로서, 흐름 제어기(207 내지 213) 중 하나 이상은 제1 가스 공급원(203) 및/또는 제2 가스 공급원(205)으로의 캐리어 가스의 유량을 제어할 수 있다. 흐름 제어기(207 내지 213)는 이하에서 더욱 상세히 설명되는 가스 공급원(302 내지 308)에 결합될 수 있다.
가스 주입 시스템(200)은, 제1 및 제2 가스 유출구(210 내지 228)에 결합된 복수의 흐름 센서(230 내지 248)를 추가적으로 포함한다. 나타낸 예에서, 각각의 제1 및 제2 가스 유출구(210 내지 228)는 단일 흐름 센서(230 내지 248)에 결합된다. 그러나, 일부 경우에 있어 흐름 센서에 결합되지 않는 일부 가스 유출구를 갖고/갖거나 하나 이상의 흐름 센서에 결합된 일부 가스 유출구를 갖는 것이 바람직할 수 있다.
흐름 센서(230 내지 248)는, 예를 들어 그래픽 사용자 인터페이스를 사용하여, 각 채널에 대하여 가스 혼합물의 유량을 모니터링하고, 실시간 및/또는 과거의 유량 정보를 사용자에게 제공하기 위해 사용될 수 있다. 추가적이거나 대안적으로, 흐름 센서(230 내지 248)는 제어기(예, 제어기(294))와 가스 밸브(250 내지 268)에 결합되어 가스 밸브(250 내지 268)를 통해 제어된 가스 흐름 비를 제공할 수 있다. 각각의 가스 채널에 적어도 하나의 흐름 센서(230 내지 248)를 배치함으로써, 각각의 채널을 통한 가스 흐름 비(예, 상대적 유량)는 가스 조성에 관계없이 독립적으로 측정되고 제어될 수 있다. 예시적인 흐름 센서(230 내지 248)는 다양한 흐름 센서, 예를 들어 열 질량 유량 센서, 압력 강하 기반 흐름 센서 등일 수 있거나 이를 포함할 수 있다.
가스 밸브(250 내지 268)는 하나 이상의 가스 유출구(210 내지 228)를 통한 가스 흐름의 제어를 허용할 수 있다(예를 들어, 가스 유출구(210 내지 228)를 통한 각각의 유량은 개별적으로 제어될 수 있거나, 가스 유출구의 그룹은 제1 가스 매니폴드(206) 및 제1 가스 공급원(203), 또는 제2 가스 매니폴드(208) 및 제2 가스 공급원(205)에 결합된 모든 가스 유출구와 같이 제어될 수 있다). 가스 밸브(250 내지 268)는 가스 흐름을 계량하기 위한 임의의 적절한 장치를 포함할 수 있다. 본 개시의 다양한 구현예에 따라, 가스 밸브(250 내지 268)는 각각 솔레노이드 밸브, 공압 밸브, 또는 압전 밸브와 같은 비례 밸브를 포함한다. 비교적 높은(예, 0.021-0.14) 흐름 계수(Cv)를 갖는 밸브는 하류에서 빽빽이 차는 것을 감소시키기 위해 선택될 수 있다. 가스 밸브(250 내지 268)는 폐쇄 루프 제어 하에서 바람직하게 작동할 수 있지만, 개방 루프 제어 하에서 (예를 들어, 추가적으로) 또한 작동할 수 있다.
흐름 센서(230 내지 248) 및 가스 밸브(250 내지 268)는 초기에, 예를 들어 질량 흐름 제어기(예, 규격품 질량 흐름 제어기)의 일부를 형성할 수 있되, 밸브의 제어 기능은 제어기(294)로 교체된다. 예를 들어, 유량계(230) 및 가스 밸브(250)는 개방 루프 모드에서 작동하도록 설정되는 질량 흐름 제어기(270)를 형성하거나 그 일부일 수 있되, 제어기(294)는 밸브(250 내지 268)의 폐쇄 루프 제어를 제공한다. 흐름 센서(232 내지 248) 및 가스 밸브(252 내지 268)는 유사하게 질량 흐름 제어기(272 내지 288)를 형성하거나 그 일부일 수 있다. 이 구성은 표준 반응기 구성에서의 구현 및/또는 용이하게 이용 가능한 질량 흐름 제어기 및 흐름 센서 및 밸브의 사용을 허용한다.
가스 밸브(250 내지 268)는 플랜지(292)를 통해 반응 챔버(290)에 결합될 수 있다. 추가 라인(예, 튜브 연결) 및 적절한 커넥터를 사용하여 가스 밸브(250 내지 268)를 플랜지(292)에 결합시킬 수 있다. 예시적인 플랜지(292)는 각각의 가스가 반응 챔버(290) 내로 빠져나갈 때까지 채널을 유지하기 위한 플랜지 가스 채널을 포함하고, 예시적인 플랜지 가스 채널(310)이 도 3에 나타나 있다. 플랜지 가스 채널은, 플랜지의 대향 측면에서 종결되고 서로 인접하는 팽창 영역(312, 314) 및 각각의 유출구(316, 318)를 포함할 수 있다. 예를 들어, 제1 가스 스트림에 대응하는 제1 가스 채널은 플랜지(292)의 제1 측면(296)에서 종결될 수 있고, 제2 가스 스트림에 대응하는 제2 가스 채널은 플랜지(292)의 제2 측면(298)에서 종결될 수 있다.
가스 주입 시스템(200)은 수분 샘플 패널을 선택적으로 포함할 수 있다. 수분 샘플 패널은, 예를 들어 하나 이상의 압력 변환기, 공압 밸브, 및/또는 제한기를 포함할 수 있다. 예시적인 수분 샘플 패널은 발명의 명칭이 "GAS DISTRIBUTION SYSTEM AND REACTOR SYSTEM INCLUDING SAME"이고 2018년 6월 4일자로 출원된 미국 출원 일련번호 제15/997,445호에 개시되며, 관련 내용이 본 개시와 충돌하지 않는 정도까지 본원에 참고로 포함된다.
반응 챔버(290)는 예를 들어 석영으로 형성될 수 있다. 기판 처리 중에 반응 챔버(290) 내에서 예시적인 작동 압력은, 예를 들어 약 0.5 밀리토르 내지 약 780 토르 범위일 수 있다. 예로서, 압력은 약 2 밀리토르 내지 약 780 토르 범위일 수 있다. 본 개시의 예시적인 구현예에 따라, 시스템(200)은 이러한 압력 범위에 걸쳐서 각 채널 내에 원하고 안정적이고 독립적인 흐름 제어를 제공할 수 있다.
제어기(294)는 본원에 설명된 다양한 기능 및/또는 단계를 수행하도록 구성될 수 있다. 제어기(294)는 다양한 기능을 수행하기 위해서 마이크로프로세서, 메모리 요소, 및/또는 스위칭 요소를 하나 이상 포함할 수 있다. 단일 유닛으로 나타냈지만, 제어기(294)는 대안적으로 다수의 장치를 포함할 수 있다. 예로서, 제어기(294)는, 예를 들어 각각의 제1 가스 유출구 또는 제2 가스 유출구 사이에서 연장될 수 있는 복수의 가스 채널에서, 제1 가스 공급원(203) 및/또는 제2 가스 공급원(205)으로부터, 선택적으로 플랜지(292)를 통해 그리고 선택적으로 반응 챔버(290)로의 가스 흐름을 제어하는 데 사용될 수 있다. 제어기(294)는, 예를 들어 동일한 하드웨어를 사용하여 개방 루프 및/또는 폐쇄 루프 흐름 제어를 제공하도록 구성될 수 있다. 특히, 제어기(294)는, 개별 공급원에 결합된 각각의 채널 내에서 (예를 들어, 제1 가스 공급원(203) 또는 제2 가스 공급원(205)으로부터) 각각의 가스의 총 흐름의 요망 비율을 제공하도록 구성될 수 있다. 본 개시의 다양한 구현예에 따라, 제어기(294)는 가스 밸브(250 내지 268)를 포함하여, 본원에 기술된 다양한 제어 가능 밸브의 독립형 폐쇄 루프 제어를 허용하는 비례-적분 비례-미분(PID) 제어기를 포함한다. PID 폐쇄 루프 제어로, 시스템(200)은 하나 이상의 (예를 들어, 모든) 가스 채널에서의 흐름을 설정 포인트로 동적 조절하고/조절하거나 가스 공급원 사이에서 스위칭하는 경우 및/또는 작동 압력이 비교적 높은 경우(예, 준 대기압)에 특히 초기 가스 유량을 반응 챔버(290)에 안정적으로 제공할 수 있다. 폐쇄 루프 제어는 본원에서 설명하는 것과 같은 다양한 압력 범위에 대해 각 채널을 통한 유량의 자동적이고 안정적인 제어를 가능하게 한다. 폐쇄 루프 제어는, 종종 전통적인 시스템에 요구되는 툴의 매칭 없이 제어를 또한 허용한다. 예로서, PID 제어를 사용하여 각각의 제어 밸브에 대한 초기 설정 포인트를 선택할 수 있다. 그 다음, 제어 가능한 밸브에 결합된 각각의 흐름 센서 출력으로부터의 흐름 비 피드백은, 제어기(294)의 PID 제어기와 연결되어 제어 밸브 각각의 희망 설정 포인트(즉, 흐름 비)를 제어하도록 사용될 수 있다.
본원에 기술된 시스템 및 방법은, 상기 시스템 및/또는 방법을 사용하여 증착된 막 내의 도펀트의 농도 프로파일을 개선하였다. 본 개시의 예에 따라, 기판의 중심에서 가장자리까지의 도펀트 농도의 불균일성은, 도펀트의 농도가 비교적 높은 곳에서 10% 미만, 7.5% 미만, 및 6% 미만으로 변했다.
앞서 언급한 바와 같이, 본 개시의 적어도 하나의 구현예에 따라, 제1 가스 유입구(202)는, 제1 전구체 및 도펀트 공급원을 포함한 제1 가스를 수용할 수 있고, 제2 가스 유입구(204)는 제1 전구체 또는 제2 전구체 및에천트를 포함한 제2 가스를 수용할 수 있다. 이 경우, 가스 공급원(302)은 본원에 기술된 바와 같은 전구체를 포함할 수 있고, 가스 공급원(304)은 본원에 기술된 도펀트 공급원을 포함할 수 있고, 가스 공급원(306)은 가스 공급원(302) 또는 다른 (예를 들어, 제2) 전구체 가스 공급원이거나 이를 포함할 수 있고, 가스 공급원(308)은 에천트를 포함할 수 있다. 도 4는 공유 가스 공급원(302)의 일례를 나타낸다. 흐름 제어기(207 및 211)는 공유 가스 공급원(302)에 결합된다. 가스 공급원(302 및 306)이 동일/공유 공급원인 경우, 제1 가스 공급원(203)과 제2 가스 공급원(205) 사이의 가스 비는, 예를 들어 약 0.8 내지 약 0.9, 약 0.9 내지 약 1.0, 또는 약 1.0 내지 약 1.3에서 가변될 수 있다.
본 개시의 다른 실시예에 따라, 제1 가스 유입구(215)는 에천트 및 도펀트 공급원을 포함한 제1 가스를 수용할 수 있고, 제2 가스 유입구(217)는 전구체를 포함한 제2 가스를 수용할 수 있다. 이 경우, 가스 공급원(302)은 본원에 기술된 에천트를 포함할 수 있고, 가스 공급원(304)은 본원에 기술된 도펀트 공급원을 포함할 수 있고, 가스 공급원(306)은 본원에 기술된 전구체 공급원을 포함할 수 있고, 가스 공급원(308)은 캐리어 가스를 포함할 수 있다. 이 경우, 캐리어 가스 및 전구체 가스의 유량은 각각 독립적으로 제어되어 증착된 조성물 막에 대한 추가적인 제어를 제공할 수 있다.
다양한 구현예에서, 본원의 시스템 및 방법은, 기판의 가장자리를 향해 막에 원하지 않는 효과(예, 에지 롤-다운)를 경감시킬 뿐만 아니라, 막에서 원하는 게르마늄 농도를 개선할 수 있다. 예를 들어, 기판 처리 동안 제1 가스 및 제2 가스 모두에 공통인 화합물(예를 들어, 공통 전구체 및/또는 제1 가스 공급원(203)으로부터의 제1 가스와 제2 가스 공급원(205)으로부터의 제2 가스 사이의 에천트를 가짐)을 포함하는 것은 유리한 결과를 달성할 수 있다. 또 다른 예로서, 제1 가스 공급원(203) 및 제2 가스 공급원(205)은 모두 염화수소 및/또는 저메인을 반응 챔버(290)로 전달하고 이를 포함할 수 있다.
표 1 및 도 5a, 도 5b, 및 도 6을 참조하면, 본 개시의 네 가지 예시가 설명되고, 여기서 다양한 성분을 포함한 가스가 반응기 시스템의 제1 가스 공급원 및 제2 가스 공급원(예, 도 2에 나타낸 제1 가스 공급원(203) 및 제2 가스 공급원(205))을 통해 보내지고, 이는 서로에 대해 비교되었다. 데이터 세트(512, 522)에 의해 도시된 예에서, 제1 가스 및 제2 가스는 공통 성분을 갖지 않는 반면에, 다른 세 개의 실험에서 제1 가스는 제2 가스와 공통된 성분을 포함한다. 데이터 세트(512 및 522)는 세 개의 차트(500, 550, 600 모두)에 나타나 있다. 각각의 차트에서 상부 도면(상부 도면(510, 560, 610))은, 기판 상의 위치의 함수로서 기판 상에 증착된 실리콘 게르마늄 층의 게르마늄 함량을 나타낸다(x 축 상에서 "0" 위치는 기판의 중심을 표시하고, 양 방향으로 x 축을 따라 이동하면 기판 가장자리(들)을 향해 기판 중심으로부터 이동하는 것을 나타냄). 각 차트에서 하부 도면(하부 도면(520, 570, 620))은, 기판 상의 위치의 함수로서 기판 상에 증착된 실리콘 게르마늄 층의 두께를 나타낸다(상부 도면과 동일한 x 축 단위임).
표 1
Figure pat00001
표 1에 나타낸 바와 같이, 데이터 세트(512 및 522)는, 저메인(GeH4)과 실란(SiH4)을 포함한 제1 가스를 제1 가스 공급원을 통해 흘리고 제2 가스 공급원을 통해 반응 챔버에 염화수소(HCl)를 포함한 제2 가스를 흐르게 한 결과를 나타낸다. 표 1의 "가스 흐름" 열은 제1 가스 및 제2 가스의 각각에 의해 이루어진 총 가스 흐름(상기 총 가스 흐름은 제1 가스 흐름 및 제2 가스 흐름 합계임)의 백분율을 나타낸다. 예를 들어, 데이터 세트(514 및 524)에 대해, 제1 가스 및 제2 가스 사이의 총 가스 흐름은 59%의 제1 가스와 41%의 제2 가스를 포함한다. 또한, 이들 실시예에서, 제1 및 제2 가스 공급원은 각각 5개의 가스 유출구(예, 도 2에 나타낸 가스 유출구(210 내지 228))를 갖는다. 따라서, "가스 유출구를 통한 흐름 비" 열 및 하위 열은 각 가스 유출구를 통해 흐르는 각 가스의 백분율을 나타낸다. 예를 들어, 데이터 세트(512, 522)의 제1 가스의 경우, 제1 가스의 30%는 두 개의 최외측 가스 유출구(즉, 기판 가장자리에 가장 근접한 가스 유출구) 각각을 통해 흐르고, 제1 가스의 9%는 두 개의 내측 가스 유출구 각각을 통해 흐르고, 제1 가스의 22%는 중심 가스 유출구를 통해 흘렀다. 유사하게, 데이터 세트(512, 522)의 제2 가스의 경우, 제2 가스의 15.5%는 두 개의 최외측 가스 유출구(즉, 기판 가장자리에 가장 근접한 가스 유출구) 각각을 통해 흐르고, 제2 가스의 23%는 두 개의 내측 가스 유출구 각각을 통해 흐르고, 제2 가스의 23%는 중심 가스 유출구를 통해 흘렀다. 본원에서 논의된 가스 공급원 중 임의의 가스 유출구를 통한 가스의 유량 및/또는 흐름의 양은, (예를 들어, 도 2에 나타낸 바와 같이, 각각의 가스 밸브(250 내지 268)를 통해) 독립적으로 또는 다른 가스 유출구와 함께 조절될 수 있다.
데이터 세트(512)에 의해 알 수 있는 바와 같이, 실리콘 게르마늄 층 내의 게르마늄 함량은 기판의 중심으로부터 약 85 mm의 비교적 일정한 게르마늄 함량으로부터 점진적으로 증가하기 시작한다. 실리콘 게르마늄 층에서 더 원하는 게르마늄 함량 구성은, 기판 중심으로부터 가능한 한 연장되는 길이만큼 기판 상에 비교적 일정해지다가, 기판 가장자리에 근접해서 급격히 증가하는 것일 수 있다(따라서 기판 가장자리 근처에서 상당히 증가하기 전에 기판 상의 대부분 영역에 걸쳐 실리콘 게르마늄 층에 더 일정한 게르마늄 함량을 가짐). 데이터 세트(522)에 의해 알 수 있는 바와 같이 (그리고 윈도우(528, 578, 및 628)에 의해 강조되는 바와 같이), 실리콘 게르마늄 층 두께는 기판의 가장자리를 향해 증가한 다음 층 두께의 증가 속도가 감소함에 따라 테이퍼가 진다(즉, 에지 롤-다운). 실리콘 게르마늄 층의 이러한 두께 패턴은, 기판 가장자리를 향해 계속해서 증가하고 테이퍼가 없는 층 두께보다 덜 바람직할 수 있다.
표 1과 도 5a 및 도 5b에 나타낸 차트(500)와 차트(550) 및 데이터 세트(514, 524 및 564, 574)는, 저메인, 실란 및 HCl을 포함한 제1 가스를 제1 가스 공급원을 통해 흐르게 하고, HCl을 포함한 제2 가스를 제2 가스 공급원을 통해 반응 챔버로 흐르게 한 결과를 나타낸다. 따라서, 제1 가스 및 제2 가스는 모두 HCl을 포함한다. 각 가스 유출구를 통한 흐름 백분율 및 흐름 비는 표 1의 각각의 열에 나타나 있다. 데이터 세트(514, 524)를 생성한 실시예는, 55% 상부/45% 하부의 상부 편향 전력 비율을 갖는 실리콘 제어된 정류기(SCR)를 포함했던 반면에, 데이터 세트(564, 574)를 생성한 실시예는 42% 상부/58% 하부의 하부 편향 전력 비율을 갖는 SCR을 포함하였다. 데이터 세트(514, 564)를 통해 알 수 있는 바와 같이, 생성된 실리콘 게르마늄 층 내의 게르마늄 함량은, 기판의 중심으로부터 약 110 mm 주위에 비교적 일정한 게르마늄 함량으로부터 점진적으로 증가하기 시작한다. 따라서, 제1 및 제2 가스(예, HCl 같은 에천트)에 공통 화합물을 포함하면, 데이터 세트(512)에 나타낸 것보다 기판의 더 큰 면적에 걸쳐 실리콘 게르마늄 층 내에서 보다 일정한 게르마늄 함량을 초래하였다. 데이터 세트(524 및 574)를 통해 알 수 있는 바와 같이 (그리고 윈도우(528 및 578)에 의해 강조되는 바와 같이), 실리콘 게르마늄 층 두께는 테이퍼 없이(즉, 에지 롤-다운 없이) 기판의 가장자리를 향해 연속적으로 증가한다. 따라서, 이들 제1 및 제2 가스를 제공하는 것, 둘 다 적어도 하나의 화합물(예, HCl)을 공유하면, 데이터 세트(522)에 나타낸 것보다 최종 실리콘 게르마늄 층의 더 바람직한 특성을 생성하였다.
표 1과 도 6에 나타낸 차트(600) 및 데이터 세트(614, 624)는, 저메인, 실란 및 HCl을 포함한 제1 가스를 제1 가스 공급원을 통해 흐르게 하고, 저메인을 포함한 제2 가스를 제2 가스 공급원을 통해 반응 챔버로 흐르게 한 결과를 나타낸다. 따라서, 제1 가스 및 제2 가스는 모두 저메인을 포함한다. 각 가스 유출구를 통한 흐름 백분율 및 흐름 비는 표 1의 각각의 열에 나타나 있다. 데이터 세트(614)를 통해 알 수 있는 바와 같이, 최종 실리콘 게르마늄 층 내의 게르마늄 함량은 기판의 중심으로부터 120 mm 주위에 비교적 일정한 게르마늄 함량으로부터 점진적으로 증가하기 시작한다. 따라서, 제1 및 제2 가스(예, 저메인 같은 전구체) 모두에 공통 화합물을 포함하면, 데이터 세트(512)에 나타낸 것보다 기판의 더 큰 면적에 걸쳐 실리콘 게르마늄 층 내에서 보다 일정한 게르마늄 함량을 초래하였다. 데이터 세트(624)를 통해 알 수 있는 바와 같이 (그리고 윈도우(628)에 의해 강조되는 바와 같이), 실리콘 게르마늄 층 두께는 테이퍼 없이(즉, 에지 롤-다운 없이) 기판의 가장자리를 향해 연속적으로 증가한다. 따라서, 이들 제1 및 제2 가스를 제공하는 것, 둘 다 적어도 하나의 화합물(예, 저메인)을 공유하면, 데이터 세트(522)에 나타낸 것보다 최종 실리콘 게르마늄 층의 더 바람직한 특성을 생성하였다.
본 개시의 예시적인 구현예가 본원에 명시되지만, 본 개시는 이에 한정되지 않는다는 것을 이해해야 한다. 예를 들어, 가스 주입 장치 및 반응기 시스템은 다양한 특정 구조와 관련하여 설명되었지만, 본 개시는 반드시 이들 실시예에 한정되지 않는다. 본원에 기술된 시스템 및 방법의 다양한 개조, 변화 및 개선이 본 개시의 사상 및 범주를 벗어나지 않고 이루어질 수 있다.
본 개시의 요지는 본원에 개시된 다양한 시스템, 구성 요소, 및 구조, 및 다른 특징, 기능, 행위 및/또는 성질의 모든 신규하고 비자명한 조합 및 하위 조합뿐만 아니라 임의의 그리고 모든 이들의 균등물을 포함한다.

Claims (21)

  1. 가스 주입 시스템으로서,
    제1 가스 유입구 및 복수의 제1 가스 유출구를 포함한 제1 가스 매니폴드;
    제2 가스 유입구 및 복수의 제2 가스 유출구를 포함한 제2 가스 매니폴드;
    복수의 제1 가스 밸브(상기 복수의 제1 가스 유출구 각각은 상기 복수의 제1 가스 밸브 중 적어도 하나에 결합됨); 및
    복수의 제2 가스 밸브(상기 복수의 제2 가스 유출구 각각은 상기 복수의 제2 가스 밸브 중 적어도 하나에 결합됨)를 포함하되,
    상기 제1 가스 유입구는, 제1 전구체와 도펀트 공급원을 포함한 제1 가스를 수용하고,
    상기 제2 가스 유입구는, 상기 제1 전구체 또는 제2 전구체 및 에천트를 포함한 제2 가스를 수용하는, 가스 주입 시스템.
  2. 제1항에 있어서, 상기 제2 가스는 상기 제1 전구체를 포함하는, 가스 주입 시스템.
  3. 제2항에 있어서, 제1 전구체 공급원과 상기 제1 가스 유입구에 결합된 제1 흐름 제어기, 및 상기 제1 전구체 공급원과 상기 제2 가스 유입구에 결합된 제2 흐름 제어기를 추가로 포함하는, 가스 주입 시스템.
  4. 제1항에 있어서, 상기 제1 전구체의 화학식과 상기 제2 전구체의 화학식은 동일한 원소 중 하나 이상을 포함하는, 가스 주입 시스템.
  5. 제1항에 있어서, 상기 제1 전구체는 트리클로로실란, 디클로로실란, 실란, 디실란, 트리실란, 및 실리콘 테트라클로라이드로 이루어진 군으로부터 선택되는, 가스 주입 시스템.
  6. 제1항에 있어서, 상기 도펀트는 저메인, 디보란, 포스핀, 아신, 및 삼염화인으로 이루어진 군으로부터 선택되는, 가스 주입 시스템.
  7. 제1항에 있어서, 상기 에천트는 염화수소를 포함하는, 가스 주입 시스템.
  8. 가스 주입 시스템으로서,
    제1 가스 유입구 및 복수의 제1 가스 유출구를 포함한 제1 가스 매니폴드;
    제2 가스 유입구 및 복수의 제2 가스 유출구를 포함한 제2 가스 매니폴드;
    복수의 제1 가스 밸브(상기 복수의 제1 가스 유출구 각각은 상기 복수의 제1 가스 밸브 중 적어도 하나에 결합됨); 및
    복수의 제2 가스 밸브(상기 복수의 제2 가스 유출구 각각은 상기 복수의 제2 가스 밸브 중 적어도 하나에 결합됨)를 포함하되,
    상기 제1 가스 유입구는, 에천트와 도펀트 공급원을 포함한 제1 가스를 수용하고,
    상기 제2 가스 유입구는, 전구체를 포함한 제2 가스를 수용하는, 가스 주입 시스템.
  9. 제8항에 있어서, 상기 제2 가스는 캐리어 가스를 추가로 포함하는, 가스 주입 시스템.
  10. 제8항에 있어서, 상기 제1 가스는 캐리어 가스를 추가로 포함하는, 가스 주입 시스템.
  11. 제9항에 있어서, 상기 캐리어 가스의 유량을 제어하기 위한 흐름 제어기를 추가로 포함하는 가스 주입 시스템.
  12. 제9항에 있어서, 상기 캐리어 가스는 질소, 수소, 및 헬륨으로 이루어진 군으로부터 선택되는, 가스 주입 시스템.
  13. 제8항에 있어서, 상기 전구체는 트리클로로실란, 디클로로실란, 실란, 디실란, 트리실란, 실리콘 테트라클로라이드로 이루어진 군으로부터 선택되는, 가스 주입 시스템.
  14. 제8항에 있어서, 상기 도펀트는 저메인, 디보란, 포스핀, 아신, 삼염화인으로 이루어진 군으로부터 선택되는, 가스 주입 시스템.
  15. 제1항의 가스 주입 시스템을 포함하는 반응기 시스템.
  16. 제15항에 있어서, 서셉터를 추가로 포함하되, 상기 서셉터는 약 60 내지 약 30, 약 30 내지 약 15, 또는 약 15 내지 약 5 회전/분의 회전 속도에서 회전하는, 반응기 시스템.
  17. 제1항의 가스 주입 시스템을 사용하여 반응 챔버 내에서 기판의 표면 상에 재료를 증착하는 방법.
  18. 제17항에 있어서, 약 60 내지 약 30, 약 30 내지 약 15, 또는 약 15 내지 약 5 회전/분의 회전 속도에서 서셉터를 회전시키는 단계를 추가로 포함하는, 방법.
  19. 제17항에 있어서, 서셉터의 온도는 500 내지 약 600, 약 600 내지 약 700, 또는 약 700 내지 약 800℃인, 방법.
  20. 제17항에 있어서, 상기 반응 챔버 내의 압력은 약 2 밀리토르 내지 약 780 토르 사이인, 방법.
  21. 제17항에 있어서, 상기 제1 가스 및 상기 제2 가스는 공통 성분을 포함하는, 방법.
KR1020200127920A 2019-10-08 2020-10-05 가스 주입 시스템 및 이를 포함하는 반응기 시스템 KR20210042247A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962912521P 2019-10-08 2019-10-08
US62/912,521 2019-10-08

Publications (1)

Publication Number Publication Date
KR20210042247A true KR20210042247A (ko) 2021-04-19

Family

ID=75273997

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200127920A KR20210042247A (ko) 2019-10-08 2020-10-05 가스 주입 시스템 및 이를 포함하는 반응기 시스템

Country Status (4)

Country Link
US (1) US20210102290A1 (ko)
KR (1) KR20210042247A (ko)
CN (1) CN112626494A (ko)
TW (1) TW202128273A (ko)

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7157379B2 (en) * 2003-09-23 2007-01-02 Intel Corporation Strained semiconductor structures
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
CN2755462Y (zh) * 2004-11-05 2006-02-01 济南化肥厂有限责任公司 氨氧化炉快速点火装置
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
DE102007017226A1 (de) * 2007-03-22 2008-09-25 Pangas Verfahren zum Lichtbogenfügen mit Wechselstrom
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
CN202379772U (zh) * 2011-12-13 2012-08-15 河南科技大学 流化床光催化反应器及其气体分布器
US20130295283A1 (en) * 2012-05-07 2013-11-07 Pinecone Material Inc. Chemical vapor deposition apparatus with multiple inlets for controlling film thickness and uniformity
US10167557B2 (en) * 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
JP6346849B2 (ja) * 2014-08-20 2018-06-20 東京エレクトロン株式会社 ガス供給系、プラズマ処理装置、及びプラズマ処理装置の運用方法
CN105727688B (zh) * 2016-03-09 2018-03-13 江苏天楹环保能源成套设备有限公司 一种变压吸附塔
CN109012763A (zh) * 2017-06-12 2018-12-18 湖北宏嘉化工股份有限公司 一种pp离子交换柱
US11053591B2 (en) * 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same

Also Published As

Publication number Publication date
US20210102290A1 (en) 2021-04-08
TW202128273A (zh) 2021-08-01
CN112626494A (zh) 2021-04-09

Similar Documents

Publication Publication Date Title
KR20210042247A (ko) 가스 주입 시스템 및 이를 포함하는 반응기 시스템
US11053591B2 (en) Multi-port gas injection system and reactor system including same
TWI810259B (zh) 氣體分佈系統及包含該系統的反應器系統
US10604847B2 (en) Gas distribution system, reactor including the system, and methods of using the same
US20210292902A1 (en) Method of depositing epitaxial material, structure formed using the method, and system for performing the method
US7674337B2 (en) Gas manifolds for use during epitaxial film formation
US20130280891A1 (en) Method and apparatus for germanium tin alloy formation by thermal cvd
WO2012134512A1 (en) Method and apparatus for the selective deposition of epitaxial germanium stressor alloys
US7732308B2 (en) Process for depositing layers containing silicon and germanium
CN109786213A (zh) 半导体器件的制造方法、衬底处理装置及记录介质
KR20090006144A (ko) 에피택셜막을 형성하는 동안 이용되는 가스 매니폴드들
JP6814561B2 (ja) ガス配管システム、化学気相成長装置、成膜方法及びSiCエピタキシャルウェハの製造方法
US11479854B2 (en) Apparatus and method of depositing a layer at atmospheric pressure
KR20210141384A (ko) 다중 전구체를 사용하여 실리콘 게르마늄 균일도를 제어하기 위한 방법
KR20070037503A (ko) 실리콘 및 게르마늄을 포함하는 층들의 증착 방법
US20220051891A1 (en) Apparatus and Method of Forming a Semiconductor Layer
US20240071804A1 (en) Method, assembly and system for gas injection and control