JP5300261B2 - 半導体製造のための気体フロー分割システム及び方法 - Google Patents

半導体製造のための気体フロー分割システム及び方法 Download PDF

Info

Publication number
JP5300261B2
JP5300261B2 JP2007502806A JP2007502806A JP5300261B2 JP 5300261 B2 JP5300261 B2 JP 5300261B2 JP 2007502806 A JP2007502806 A JP 2007502806A JP 2007502806 A JP2007502806 A JP 2007502806A JP 5300261 B2 JP5300261 B2 JP 5300261B2
Authority
JP
Japan
Prior art keywords
flow
ratio
corrected
flow ratio
line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007502806A
Other languages
English (en)
Other versions
JP2007528603A (ja
Inventor
シャジ,アリ
ナガルカティ,シダハート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MKS Instruments Inc
Original Assignee
MKS Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MKS Instruments Inc filed Critical MKS Instruments Inc
Publication of JP2007528603A publication Critical patent/JP2007528603A/ja
Application granted granted Critical
Publication of JP5300261B2 publication Critical patent/JP5300261B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • G05D7/0641Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means
    • G05D7/0664Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means using a plurality of throttling means the plurality of throttling means being arranged for the control of a plurality of diverging flows from a single flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D11/00Control of flow ratio
    • G05D11/02Controlling ratio of two or more flows of fluid or fluent material
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0363For producing proportionate flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/2496Self-proportioning or correlating systems
    • Y10T137/2514Self-proportioning flow systems
    • Y10T137/2521Flow comparison or differential response
    • Y10T137/2524Flow dividers [e.g., reversely acting controls]

Description

本発明は、広くは、半導体製造装置に関し、詳しくは、正確に区分された量のプロセス・ガスを半導体プロセス・チャンバまで搬送するシステム及び方法に関する。更に詳しくは、本発明は、プロセス・ガスの単一のフローを所望の比率の2以上のフローに分割するシステム及び方法に関し、そこでは、インサイチュ・プロセス・モニタを用いて、それぞれのフローによって生じる処理結果のリアルタイムでのモニタリングが提供され、それぞれのフローによって生じる処理結果が等しくない場合には、本発明によるシステム及び方法は、フローの比率をリアルタイムで調整して、所望の処理結果を得る。
半導体デバイスの製造又は製作は、多くの場合、注意深い同期と、1ダースもの気体をプロセス・チャンバまで正確に測定された搬送とを必要とする。製造プロセスにおいては様々な方法が用いられ、半導体デバイスが洗浄され、研磨され、酸化処理され、マスキングがなされ、エッチングがなされ、ドーピングがなされ、メタライゼーションがなされ、というような多くの離散的な処理ステップが要求される。用いられるステップと特定のシーケンスと関係する材料とのすべてが、特定のデバイスの製造に寄与するのである。
従って、ウエハ製造施設は、通常、化学的蒸着、プラズマ蒸着、プラズマ・エッチング、スパッタリング及びそれ以外の同様な気体製造プロセスが実行される領域を含むように構築されている。化学的蒸着反応器、真空スパッタリング装置、プラズマ・エッチング装置、プラズマ・エンハンスト化学的蒸着などの処理ツールに、様々なプロセス・ガスが供給されなければならない。純粋な気体が、正確に計量された量だけこれらのツールに供給されなければならない。
典型的なウエハ製造施設では、気体は、気体箱にパイピングやコンジットを介して接続されているタンクに保管されている。気体箱は、製造施設のタンクからプロセス・ツールへ、正確に計量された量の不活性ガスや反応性ガスを搬送する。気体箱又は気体計量システムは、弁、圧力調整器、トランスデューサ、質量流量コントローラ、フィルタ、精製装置などの気体計量ユニットを有する複数の気体経路を含む。それぞれの気体経路は、別個の気体源に接続するそれ自体の入口を有するが、気体経路はすべて、プロセス・ツールに接続する単一の出口に収束している。
時には、混合されたプロセス・ガスを等しい複数のフローに分割したり、等しくないが比例関係にあるフローに分割することが望まれることがある。例えば、気体箱からの単一の気体フローを複数のプロセス・チャンバに分割することが必要になる。この場合、それぞれのプロセス・チャンバは、等しいフローを受け取る。また、気体箱からの単一の気体フローを単一のプロセス・チャンバの別個の複数の部分に分割することが必要になることもある。この場合は、プロセス・チャンバのそれぞれの部分は、等しいフロー又は等しくないが比例関係にあるフローを受け取る。
定圧又は大気圧での化学的蒸着、エッチング、エピタキシなどの様々な半導体製造プロセスでは、プロセス・ガスをプロセス・チャンバの中で処理されている半導体ウエハの上に均等に配分するため、プロセス・チャンバの中ではシャワーヘッドが用いられる。シャワーヘッドは、単一の区域を有する場合もあるし、2以上の複数の区域を有する場合もある。複数区域のシャワーヘッドの例としては、限定は意味しないが、米国特許第5,453,124号(Moslehi他)、米国特許第5,624,498号(Lee他)、米国特許第5,976,261号(Moslehi他)、米国特許第6,251,187号(Li他)、米国特許第6,302,964号(Umotoy他)、米国特許第6,676,760号(Kholodenko他)などがある。
気体箱の一次フローが別個の複数のプロセス・チャンバ又は単一のプロセス・チャンバの別個の複数の部分の間で希望するように分割されることを保証するため、フロー分割システムが用いられる。フロー分割システムの例としては、限定は意味しないが、米国特許第4,369,031号(Goldman他)、米国特許第6,333,272号(McMillin他)、米国特許第6,418,954号(Taylor他)、公開米国特許出願第2003/0130807号などがある。
依然として望まれるのは、例えば、プロセス・ガスの単一のフローを別個の複数のプロセス・チャンバ又は単一のプロセス・チャンバの別個の複数の部分の間で分割するのに用いることができる新規で改良型の気体フロー分割システム及び方法である。好ましくは、このフロー分割システム及び方法は、インサイチュ・プロセス(ウエハの均一性)モニタリングを一体化して、必要に応じて、フロー分割システム及び方法によって生じたフロー比率を直ちに調整して半導体ウエハの不均一をリアルタイムで訂正することができる。
本発明は、単一の質量フローを所望の比率の2以上の二次質量フローに分割するシステムを提供する。本発明によるシステムは、前記単一の質量フローを受け取る入口と、前記入口に接続された少なくとも2つの二次フロー・ラインと、を含む。本発明によるシステムは、更に、少なくとも1つの所望のフロー比率(すなわち、設定点)を受け取る入力手段と、前記フロー・ラインのそれぞれによって生じた製品の測定値(例えば、半導体ウエハの膜厚測定値)を提供する少なくとも1つのインサイチュ・プロセス・モニタと、前記入力手段と前記インサイチュ・プロセス・モニタとに接続されたコントローラと、を含む。このコントローラは、前記入力手段を介して所望のフロー比率を受け取り、前記インサイチュ・プロセス・モニタから前記製品の測定値を受け取り、前記所望のフロー比率と前記製品の測定値とに基づく訂正フロー比率を計算するようにプログラムされている。
本発明のある特徴によると、本発明によるシステムは、それぞれのフロー・ラインに接続された別個のプロセス・チャンバを更に含み、それぞれのプロセス・チャンバは、それぞれのプロセス・チャンバの中の半導体ウエハの測定値を提供するインサイチュ・プロセス・モニタを少なくとも1つ含む。
本発明の別の特徴によると、本発明によるシステムは、前記フロー・ラインのすべてに接続された単一のプロセス・チャンバを更に含み、前記プロセス・チャンバの中に配置された半導体ウエハは前記フロー・ラインに対応する複数の区域に分割される。更に別の特徴によると、前記フロー・ラインは前記プロセス・チャンバのシャワーヘッドに接続されており、前記プロセス・チャンバは、前記プロセス・チャンバの中の半導体ウエハの複数の区域のそれぞれの測定値を提供する前記インサイチュ・プロセス・モニタを少なくとも1つ含む。
本発明の別の特徴及び効果によると、本発明によるシステムは、半導体ウエハ処理の不均一に対するリアルタイムでの訂正を提供する。本発明によるシステムは、プロセス・ガスの単一のフローを、別個の複数のプロセス・チャンバの間で、又は、単一のプロセス・チャンバの別個の複数の部分の間で分割することができ、インサイチュ・プロセス(ウエハ均一性)モニタリングを一体化し、必要に応じて本発明によるフロー分割システムによって生じたフロー比率を直ちに調整して、半導体ウエハの不均一をリアルタイムで訂正する。
本発明の別の特徴によると、前記インサイチュ・プロセス・モニタは、差動センサ(differential sensor)であることを特徴とするシステム。従って、本発明は、相対的な較正だけを必要とするセンサを用いており、面倒で費用を要し信頼性の低い絶対的な較正を不要とする。
本発明のこれ以外の特徴及び効果は、本発明の実施例を例示的に示し説明している以下の詳細な説明を読めば、当業者であれば理解できるはずである。理解できるように、本発明は、本発明から離れることなく様々な明らかな点において修正が可能である。従って、添付の図面及び詳細な説明は、制限を意味せず、あくまで例示的な性質を有する。
添付の図面を参照するが、添付の図面においては、同一の参照符号を有する要素は、同じ要素を表している。
図1及び図2を参照すると、本発明は、単一の気体フロー(質量フローとも称する)を所望の比率の2以上のフローに分割するフロー分割システム10及び方法12を提供する。システム10及び方法12は、特に、汚染物フリーで正確に計量された量のプロセス・ガスを半導体プロセス・チャンバまで搬送する気体計量システムと共に用いることが意図されている。本発明によるシステム10及び方法12は、インサイチュ・プロセス(ウエハ均一性)モニタリングを一体化して、必要に応じてフロー比率を直ちに調整し、半導体ウエハの不均一性をリアルタイムで訂正する。
図1は、気体計量箱110の実施例と単一プロセス・チャンバ106のシャワーヘッド107の実施例との間に接続されているように示されているフロー分割システム10の実施例の概略的な図解であり、半導体ウエハ200が処理のために保持されている様子が示されている。気体計量箱110は、例えばプロセス・ガスとパージ・ガスとの両方を含む複数の気体を気体源(例えば、気体タンク)104a、104b、104c、104d(4つのタンクが示されているが、本発明によるシステムは、望むのであれば、4より多い又は少ないタンクを含むことが可能である)から受け取り、フロー分割システム10のためのこれらの気体を混合し正確に計量する。
気体箱110は、複数の気体スティック112a、112b、112c、112dを有する(4つのスティックが示されているが、気体箱は、4より多い又は少ない箱を含むことが可能である)。それぞれのスティックは、例えば、質量流量コントローラ(MFC)114と、MFCよりも前に配置されている弁116と、MFCよりも後に配置されている弁118とを含む。気体スティック112a、112b、112c、112dは、気体源104a、104b、104c、104dに別個に接続されており、制御可能な気体経路を提供することによって、汚染フリーの正確に計量された量の気体、又は、気体の混合物が、気体箱110からフロー分割システム10に供給される。示されてはいないが、気体スティック112a、112b、112c、112dは、それぞれに、フィルタ、清浄器、圧力トランスデューサ、コントローラなど、気体をモニタする又は制御する他のコンポーネントが提供されていることもありうる。気体スティック112a、112b、112c、112dは、例えば出口マニホルド128の中で相互に接続し、そう望まれる場合には、それぞれのスティックからの気体フローが気体箱から出る前に混合させることが可能である。
真空ポンプ120は、ゲート弁122を介してプロセス・チャンバ106に接続されている。動作の間には、真空ポンプ120は、気体源104a、104b、104c、104dからの気体を、気体箱110とフロー分割システム10とを介して、プロセス・チャンバ106の中へ導くことにより、これらの気体を半導体ウエハ200の処理に用いることができる。プロセス・チャンバ106の中で気体によって実行されるプロセスには、限定を意味しないが、化学的蒸着、プラズマ蒸着、プラズマ・エッチング、スパッタリングなどが含まれる。これらのプロセスにより、物質の層がウエハ200の表面に積層されたり、そこから除去されたりする。あるいは、ウエハ200の表面の性質(例えば、多孔性)が変化したりすることもある。ウエハ200に対するこれらの変更は、気体によって生じる所望の1又は複数のプロセスの進行を決定するために、モニタすることができる。
図1を参照すると、本発明によるフロー分割システム10は、単一の気体フローを気体箱10の出口マニホルド128から受け取る入口ライン又はマニホルド13と、入口13に接続された第1及び第2のフロー・ライン14a、14bとを含む。それぞれのライン14a、14bには、そのラインを流れる質量流量を測定して測定されたフローを示す信号を提供する質量流量計18a、18bと、所望のフロー比率を示す信号に基づいてラインを流れるフローを制御する弁20a、20bとが提供されている。比率システム10は、また、所望のフロー比率を受け取る(人間のオペレータから直接に受け取るか、又は、ウエハ処理のコンピュータ・コントローラを介して間接的に受け取る)入力装置22と、質量流量計18a、18bと弁20a、20bと入力装置22とに接続されたコントローラ24とを有する。この出願では、フロー比率αは、第2のライン14bを流れるフローQを第1のライン14aを流れるフローQによって除算した値と定義される。
注意すべきであるが、図1に示されているフロー分割システム10の実施例は2つのフロー・ライン14a、14bだけを含むが、本発明に従って構築されるフロー分割システムは、3以上のフロー・ラインを有する場合もありうる。
フロー分割システム10は、また、フロー・ライン14a、14bのそれぞれによって生じた製品の測定値を提供するインサイチュ・プロセス・モニタ100を含む。インサイチュ・プロセス・モニタ100は、例えば、ウエハ200の表面に積層される又はそこから排除される層の膜厚の測定値を提供する。あるいは、インサイチュ・プロセス・モニタ100は、例えば、ウエハ200の表面の性質(例えば、多孔性)の測定値を提供する。インサイチュ・プロセス・モニタ100によって提供される測定値は、プロセス・チャンバ106の中のウエハ200に対して気体によって生じる所望の1又は複数のプロセスの進行を決定するのに用いられる。
インサイチュ・プロセス・モニタ100は、偏光解析法(ellipsometry)、発光分光法(OES)、光干渉計など高度な薄膜計測方法を用いて、半導体ウエハの積層された膜厚などの性質を決定する。ある実施例によると、本発明のシステム10の一部として用いられるインサイチュ・プロセス・モニタ100は、反射された光と光源から放出された光との比率をモニタすることによって測定値を得る差動センサを含む。
インサイチュ・プロセス・モニタは、例えば、米国特許第5,387,309号(Bobel他)、米国特許第6,113,733号(Eriguchi他)、米国特許第6,117,348号(Peng他)、米国特許第6,278,809号(Johnson他)、米国特許第6,563,578号(Halliyal他)、米国特許第6,633,391号(Oluseyi他)に示されている。これらの米国特許は、すべて本出願において援用する。インサイチュ・プロセス・モニタは、例えば、米国ニュージャージー州エジソン(Edison)所在のジョビン・イボン(Jobin Yvon)社(www.jobinyvon.com)、米国ミネソタ州イーデン・プレイリ(Eden Prairie)所在のSVTアソシエーツ(SVT Associates)社(www.svta.com)、米国ペンシルバニア州アレンタウン(Allentown)所在のマイクロ・フォトニクス(Micro Photonics)社(www.microphotonics.com)、米国カリフォルニア州サンタクララ所在のルクストロン(Luxtron)社(www.luxtron.com)、米国バージニア州スターリング(Sterling)所在のフォーウェイブ(4Wave)社(www.4waveinc.com)などから市販されている。
図1の実施例では、システム10のフロー・ライン14a、14bは、共に、プロセス・チャンバ106のシャワーヘッド107の中に供給される。従って、第2のライン14bを流れるフローQは、ウエハ200の外側の部分又は区域に影響を与え、他方で、第1のライン14aを流れるフローQは、ウエハ200の内側の部分又は区域に影響を与える。従って、ウエハ200の内側の区域は第1のフロー・ライン14aと対応し、ウエハ200の外側の区域は第2のフロー・ライン14bに対応する。
図1の実施例では、インサイチュ・プロセス・モニタ100は、ウエハ200の内側区域から少なくとも1つの測定値Mを提供して、第1のフロー・ライン14aを流れる気体フローのプロセスの結果を指示する。また、インサイチュ・プロセス・モニタ100は、ウエハ200の外側区域から少なくとも1つの測定値Mを提供して、第2のフロー・ライン14bを流れる気体フローのプロセスの結果を指示する。
次に図2を参照すると、コントローラ24は、ステップ30に示されているように入力装置22を流れる所望のフロー比率を受け取り、ステップ32に示されているように流量計18a、18bからの測定されたフローを示す信号を受け取り、ステップ34に示されているように測定されたフローに基づいてフロー・ライン14a、14bを流れる実際のフロー比率を計算し、ステップ36に示されているように実際のフロー比率と「訂正された」フロー比率とを比較するようにプログラムされている。コントローラ24は、また、ステップ38に示されているように実際のフロー比率が訂正されたフロー比率と等しくない場合にはフロー・ライン14a、14bの少なくとも一方を流れる所望のフローを計算し、ステップ40に示されているように弁20a、20bの少なくとも一方への所望のフローを示す「調整」信号を提供するようにプログラムされている。従って、コントローラ24は、ラインを流れる実際のフロー比率が訂正されたフロー比率と等しくなるまで、フロー・ライン14a、14bの少なくとも一方を流れるフローを調整する。
更に、コントローラ24は、図2のステップ50に示されているようにインサイチュ・プロセス・モニタ100から測定値M及びMを受け取り、ステップ52に示されているように測定値M及びMを比較するようにプログラムされている。測定値M及びMが等しく、フローQ及びQがウエハ200の内側及び外側の区域に等しい処理結果を生じていることを示す場合には、コントローラは、ステップ54に示されているように、所望のフロー比率と等しい訂正フロー比率を計算するようにプログラムされている。別言すると、フローQ及びQが異なる処理結果を生じておらずシステムは希望通りに動作しているので、訂正は要求されない。
測定値M及びMが等しくなく、ウエハ200の内側及び外側区域に等しい処理結果を生じていないことを示している場合には、コントローラ24は、ステップ56に示されているように、訂正されたフロー比率を計算するようにプログラムされている。第1に、コントローラ24は、kを任意の正のスカラ定数として、プロセス均一性誤差ε=k/2[(M−M)/(M+M)]を計算し、更に、所望のフロー比率とプロセス均一性誤差εとに基づいて、訂正されたフロー比率を計算するようにプログラムされている。本発明のある実施例では、訂正されたフロー比率は、所望のフロー比率とプロセス均一性誤差εとの積に等しい。あるいは、訂正されたフロー比率はf(ε)として計算することができる。ここでfは実際に用いられた物理システムに基づくモデル・ベースのアプローチを用いて決定される関数である。
ある実施例では、コントローラ24は、第1の所望のフローを示す「初期」信号を第1のライン14aの弁20aに提供し、実際のフロー比率が所望のフロー比率と等しくない場合には第2のフローを計算し、第2の所望のフローを示す「調整」信号を第2のフロー・ライン14bの弁20bに提供するようにプログラムされている。調整信号Vc2は、次の数式を用いて計算される。
Figure 0005300261
ここで、Vc2はコントローラ24から第2の弁20bへのコマンドであり、Kpaはフロー比率制御のための比例ゲインであり、Kiaはフロー比率制御のための積分ゲインであり、αは測定されたフロー比率であり、αspはフロー比率設定点又は所望のフロー比率である。このようにして、第1のライン14aの弁20aは固定されたオリフィスとして作用し、他方で、第2のライン14bの弁20bは可変制御弁として作用する。この特徴により、システム10がシステムを介して制御される気体のタイプとは独立に動作することが可能になる。その理由は、気体が異なることに起因するフロー測定の誤差は流量計18a、18bの両方で同一であるからである。好ましくは、コントローラ24は、第1のライン14aの弁20aを完全に開放し、それによって、システム10の前後での全体的な圧力降下を最小化する。
本発明のフロー比率システム10と共に用いるための適切な質量流量計18a、18bの例として、この出願の出願人である米国マサチューセッツ州アンドーバ(Andover)所在のMKSインスツルメンツ(MKS Instruments)社(www.mksinst.com)から市販されている熱ベースのマス・フロー(Mass-Flo(登録商標))ブランドのコントローラがある。適切な弁20a、20bもまた出願人から市販されている。弁20a、20bは、非線形であり、制御可能な範囲が狭い。しかし、熱流量計18a、18bは、システム10によって提供される制御範囲を決定する際には限定因子であり、その理由は、流量計は通常は最大センサ範囲の5パーセント未満では信頼できないからである(例えば、2000sccmの熱流量計は、100sccmより下では信頼性が低い)。
図示されていないが、質量流量計10に3以上のフロー・ライン14を提供することが可能である。この場合、それぞれの追加的なフロー・ラインはコントローラ24に接続された弁20と流量計18とを有する。更に、質量流量コントローラを、それぞれのラインの質量流量計及び弁として用いることが可能である。図示されていないが、本発明によるフロー比率システム10は、気体箱とプロセス・チャンバとの間で迅速かつ容易に組み立てるためにモジュラ・ユニットとして提供することが可能である。そのような場合には、シャットオフ弁又は適切なコネクタ150を、図1に示されているように、フロー比率システム10の入口マニホルド13と気体箱110の出口マニホルド128との間に提供することが可能である。
本発明によるフロー分割システム及び方法の実施例は、更に、システム10の入口13及び/又は出口のための圧力センサを含むことがある。この圧力センサによって提供される入口圧力及び/又は出口圧力測定値は、コントローラ24によって、フロー比率αを制御するだけではなく入口圧力及び/又は出口圧力を制御するのに用いられる。
圧力制御機能を追加することは、多くの補助的な利点を有するのであるが、これには、システム10の性能向上と、システム10の上流又は下流にある装置への混乱の減少とが含まれる。システム10を最大可能圧力で動作させることにより、フロー比率制御システムにおける安全性因子の必要性を除去又は低下させることができる。更に、弁20a、20bの両側での圧力降下を制御することで、弁の性能を改善し、弁の設定、整合及び調整をより単純にすることができる。従って、本発明は、任意の追加された圧力制御機能を備えたフロー分割システム及び方法を含むことが意図されている。例えば、本発明は、フロー分割システム10に加え、システムの入口及び/又は出口において圧力センサとを含むことが意図されている。本発明は、また、フロー分割方法12に加え、入口及び/又は出口において圧力を測定することが意図されている。実際に、本発明は、特許請求の範囲に記載されたフロー分割システム及び方法のために圧力測定値を用いる任意の制御方法を含むことが意図されている。
次の説明する例では、図1を参照する。質量フロー比率システム10の入口13に圧力センサ(図示せず)を追加することを想定すると、コントローラ24は、第2のライン14bを流れるフローQと、第1のライン14aを流れるフローQと、圧力センサによって提供された入口における測定された圧力Pinという3つの入力を受け取るようにプログラムされている。コントローラ24は、一度に1つの弁だけを制御するのではなく、第1及び第2の弁20a、20bの両方に、動的にコマンドを発するようにプログラムされている。しかし、フロー比率制御に関しては、「固定された弁」はほとんど開放されており、他方、フロー比率は、他方の弁をその弁の制御範囲の10%から50%の間で制御することによって決定される。圧力信号を追加することで、固定された弁は入口圧力を制御するように設定され、他方の弁はフロー比率を制御するのに用いられる。
入口圧力制御の例は、次のように書くことができる。
Figure 0005300261
ここで、Vc1はコントローラ24から第1の弁20aへのコマンド、Vc2は第2の弁20bへのコマンドであり、Kppは圧力制御のための比例ゲインであり、Kipは圧力制御のための積分ゲインであり、Kpαはフロー比率制御のための比例ゲインであり、Kiαはフロー比率制御のための積分ゲインであり、αは測定されたフロー比率であり、αspはフロー比率設定点又は所望のフロー比率であり、Pinは測定された入口圧力であり、Pは動作圧力スレショルド(又は、所望の圧力)である。
この制御システム及び方法は比例プラス積分(PI)タイプの制御システム及び方法として説明されているが、他のタイプの制御システム及び方法を用いることもできる。例えば、比例、積分、比例プラス微分(PD)、比例プラス積分プラス微分(PID)タイプの制御システム及び方法などである。
図3では、システム10は、それぞれのフロー・ライン14a、14bに接続された別個のプロセス・チャンバ106、108を含んでおり、それぞれのプロセス・チャンバ106、108は、それぞれのプロセス・チャンバの中の半導体ウエハ200に関する測定値を提供するインサイチュ・プロセス・モニタ100を少なくとも1つ含む。
本発明のこれ以外の特徴及び効果として、システム10は、半導体ウエハ処理の不均一に対するリアルタイムでの訂正を提供する。システム10は、別個のプロセス・チャンバ106、108の間で、又は、単一のプロセス・チャンバ106の別個の複数の部分の間でプロセス・ガスの単一のフローを分割し、インサイチュ・プロセス(ウエハの均一性)モニタを一体化して、必要に応じて、フロー分割システム10によって生じたフロー比率を直ちに調整して半導体ウエハの不均一をリアルタイムで訂正することができる。インサイチュ・プロセス・モニタ100は、差動センサを含むから、相対的な較正だけを要求し、面倒で費用を要し信頼性が低いことが多い絶対較正の必要性を排除できる。
この出願で説明された実施例は、限定ではなく例示のためのものであり、様々な修正、組合せ及び代替が、冒頭の特許請求の範囲に記載された本発明の精神及び範囲を逸脱することなく、当業者であれば可能である。
本発明に従って構築されたフロー分割システムの概略的な図解であり、気体計量箱と単一のプロセス・チャンバとの間に接続された様子が示されている。 図1のシステムのためのフロー分割方法の流れ図である。 図1のフロー分割システムの概略的な図解であり、気体計量箱と2つのプロセス・チャンバとの間に接続された様子が示されている。

Claims (32)

  1. 単一の質量フローを所望の比率の2以上の二次質量フローに分割するシステムであって、
    前記単一の質量フローを受け取る入口と、
    前記入口に接続された少なくとも2つの二次フロー・ラインと、
    少なくとも1つの所望のフロー比率を受け取る入力手段と、
    前記フロー・ラインのそれぞれによって生じた製品の測定値を提供する少なくとも1つの、差動センサを含むインサイチュ・プロセス・モニタと、
    前記入力手段と前記インサイチュ・プロセス・モニタとに接続されており、前記入力手段を介して所望のフロー比率を受け取り、前記インサイチュ・プロセス・モニタから前記製品の測定値を受け取り、前記所望のフロー比率と前記製品の測定値とに基づいて訂正されたフロー比率を計算するようにプログラムされているコントローラと、
    を含むことを特徴とするシステム。
  2. 請求項1記載のシステムにおいて、それぞれのフロー・ラインに接続された別個のプロセス・チャンバを更に含むことを特徴とするシステム。
  3. 請求項2記載のシステムにおいて、それぞれのプロセス・チャンバは、それぞれのプロセス・チャンバの中の半導体ウエハの測定値を提供するインサイチュ・プロセス・モニタを少なくとも1つ含むことを特徴とするシステム。
  4. 請求項3記載のシステムにおいて、前記インサイチュ・プロセス・モニタによって提供された測定値はそれぞれのウエハの膜厚測定値を含むことを特徴とするシステム。
  5. 請求項1記載のシステムにおいて、前記フロー・ラインのすべてに接続された単一のプロセス・チャンバを更に含み、前記プロセス・チャンバの中に配置された半導体ウエハは前記フロー・ラインに対応する区域に分割されることを特徴とするシステム。
  6. 請求項5記載のシステムにおいて、前記フロー・ラインは前記プロセス・チャンバのシャワーヘッドに接続されていることを特徴とするシステム。
  7. 請求項5記載のシステムにおいて、前記プロセス・チャンバは、前記プロセス・チャンバの中の半導体ウエハの区域のそれぞれの測定値を提供する前記インサイチュ・プロセス・モニタを少なくとも1つ含むことを特徴とするシステム。
  8. 請求項7記載のシステムにおいて、前記インサイチュ・プロセス・モニタによって提供された測定値はそれぞれの区域の膜厚測定値を含むことを特徴とするシステム。
  9. 請求項1記載のシステムにおいて、2つのフロー・ラインを含み、前記インサイチュ・プロセス・モニタは2つの測定値M及びMを提供し、前記コントローラは、kを任意の正のスカラ定数として、プロセス均一性誤差ε=k/2[(M−M)/(M+M)]を計算し、更に、所望のフロー比率と前記プロセス均一性誤差とに基づいて訂正されたフロー比率を計算するようにプログラムされていることを特徴とするシステム。
  10. 請求項1記載のシステムにおいて、前記訂正されたフロー比率は前記所望のフロー比率と前記プロセス均一性誤差εとの積に等しいことを特徴とするシステム。
  11. 請求項1記載のシステムにおいて、前記インサイチュ・プロセス・モニタは差動センサであることを特徴とするシステム。
  12. 請求項11記載のシステムにおいて、前記インサイチュ・プロセス・モニタは反射光と光源から放出された光との比率をモニタすることによって測定値を取得することを特徴とするシステム。
  13. 請求項1記載のシステムにおいて、それぞれのフロー・ラインは前記フロー・ラインを流れる質量フローを測定する流量計と前記フロー・ラインを流れるフローを制御する弁とを含み、前記コントローラは、前記流量計から測定された質量フローを受け取り、前記測定された質量フローに基づいて前記フロー・ラインを流れる実際のフロー比率を計算し、前記実際のフロー比率と前記訂正されたフロー比率とを比較し、前記実際のフロー比率が前記訂正されたフロー比率と等しくない場合には前記フロー・ラインの少なくとも1つを流れる所望のフローを計算し、前記所望のフロー比率を前記弁の少なくとも1つに提供することを特徴とするシステム。
  14. 請求項13記載のシステムにおいて、Kを比例ゲイン、Kを積分ゲイン、αを前記実際のフロー比率、αspを前記訂正されたフロー比率として、前記所望のフローは実質的に
    (α−αsp)+K∫(α−αsp)dt
    に等しいことを特徴とするシステム。
  15. 請求項13記載のシステムにおいて、前記入口における圧力を測定する圧力センサを更に含むことを特徴とするシステム。
  16. 請求項15記載のシステムにおいて、Kpαを比率制御のための比例ゲイン、Kiαを比率制御のための積分ゲイン、αを前記実際のフロー比率、αspを前記訂正されたフロー比率として、前記コントローラは、実質的に
    pα(α−αsp)+Kiα∫(α−αsp)dt
    に等しい前記第1のフロー・ラインの弁への前記所望のフローを示す信号を提供するようにプログラムされていることを特徴とするシステム。
  17. 請求項16記載のシステムにおいて、Kppを圧力制御のための比例ゲイン、Kipを圧力制御のための積分ゲイン、αを前記実際のフロー比率、Pinを測定された入口圧力、Pを動作圧力スレショルドとして、前記コントローラは、実質的に
    pp(Pin−P)+Kip∫(Pin−P)dt
    に等しい前記第2のフロー・ラインの弁への前記所望のフローを示す信号を提供するようにプログラムされていることを特徴とするシステム。
  18. 単一の質量フローを所望の比率の2以上の二次フローに分割する方法であって、
    単一の質量フローを少なくとも2つのフロー・ラインに入口において分割するステップと、
    それぞれのフロー・ラインを流れるフローを測定するステップと、
    少なくとも1つの所望のフロー比率を受け取るステップと、
    前記フロー・ラインのそれぞれによって生じた製品を、少なくとも1つの、差動センサを含むインサイチュ・プロセス・モニタで測定するステップと、
    前記所望のフロー比率と前記製品の測定値とに基づいて訂正されたフロー比率を計算するステップと、
    前記測定されたフローに基づいて前記フロー・ラインを流れる実際の質量フロー比率を計算するステップと、
    前記実際のフロー比率が前記訂正されたフロー比率と等しくない場合には前記フロー・ラインの少なくとも1つを流れる所望のフローを計算するステップと、
    前記フロー・ラインを前記所望のフローに調整するステップと、
    を含むことを特徴とする方法。
  19. 請求項18記載の方法において、
    前記単一の質量フローは第1及び第2のフロー・ラインに分割され、
    前記第1のフロー・ラインは第1の所望のフローに調整され、
    前記実際のフロー比率が前記訂正されたフロー比率と等しくない場合には、前記所望のフロー比率と前記第1の所望のフローとを用いて第2の所望のフローが計算され、
    前記第2のフロー・ラインは前記第2の所望のフローに調整されることを特徴とする方法。
  20. 請求項19記載の方法において、前記第1の所望のフローにより前記第1のラインは完全な開放状態になることを特徴とする方法。
  21. 請求項18記載の方法において、Kを比例ゲイン、Kを積分ゲイン、αを前記実際のフロー比率、αspを前記訂正されたフロー比率として、前記所望のフローは実質的に
    (α−αsp)+K∫(α−αsp)dt
    に等しいことを特徴とする方法。
  22. 請求項18記載の方法において、前記入口における圧力を測定するステップを更に含むことを特徴とする方法。
  23. 請求項22記載の方法において、Kpαを比率制御のための比例ゲイン、Kiαを比率制御のための積分ゲイン、αを前記実際のフロー比率、αspを前記訂正されたフロー比率として、前記フロー・ラインの1つにおける所望のフローは、実質的に
    pα(α−αsp)+Kiα∫(α−αsp)dt
    に等しいことを特徴とする方法。
  24. 請求項22記載の方法において、Kppを圧力制御のための比例ゲイン、Kipを圧力制御のための積分ゲイン、αを前記実際のフロー比率、Pinを測定された入口圧力、Pを動作圧力スレショルドとして、前記フロー・ラインの1つにおける所望のフローは、実質的に
    pp(Pin−P)+Kip∫(Pin−P)dt
    に等しいことを特徴とする方法。
  25. 請求項18記載の方法において、それぞれのフロー・ラインを別個のプロセス・チャンバに接続するステップを更に含むことを特徴とする方法。
  26. 請求項18記載の方法において、単一のプロセス・チャンバを前記フロー・ラインのすべてに接続するステップを更に含むことを特徴とする方法。
  27. 請求項26記載の方法において、前記フロー・ラインは前記プロセス・チャンバのシャワーヘッドに接続されていることを特徴とする方法。
  28. 請求項18記載の方法において、訂正されたフロー比率は、kを任意の正のスカラ定数、M及びMをそれぞれのフロー・ラインによって生じた製品のインサイチュ測定値として、前記所望のフロー比率とプロセス均一性誤差ε=k/2[(M−M)/(M+M)]とに基づくことを特徴とする方法。
  29. 請求項28記載の方法において、前記訂正されたフロー比率は前記所望のフロー比率と前記プロセス均一性誤差εとの積に等しいことを特徴とする方法。
  30. 請求項18記載の方法において、前記製品のインサイチュ測定値は差分測定値であることを特徴とする方法。
  31. 請求項18記載の方法において、前記製品のインサイチュ測定値は、反射光と光源から放出された光との比率をモニタすることによって得られることを特徴とする方法。
  32. 請求項1記載のシステムにおいて、前記コントローラは、さらに、訂正されたフロー比率に基づいて前記少なくとも2つの二次フロー・ラインの少なくとも1つにおいてフローを制御するようにプログラムされていることを特徴とするシステム。
JP2007502806A 2004-03-09 2005-02-01 半導体製造のための気体フロー分割システム及び方法 Expired - Fee Related JP5300261B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/796,693 2004-03-09
US10/796,693 US7072743B2 (en) 2004-03-09 2004-03-09 Semiconductor manufacturing gas flow divider system and method
PCT/US2005/002783 WO2005094404A2 (en) 2004-03-09 2005-02-01 Semiconductor manufacturing gas flow divider system and method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012092861A Division JP2012169651A (ja) 2004-03-09 2012-04-16 半導体製造のための気体フロー分割システム及び方法

Publications (2)

Publication Number Publication Date
JP2007528603A JP2007528603A (ja) 2007-10-11
JP5300261B2 true JP5300261B2 (ja) 2013-09-25

Family

ID=34919914

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007502806A Expired - Fee Related JP5300261B2 (ja) 2004-03-09 2005-02-01 半導体製造のための気体フロー分割システム及び方法
JP2012092861A Pending JP2012169651A (ja) 2004-03-09 2012-04-16 半導体製造のための気体フロー分割システム及び方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012092861A Pending JP2012169651A (ja) 2004-03-09 2012-04-16 半導体製造のための気体フロー分割システム及び方法

Country Status (7)

Country Link
US (1) US7072743B2 (ja)
JP (2) JP5300261B2 (ja)
KR (1) KR101113776B1 (ja)
CN (1) CN1938661A (ja)
DE (1) DE112005000485T5 (ja)
GB (1) GB2428823B (ja)
WO (1) WO2005094404A2 (ja)

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US7628861B2 (en) * 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7628860B2 (en) * 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7621290B2 (en) * 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US9405298B2 (en) * 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7706925B2 (en) * 2007-01-10 2010-04-27 Mks Instruments, Inc. Integrated pressure and flow ratio control system
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US20100084023A1 (en) * 2008-10-07 2010-04-08 Chris Melcer Flow control module for a fluid delivery system
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011021539A1 (ja) * 2009-08-20 2011-02-24 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
CN102053617B (zh) * 2009-10-28 2013-11-13 北京北方微电子基地设备工艺研究中心有限责任公司 流量比例控制器在线校准方法、系统及等离子体处理设备
US9127361B2 (en) 2009-12-07 2015-09-08 Mks Instruments, Inc. Methods of and apparatus for controlling pressure in multiple zones of a process tool
WO2011085064A2 (en) * 2010-01-08 2011-07-14 Applied Materials, Inc. N-channel flow ratio controller calibration
JP5562712B2 (ja) * 2010-04-30 2014-07-30 東京エレクトロン株式会社 半導体製造装置用のガス供給装置
CN103003924B (zh) * 2010-06-28 2015-07-08 东京毅力科创株式会社 等离子体处理装置及方法
JP5696931B2 (ja) * 2010-08-06 2015-04-08 日立金属株式会社 分流制御装置
JP5528374B2 (ja) * 2011-03-03 2014-06-25 東京エレクトロン株式会社 ガス減圧供給装置、これを備えるシリンダキャビネット、バルブボックス、及び基板処理装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5739261B2 (ja) * 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US8849466B2 (en) 2011-10-04 2014-09-30 Mks Instruments, Inc. Method of and apparatus for multiple channel flow ratio controller system
US9004107B2 (en) * 2012-08-21 2015-04-14 Applied Materials, Inc. Methods and apparatus for enhanced gas flow rate control
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5616416B2 (ja) * 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102064552B1 (ko) 2013-03-26 2020-01-10 삼성전자주식회사 기판 처리 장치
US10161060B2 (en) 2013-12-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gas-supply system and method
US9632516B2 (en) * 2013-12-19 2017-04-25 Tawan Semiconductor Manufacturing Co., Ltd Gas-supply system and method
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
WO2016022455A1 (en) * 2014-08-05 2016-02-11 Board Of Regents, The University Of Texas System Systems and methods of continuously producing encapsulated liquid water
US20160041089A1 (en) * 2014-08-08 2016-02-11 Minna Hovinen Systems and methods utilizing long wavelength electromagnetic radiation for feature definition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6417999B2 (ja) * 2015-02-19 2018-11-07 東京エレクトロン株式会社 処理液供給装置、処理液供給方法及び記憶媒体
KR101652469B1 (ko) * 2015-02-27 2016-08-30 주식회사 유진테크 다중 가스 제공 방법 및 다중 가스 제공 장치
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180046206A1 (en) * 2016-08-13 2018-02-15 Applied Materials, Inc. Method and apparatus for controlling gas flow to a process chamber
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) * 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111276421A (zh) * 2018-12-05 2020-06-12 北京七星华创流量计有限公司 流量分配装置、进气系统及反应腔室
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202128273A (zh) * 2019-10-08 2021-08-01 荷蘭商Asm Ip私人控股有限公司 氣體注入系統、及將材料沉積於反應室內之基板表面上的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP7296854B2 (ja) * 2019-11-07 2023-06-23 東京エレクトロン株式会社 ガス供給方法及び基板処理装置
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US11486927B2 (en) * 2020-04-02 2022-11-01 Applied Materials, Inc. Bode fingerprinting for characterizations and failure detections in processing chamber
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11940307B2 (en) * 2021-06-08 2024-03-26 Mks Instruments, Inc. Methods and apparatus for pressure based mass flow ratio control
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113944876B (zh) * 2021-10-31 2023-05-05 东风商用车有限公司 一种多气瓶燃气供气系统
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US5040470A (en) * 1988-03-25 1991-08-20 Shell Western E&P Inc. Steam generating system with NOx reduction
JPH02229788A (ja) * 1989-02-28 1990-09-12 Sumitomo Metal Ind Ltd 気相成長装置
JPH03281780A (ja) * 1990-03-30 1991-12-12 Hitachi Ltd Cvd装置
DE4017440C2 (de) * 1990-05-30 1994-02-10 Fraunhofer Ges Forschung Verfahren zur Messung der Schichtdicke und des Brechungsindex einer dünnen Schicht auf einem Substrat und Vorrichtung zur Durchführung des Verfahrens
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5289678A (en) * 1992-11-25 1994-03-01 Ford Motor Company Apparatus and method of on-board catalytic converter efficiency monitoring
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
JPH08203694A (ja) * 1995-01-30 1996-08-09 Hitachi Ltd プラズマ処理装置
JP3624476B2 (ja) * 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
KR100201386B1 (ko) * 1995-10-28 1999-06-15 구본준 화학기상증착장비의 반응가스 분사장치
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6113733A (en) * 1996-11-08 2000-09-05 Matsushita Electric Industrial Co., Ltd. Apparatus and method for optical evaluation, apparatus and method for manufacturing semiconductor device, method of controlling apparatus for manufacturing semiconductor device, and semiconductor device
US6278809B1 (en) * 1997-05-30 2001-08-21 Ion Optics, Inc. Fiber optic reflectance apparatus for in situ characterization of thin films
US6117348A (en) * 1998-06-03 2000-09-12 Taiwan Semiconductor Manufacturing Company, Ltd Real time monitoring of plasma etching process
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
EP1125314A1 (en) * 1998-07-10 2001-08-22 Applied Materials, Inc. Improved endpoint detection for substrate fabrication processes
JP3787444B2 (ja) * 1998-10-28 2006-06-21 キヤノン株式会社 半導体薄膜の形成方法および装置
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6633391B1 (en) * 2000-11-07 2003-10-14 Applied Materials, Inc Monitoring of film characteristics during plasma-based semi-conductor processing using optical emission spectroscopy
US6563578B2 (en) * 2001-04-02 2003-05-13 Advanced Micro Devices, Inc. In-situ thickness measurement for use in semiconductor processing
US6418954B1 (en) * 2001-04-17 2002-07-16 Mks Instruments, Inc. System and method for dividing flow
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6766260B2 (en) * 2002-01-04 2004-07-20 Mks Instruments, Inc. Mass flow ratio system and method
US6829456B2 (en) * 2002-05-10 2004-12-07 Hewlett-Packard Development Company, L.P. Printer calibration system and method
JP3856730B2 (ja) * 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates

Also Published As

Publication number Publication date
GB0618943D0 (en) 2006-11-08
WO2005094404A3 (en) 2006-09-21
US20050199342A1 (en) 2005-09-15
WO2005094404A2 (en) 2005-10-13
KR101113776B1 (ko) 2012-02-27
JP2012169651A (ja) 2012-09-06
DE112005000485T5 (de) 2007-01-25
GB2428823A (en) 2007-02-07
KR20070011342A (ko) 2007-01-24
CN1938661A (zh) 2007-03-28
GB2428823B (en) 2008-08-06
JP2007528603A (ja) 2007-10-11
US7072743B2 (en) 2006-07-04

Similar Documents

Publication Publication Date Title
JP5300261B2 (ja) 半導体製造のための気体フロー分割システム及び方法
US7007707B2 (en) Mass flow ratio system and method
JP5613752B2 (ja) マルチ反対称最適制御性能構成を使用する流量比制御装置を含むガス送出方法及びシステム
TWI790920B (zh) 用於氣體流量比控制的方法與組件
US8849466B2 (en) Method of and apparatus for multiple channel flow ratio controller system
US6418954B1 (en) System and method for dividing flow
JP7168747B2 (ja) ガス流量比制御のための方法及びアセンブリ
TW202007792A (zh) 多端口氣體注入系統及包括該系統之反應器系統
JP2000077394A (ja) 半導体製造装置
US11094563B2 (en) Fluid control system
Nagarkatti et al. 104aft. 14-re na 104b.--it-112b
JP3070728B2 (ja) 薄膜気相成長装置
WO2002033361A2 (en) Apparatus and method for maintaining a constant pressure drop across a gas metering unit

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080201

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101028

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110127

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20110914

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111216

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120924

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130306

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130618

R150 Certificate of patent or registration of utility model

Ref document number: 5300261

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D04

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees