CN1906753A - 铜表面的表面还原、钝化、防止腐蚀及活化用的系统与方法 - Google Patents

铜表面的表面还原、钝化、防止腐蚀及活化用的系统与方法 Download PDF

Info

Publication number
CN1906753A
CN1906753A CNA2004800411499A CN200480041149A CN1906753A CN 1906753 A CN1906753 A CN 1906753A CN A2004800411499 A CNA2004800411499 A CN A2004800411499A CN 200480041149 A CN200480041149 A CN 200480041149A CN 1906753 A CN1906753 A CN 1906753A
Authority
CN
China
Prior art keywords
process chamber
substrate
species
plasma
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004800411499A
Other languages
English (en)
Inventor
A·D·贝利三世
S·P·娄荷凯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1906753A publication Critical patent/CN1906753A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Abstract

一种钝化裸露的导电材料的系统与方法,其包含放置基材至处理室及注入含氢物种至处理室。含氢物种等离子体在处理室内形成。表面层物种从基板的上表面开始还原。从处理室清除已还原的表面层物种。

Description

铜表面的表面还原、钝化、防止腐蚀及活化用的系统与方法
技术领域
本发明一般是关于镶嵌半导体的制造过程,尤有关于在半导体制造过程中,用以使各特征部及各层平坦化的方法与系统。
背景技术
通常,集成电路元件(成半导体基板及晶片的形式)的制造包括等离子体蚀刻室的使用。等离子体蚀刻室可依掩模或图案所定义蚀刻基板上的选择层。等离子体蚀刻室配置为在施加射频能量至等离子体蚀刻室的一个或数个电极的同时,接收处理气体(亦即蚀刻化学品)。等离子体蚀刻室内的压力也依特定制造过程加以控制。一旦施加所要的射频能量至电极,室内的处理气体会被活化以致产生等离子体。此等离子体用来对半导体晶片的选择层进行所要的蚀刻。
在一些现有技术的等离子体蚀刻制造过程中会产生低挥发性副产物。举例而言,在使用含氯气体(例如氯气、氯化氢等)的铜的蚀刻过程中,其副产物为CuClx。CuClx在室温下不会挥发。通常,低挥发性副产物会凝聚于室壁上。在每一等离子体蚀刻循环期间,副产物会积累于室壁。最后,副产物积累至一定的厚度。然后,积累的副产物开始自室壁成片状剥落,且因此成为显著的颗粒来源。颗粒会污染正在室内蚀刻的基板。
铜蚀刻剂化学品通常对剩余铜的表面具有腐蚀性。这种腐蚀作用会造成不均匀的点蚀,且留下在进行后续处理前必须移除的残留层。通常,将基板自等离子体蚀刻室移出并清洗和/或漂洗。
图1为一典型清洗过的基板100。在裸露的铜元件104之上,基板100有一相当厚的氧化层102(例如铜氧化物)。氧化层102会干扰后续处理(例如底层铜元件内连线的形成),因此在尝试后续处理之前必须移除该氧化层。基板100也可有阻障层106。
化学机械抛光(CMP,Chemical mechanical Polishing)用的化学品也会造成类似上述蚀刻化学品的问题。在化学机械抛光操作之后,典型地会清洗及漂洗基板。化学机械抛光方法本身和/或清洗和/或漂洗操作也会造成氧化层的形成。
鉴于上述情况,就需要一种残留物层的移除系统与方法,其同时基本上能消除氧化层或任何其他不要的终止层的形成。
发明内容
广泛而言,借由提供钝化裸露的导电材料的改善方法,本发明可满足这些需求。应了解的是:本发明可以多种方式实施,包括制造过程、装置、系统、电脑可读介质或元件。本发明的数个发明性实施例说明如下。
一种钝化裸露的导电材料的方法,包含放置基板至处理室中及注入含氢物种至处理室。在处理室中形成含氢物种等离子体。表面层物种从基板的上表面开始还原。从处理室清除已还原的表面层物种。导电材料可包括下组中的至少一种:含铜材料、元素铜、合金例如铁镍及铁钴、元素镍、钴、钌、AlO、钽、氮化钽、铂及铱。
前述钝化处理可原地实施。钝化处理也可移地实施。钝化处理可在蚀刻制造过程中原地实施。钝化处理可在无应力平坦化制造过程中原地实施。钝化处理也可在化学机械抛光操作后移地实施。
处理室的温度介于约30至约400℃。处理室可以是一小容积的等离子体室。等离子体室可以是电容式耦合系统、电感式耦合系统、ECR或微波能量系统。处理室的压力可以介于约1至约1000毫托。
表面层物种可以是氧化物、卤化物(例如含氯化物、溴化物、氟化物或碘化物的物种)及氮化物或其组合。含氢物种可包括下组中的至少一种:氢气、氯化氢、溴化氢、甲烷及氨气。注入含氢物种也可包括载体气体的注入,例如氩气、氮气、氦气、氖气及氙气。
使用含氢物种形成等离子体,可包括使至少在基板及处理室内壁之一上的残留物挥发。清除处理室的还原表面层物种,也可包含挥发的残留物的清除。
基板上表面的表面层物种的还原,也可包括基板上表面的活化及基板上表面的粗糙化。以预定时间来钝化裸露的导电材料。该预定时间足够从基板的上表面还原所需量的表面层物种。该预定时间可大于约15秒。
另一实施例提供了钝化裸露的铜内连线的方法。此方法包含放置基板至处理室,及注入含氢物种至处理室。此方法也包括在处理室形成含氢物种等离子体,及从裸露的铜内连线的上还原表面铜氧化物。从处理室清除已还原的铜氧化物。处理室的几个内表面的每一个,其温度可等于或大于约250℃。处理室的每一内表面都面对基板。
另一实施例提供了在基板上实施非接触平坦化的方法。本方法包括在蚀刻处理室中放置基板及蚀刻该基板。本方法也包括注入含氢物种至蚀刻处理室,及在蚀刻处理室内形成含氢物种等离子体。表面层物种是从基板的上表面开始还原,且已还原的表面层物种被从处理室清除。
从下面的详细说明,配合附图,经由范例说明本发明的原理,本发明的其他方面与优点将更为明显。
附图说明
通过如下的详细描述并结合附图,本发明将更加容易理解,并且类似的参考数字表示类似的结构元件。
图1为一典型清洗干净的基板。
图2A显示依本发明一实施例的铜基材。
图2B显示依本发明一实施例的钝化的铜基材。
图3A为依本发明一实施例的化学机械抛光制造过程的操作方法流程图。
图3B为依本发明一实施例的蚀刻制造过程的操作方法流程图。
图4为依本发明一实施例的钝化处理的操作方法流程图。
实施方式
数个钝化及还原蚀刻表面的举例性实施例说明如下。本领域技术人员清楚,在欠缺此处披露的部份或全部的具体细节情况下,显然仍可实施本发明。
裸露的铜对于腐蚀是相当脆弱的。当内连线施加曝露于各种处理化学品(例如湿或干化学品)时,这对于处理过的铜尤其是如此。有些这些条件也可以使用腐蚀性化学品。举例来说,由于在低温下不具挥发性,铜的干式蚀刻典型地是很缓慢且是非选择性的。在高温(例如大于约200℃),在含有卤素的化学品(例如氯、氟、溴及碘化学品)的情况下,挥发性化合物的形成是可能的。由于铜表面残留卤素化合物与水汽的作用,或处理室内残留的卤素与未钝化铜表面位置的反应,腐蚀是一重要的课题。在铜的化学机械抛光或电解抛光之后,后者可包含无应力阻障层的移除。另一实施例为镶嵌用途的铜处理,其使用利用腐蚀性的碱性研磨液的化学机械抛光。尽管是典型的湿式处理,腐蚀仍旧持续。再者,典型的湿式处理会引进额外的处理模组、额外的化学需求,因此增加制造成本及制造时间。
对于电性需求及下一层沉积的需求而言,稳定与活化的表面在双镶嵌制造过程中是重要的。典型地,新裸露的铜表面的表面特征有别于须进一步处理的铜表面性质。为了达成这些需求,须要额外的制造过程步骤与处理模组,来调整新裸露的铜表面。新裸露铜表面的调节,包括表面铜氧化物层还原成元素铜,及在真空的条件下(例如小于约100毫托)铜表面的活化。其它的调整可包括化学机械抛光残留物的移除,其残留物含有化学机械抛光处理化学品的多种成份(例如亮光剂、抑制剂及加速剂等);因此,在化学机械抛光过程后,可避免任何的湿式清洗过程。
一实施例提供钝化新蚀刻表面以防止腐蚀的系统与方法。所揭示的系统与方法,可将蚀刻剂化学品残留物从蚀刻基板移除。所揭示的系统与方法,也可将蚀刻剂化学品残留物从蚀刻室内壁移除。再者,所揭示的系统与方法,也可基本上消除铜层在裸露出之后形成的以及进一步于湿式清洗制造过程中所形成的氧化层。
所揭示的系统与方法,可在等离子体蚀刻室中原地实施。在此实施例中,原地钝化操作可结合且在某些例子中可导入在等离子体蚀刻室中执行的其它制造过程。以这种方式,在等离子体蚀刻室中的全部制造过程时间并未显著改变。举例来说,在一实施例中,钝化操作需要约30秒,同时去夹头操作则须要约60秒,因此,并未导致等离子体室的制造过程时间的增加。
可选择的实施例包含移地制造过程,例如化学机械抛光清洗及漂洗操作后。举例来说,基板经过化学机械抛光操作,然后清洗及漂洗操作。然后,基板便可放置在可实施钝化处理的等离子体反应室,来还原在清洗及漂洗操作期间所形成的氧化层。倘若随后的操作是可在等离子体室中发生的等离子体蚀刻或沉积操作,本实施例特别有用。
本发明额外的好处是新裸露层是活化的。此活化层曝露出导电材料的基材,以便进行导电材料的后续连接。图2A为符合本发明一个实施例的铜基材200。氧化层204覆盖着铜分子202的基材。氧化层204的厚度为数百至数千埃。图2B为符合本发明一个实施例的钝化铜基材200,在钝化操作后,氧化层204基本上被还原,以便铜分子202的基材充分裸露出来。铜分子202基材具有略微粗糙的表面。该粗糙表面可增加对形成于铜分子裸露基材202之上的下一层的附着力。
钝化操作包含在单一操作中曝露出新裸露的铜表面于氢气化学品,以还原表面氧化物、钝化表面及防止腐蚀。在等离子体条件下氢气气体或产生氢气的其它气体(例如氯化氢、甲烷及氨气等),可用于获得所要的结果。此等离子体制造过程可用于各式各样的等离子体反应器,包括电感式或电容式耦合等离子体或微波反应器。额外添加剂的气体可包括但不局限于氩气、氧气及氮气。
图3A为符合本发明一个实施例的化学机械抛光制造过程的方法操作300流程图。在操作305中,在基板上实施块材移除或平坦化或其它化学机械抛光操作。举例来说,化学机械抛光操作可用来移除例如用于镶嵌或双镶嵌内连线结构的导电材料的过载部分。化学机械抛光操作曝露出导电内连线。化学机械抛光操作可以是任何类型,例如线性带式、旋转式、平面桌或下力式的化学机械抛光操作。
在操作310中,基板是用湿式操作来清洗的,例如去离子水漂洗或类似的清洗操作。湿式清洗操作从基板移除残留的化学机械抛光的研磨浆液及化学机械抛光的副产物。该湿式清洗操作通常可纳入化学机械抛光的过程工具或者在单独过程工具或模组中。
在操作315中,将经清洗的基板放置在处理室中。处理室可以是适用于等离子体操作的任一处理室。在一实施例中,处理室包括如下的一个处理室,其可让所有内部表面基本均匀地加热到让可能附着在处理室内部表面的任何残留物挥发所需温度以上的温度(例如约200至约400℃)。内部表面包括基板所暴露的处理室的内部表面。在一实施例中,处理室是一小容积的处理室,例如说明于2003年12月22日所提出申请的由本案申请人同时拥有且同在专利审查中的美国专利申请案10/744,355,其名称为“具有热内部表面的小容积处理室”。小容积处理室有上电极及下电极,其间由约0.5至约5cm的距离所隔开。
在操作340中,钝化裸露的导电内连线结构的表面。详细的表面钝化说明于图4中。如图3A中所说明的,表面钝化操作340是从化学机械抛光操作305而来的移地操作。
图3B为符合本发明一个实施例的蚀刻过程的方法操作320的流程图。在操作325中,基板放置于适合等离子体操作的处理室。对于其它操作,基板也可放置于处理室之中。举例而言,其它操作可包括例如等离子体蚀刻制造过程或沉积制造过程(例如化学气相沉积)的操作。
在操作330之中,在处理室中将制造过程(例如等离子体蚀刻制造过程)施加于基板。制造过程是指可于处理室中实施的任一制造过程。在一实施例中,制造过程为无应力等离子体蚀刻制造过程,例如说明于2003年3月14日所申请的美国专利申请案10/390,117,其名称为“用于改善的全面双镶嵌平坦化的系统、方法与装置”,及2003年3月14日申请的美国专利申请案10/390,520,其名称为“用于改善的局部双镶嵌平坦化的系统、方法与装置”中的过程。等离子体蚀刻制造过程会曝露出导电内连线或元件的结构。
在操作340之中,钝化裸露导电内连线结构的表面。详细的表面钝化说明于下图4中。如图3B中所说明的,表面钝化操作340是原地操作,其可在相同的处理室中作为前置或后续操作予以实施。
图4为符合本发明一个实施例的钝化处理的方法操作340流程图。在操作405中,注入含氢的物种至等离子体室。等离子体室加热到约75至约300℃。等离子体室的压力介于约1至约100毫托。在一实施例中,氢气是以约20至200sccm(标准立方厘米/分钟)的流量速率注入。氢气可以承载在流量速率为约20至2000sccm的惰性载体气体(如氩气)上。
在操作410中,等离子体是由含氢物种所形成。等离子体的高能量及相对高的温度(例如75至300℃或更高),可使在基板上的大多数残留物质(例如化学机械抛光残留物或蚀刻剂残留物)挥发。对于基板而言,所要的温度区间为约200至约400℃。同样地,在处理室内部表面上的蚀刻剂残留物也会挥发。对于处理室而言,所要的温度区间为约200至约400℃。所挥发的残留物质可在下面的操作420中清除干净。等离子体可于任一形式的等离子体室(如电感式、电容式等)中形成。举例而言,在一示范性的电感式等离子体室,施加至上电极的能量为约500至约3000瓦。下电极所施加的能量在约0至约100瓦。同样地,在电容式等离子体室,施加至下电极或上电极的系统能量,可介于约500至约5000瓦。射频能量的供应受单一或双频率的影响。
在操作415之中,氧化层被还原。举例而言,氢气等离子体造成氧化物表面层的氧原子与导电材料(如铜)离解,且和氢结合形成水分子。任何剩余的氢原子、从导电材料离解出来的任何氧原子,及所形成的水分子,可在下面的操作420之中清除干净。氧化层的还原及残留物质的挥发,可于约15秒至约2分钟内完成。虽然没指出最长时间,但大多数的氧化层的还原及残留物质的挥发,可在少于约2分钟内完成。在操作420之中,氧化物、氢气、水蒸气及挥发的残留物质,被从处理室清除干净,从而该方法操作便结束。
举例而言,在一实施例中将基板放入至电容式耦合等离子体蚀刻室。在大约20毫托的压力下,等离子体蚀刻室加热至约250℃。施加约1000瓦的能量至等离子体蚀刻室的上电极,而下电极则不施加能量。注入约100sccm的氢气及大约100sccm的氩气至等离子体蚀刻室,以产生含氢物种等离子体。将基板暴露于氢等离子体约60秒,以还原基板上表面中的氧化物。
虽然以铜元件及内连线结构的方式说明上述实施例,但实施例不局限于铜。磁性材料(铁镍、铁钴、AlO等)及电极材料(钽、氮化钽)铂、铱等)的蚀刻,也可以类似的制造过程来实施。
将会进一步了解,任何一个以上的图示中的操作所说明的指示,不须依照举例说明的顺序来实施;且由操作所说明的全部过程,也并非都是必须的。再者,说明于任何一个以上的图示中的制造过程,也可用储存在任一或组合的随机存取存储器、只读存储器或硬盘驱动器中的软件来实施。
显而易见,虽然前面的发明已部分详细地说明,但在所附权利要求范围内,仍可做特定改变或修正。因此,本实施例可视为示范性的,而非限制性的;且本发明并不局限于此处所给的细节,在后附权利要求范围内仍可修改。

Claims (23)

1.一种裸露的导电材料的钝化方法,包含:
将基板放置于处理室中;
注入含氢物种至处理室;
于处理室中形成含氢物种等离子体;
由基板的上表面还原表面层物种;以及
由处理室清除已还原的表面层物种。
2.如权利要求第1项的方法,其中该导电材料包括由含铜材料、元素铜、铁镍、铁钴、元素镍、钴、钌、AlO、钽、氮化钽、铂及铱所组成的组中的至少一种。
3.如权利要求第1项的方法,其中该钝化处理是原地实施的。
4.如权利要求第1项的方法,其中该钝化处理是移地实施的。
5.如权利要求第1项的方法,其中该钝化处理是在蚀刻制造过程中原地实施的。
6.如权利要求第5项的方法,其中该钝化处理是在无应力平坦化制造过程中原地实施的。
7.如权利要求第1项的方法,其中该钝化处理是在化学机械抛光操作之后移地实施的。
8.如权利要求第1项的方法,其中该处理室的温度介于约30至约400℃之间。
9.如权利要求第1项的方法,其中该处理室是小容积的等离子体室。
10.如权利要求第1项的方法,其中该处理室包括电感式耦合系统、ECR及微波能量系统中的至少一种。
11.如权利要求第1项的方法,其中该处理室的压力介于约1至约500毫托之间。
12.如权利要求第1项的方法,其中该处理室为电容式耦合系统。
13.如权利要求第1项的方法,其中该含氢物种包括由氢气、氯化氢、溴化氢、甲烷及氨气所组成的组中的至少一种。
14.如权利要求第1项的方法,其中注入含氢物种包括注入载体气体。
15.如权利要求第14项的方法,其中该载体气体包括由氩气、氮气、氦气、氖气及氙气所组成的组中的至少一种。
16.如权利要求第1项的方法,其中该表面层物种包括氧化物、卤化物及氮化物中的至少一种。
17.如权利要求第1项的方法,其中用该含氢物种形成等离子体包括使在基板及处理室的内壁至少之一上的残留物挥发;且其中从处理室清除还原的表面层物种包括挥发的残留物的清除。
18.如权利要求第1项的方法,其中,由基板的上表面还原表面层物种包括:
活化基板的上表面,以及
粗糙化该上表面。
19.如权利要求第1项的方法,其中,该裸露的导电材料受到预定时间的钝化,该预定时间足够从基板的上表面还原所需量的该表面层物种。
20.如权利要求第1项的方法,其中该预定时间大于约15秒。
21.一种钝化裸露的铜内连线的方法,包括:
将基板放置于处理室中;
注入含氢物种至处理室;
于处理室中形成含氢物种等离子体;
从裸露的铜内连线的上表面来还原铜氧化物;以及
从处理室清除已还原的铜氧化物。
22.如权利要求第21项的方法,其中处理室的多个内表面中的每一个的温度都等于或大约于250℃;其中处理室的多个内表面的每一个皆暴露于基板。
23.一种在基板上实施非接触平坦化的方法,包含:
将基板放置于处理室中;
蚀刻基板;
注入含氢物种至蚀刻处理室内;
在蚀刻处理室中形成含氢物种等离子体;
从基板的上表面还原表面层物种;以及
从处理室清除已还原的表面层物种。
CNA2004800411499A 2004-01-30 2004-12-30 铜表面的表面还原、钝化、防止腐蚀及活化用的系统与方法 Pending CN1906753A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/769,408 US7232766B2 (en) 2003-03-14 2004-01-30 System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US10/769,408 2004-01-30

Publications (1)

Publication Number Publication Date
CN1906753A true CN1906753A (zh) 2007-01-31

Family

ID=34837810

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004800411499A Pending CN1906753A (zh) 2004-01-30 2004-12-30 铜表面的表面还原、钝化、防止腐蚀及活化用的系统与方法

Country Status (7)

Country Link
US (1) US7232766B2 (zh)
EP (1) EP1709679A1 (zh)
JP (1) JP5153143B2 (zh)
KR (1) KR101127778B1 (zh)
CN (1) CN1906753A (zh)
IL (1) IL176591A (zh)
WO (1) WO2005076348A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112458398A (zh) * 2020-11-25 2021-03-09 浙江申久金属制品有限公司 一种喷砂辅助的渗铝不锈钢板的制备方法及不锈钢板
CN115241322A (zh) * 2022-06-22 2022-10-25 通威太阳能(安徽)有限公司 电极的去氧化方法、电池的制备方法、电池和电子产品

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7540935B2 (en) * 2003-03-14 2009-06-02 Lam Research Corporation Plasma oxidation and removal of oxidized material
KR101506352B1 (ko) 2006-08-30 2015-03-26 램 리써치 코포레이션 금속 증착을 위해 기판 표면을 가공하는 프로세스 및 통합 시스템
US20090170334A1 (en) * 2007-12-27 2009-07-02 Tong Fang Copper Discoloration Prevention Following Bevel Etch Process
US7737029B2 (en) * 2008-03-18 2010-06-15 Samsung Electronics Co., Ltd. Methods of forming metal interconnect structures on semiconductor substrates using oxygen-removing plasmas and interconnect structures formed thereby
CN103187360B (zh) * 2011-12-30 2016-01-06 中芯国际集成电路制造(上海)有限公司 形成互连结构的方法
KR101804656B1 (ko) * 2016-02-04 2017-12-04 고려대학교 산학협력단 수소 플라즈마 처리된 나노 다이아몬드 분말을 포함하는 내마모 저마찰 고분자 복합재 및 그 제조 방법
US20230298869A1 (en) * 2020-08-27 2023-09-21 Lam Research Corporation Subtractive copper etch

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01125935A (ja) * 1987-11-11 1989-05-18 Seiko Instr & Electron Ltd 半導体装置の製造方法
US4985113A (en) 1989-03-10 1991-01-15 Hitachi, Ltd. Sample treating method and apparatus
DE3914065A1 (de) 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US5256565A (en) 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
EP0809283A3 (en) 1989-08-28 1998-02-25 Hitachi, Ltd. Method of treating wafers
US5098516A (en) 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
JPH04311033A (ja) 1991-02-20 1992-11-02 Micron Technol Inc 半導体デバイスのエッチング後処理方法
US5200031A (en) 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5387315A (en) 1992-10-27 1995-02-07 Micron Technology, Inc. Process for deposition and etching of copper in multi-layer structures
JP3265047B2 (ja) * 1993-04-26 2002-03-11 松下電器産業株式会社 ドライエッチング装置
JP3297963B2 (ja) * 1994-07-04 2002-07-02 ソニー株式会社 プラズマエッチング方法
JPH08153710A (ja) 1994-11-30 1996-06-11 Toshiba Corp 半導体装置の製造方法
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP3109449B2 (ja) 1997-04-25 2000-11-13 日本電気株式会社 多層配線構造の形成方法
JP3463979B2 (ja) * 1997-07-08 2003-11-05 富士通株式会社 半導体装置の製造方法
JP4167328B2 (ja) * 1997-08-04 2008-10-15 東芝松下ディスプレイテクノロジー株式会社 薄膜のドライエッチング方法および薄膜半導体装置の製造方法
WO1999009587A2 (en) 1997-08-13 1999-02-25 Applied Materials, Inc. Method of etching copper for semiconductor devices
US6008130A (en) 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
JPH1167766A (ja) * 1997-08-19 1999-03-09 Sony Corp 半導体装置の製造方法
JP2001516970A (ja) * 1997-09-18 2001-10-02 シーブイシー プロダクツ、インコーポレイテッド 高性能集積回路の相互接続製造の方法及び装置
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6121154A (en) * 1997-12-23 2000-09-19 Lam Research Corporation Techniques for etching with a photoresist mask
US6096230A (en) 1997-12-29 2000-08-01 Intel Corporation Method of planarizing by polishing a structure which is formed to promote planarization
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
JP3973283B2 (ja) * 1998-01-19 2007-09-12 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP4395896B2 (ja) * 1998-03-10 2010-01-13 ソニー株式会社 半導体装置の製造方法
US5968847A (en) * 1998-03-13 1999-10-19 Applied Materials, Inc. Process for copper etch back
JP2002510878A (ja) * 1998-04-02 2002-04-09 アプライド マテリアルズ インコーポレイテッド 低k誘電体をエッチングする方法
US6303505B1 (en) 1998-07-09 2001-10-16 Advanced Micro Devices, Inc. Copper interconnect with improved electromigration resistance
TW430946B (en) 1998-07-22 2001-04-21 United Microelectronics Corp Dual damascene process
TW398036B (en) 1998-08-18 2000-07-11 Promos Technologies Inc Method of monitoring of chemical mechanical polishing end point and uniformity
US6004188A (en) 1998-09-10 1999-12-21 Chartered Semiconductor Manufacturing Ltd. Method for forming copper damascene structures by using a dual CMP barrier layer
US6051496A (en) 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6221775B1 (en) 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
JP3180779B2 (ja) * 1998-10-05 2001-06-25 日本電気株式会社 半導体装置の製造方法
US6056864A (en) 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6368517B1 (en) 1999-02-17 2002-04-09 Applied Materials, Inc. Method for preventing corrosion of a dielectric material
US6153530A (en) 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6159857A (en) 1999-07-08 2000-12-12 Taiwan Semiconductor Manufacturing Company Robust post Cu-CMP IMD process
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
SG93856A1 (en) 1999-07-19 2003-01-21 Chartered Semiconductor Mfg A selective & damage free cu cleaning process for pre-dep, post etch/cmp
US6147005A (en) 1999-07-23 2000-11-14 Worldwide Semiconductor Manufacturing Corp. Method of forming dual damascene structures
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6133144A (en) 1999-08-06 2000-10-17 Taiwan Semiconductor Manufacturing Company Self aligned dual damascene process and structure with low parasitic capacitance
US6083822A (en) 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
US6573187B1 (en) 1999-08-20 2003-06-03 Taiwan Semiconductor Manufacturing Company Method of forming dual damascene structure
US6234870B1 (en) 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6365327B1 (en) 1999-08-30 2002-04-02 Agere Systems Guardian Corp. Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit
US6313025B1 (en) 1999-08-30 2001-11-06 Agere Systems Guardian Corp. Process for manufacturing an integrated circuit including a dual-damascene structure and an integrated circuit
US6350664B1 (en) 1999-09-02 2002-02-26 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of manufacturing the same
SG90747A1 (en) * 1999-09-02 2002-08-20 Applied Materials Inc Method of pre-cleaning dielectric layers of substrates
JP2001077094A (ja) * 1999-09-07 2001-03-23 Matsushita Electric Ind Co Ltd プラズマ処理装置
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6423200B1 (en) 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6500357B1 (en) 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
US6350364B1 (en) 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
KR100545034B1 (ko) 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
JP2001244240A (ja) 2000-02-25 2001-09-07 Speedfam Co Ltd 半導体ウエハの製造方法
JP2001267310A (ja) 2000-03-17 2001-09-28 Tokyo Electron Ltd プラズマ成膜方法及びその装置
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6323121B1 (en) 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6576550B1 (en) 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
WO2002010729A1 (en) 2000-07-31 2002-02-07 Asml Us, Inc. In-situ method and apparatus for end point detection in chemical mechanical polishing
US6475298B1 (en) 2000-10-13 2002-11-05 Lam Research Corporation Post-metal etch treatment to prevent corrosion
US6383935B1 (en) 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Method of reducing dishing and erosion using a sacrificial layer
US6517413B1 (en) 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6417093B1 (en) 2000-10-31 2002-07-09 Lsi Logic Corporation Process for planarization of metal-filled trenches of integrated circuit structures by forming a layer of planarizable material over the metal layer prior to planarizing
US6482755B1 (en) 2000-11-02 2002-11-19 Advanced Micro Devices, Inc. HDP deposition hillock suppression method in integrated circuits
JP3516941B2 (ja) * 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法
US20020121500A1 (en) 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US6479391B2 (en) 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6696358B2 (en) 2001-01-23 2004-02-24 Honeywell International Inc. Viscous protective overlayers for planarization of integrated circuits
US6554914B1 (en) 2001-02-02 2003-04-29 Novellus Systems, Inc. Passivation of copper in dual damascene metalization
JP2002289535A (ja) * 2001-03-26 2002-10-04 Seiko Epson Corp プラズマ気相化学堆積装置のクリーニング方法
US6482331B2 (en) 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
US6486059B2 (en) 2001-04-19 2002-11-26 Silicon Intergrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
TWI243404B (en) 2001-05-24 2005-11-11 Lam Res Corp Applications of oxide hardmasking in metal dry etch processors
US20020182853A1 (en) 2001-05-31 2002-12-05 Hsueh-Chung Chen Method for removing hard-mask layer after metal-CMP in dual-damascene interconnect structure
US20020187627A1 (en) 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
US20020192966A1 (en) 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100430472B1 (ko) 2001-07-12 2004-05-10 삼성전자주식회사 듀얼 다마신 공정을 이용한 배선 형성 방법
US6696222B2 (en) 2001-07-24 2004-02-24 Silicon Integrated Systems Corp. Dual damascene process using metal hard mask
TW567554B (en) 2001-08-08 2003-12-21 Lam Res Corp All dual damascene oxide etch process steps in one confined plasma chamber
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
JP2003086569A (ja) * 2001-09-12 2003-03-20 Tokyo Electron Ltd プラズマ処理方法
US6579800B2 (en) * 2001-10-12 2003-06-17 Nutool, Inc. Chemical mechanical polishing endpoint detection
US6780086B2 (en) 2001-10-12 2004-08-24 Mosel Vitelic, Inc. Determining an endpoint in a polishing process
US6709314B2 (en) 2001-11-07 2004-03-23 Applied Materials Inc. Chemical mechanical polishing endpoinat detection
US6582974B2 (en) 2001-11-15 2003-06-24 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a dual damascene aperture while employing a peripherally localized intermediate etch stop layer
EP1320128B1 (en) * 2001-12-17 2006-05-03 AMI Semiconductor Belgium BVBA Method for making interconnect structures
US20030119305A1 (en) 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6653224B1 (en) 2001-12-27 2003-11-25 Lam Research Corporation Methods for fabricating interconnect structures having Low K dielectric properties
US6440840B1 (en) 2002-01-25 2002-08-27 Taiwan Semiconductor Manufactoring Company Damascene process to eliminate copper defects during chemical-mechanical polishing (CMP) for making electrical interconnections on integrated circuits
DE10208166B4 (de) 2002-02-26 2006-12-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Metallleitungen mit verbesserter Gleichförmigkeit auf einem Substrat
DE10208165C1 (de) 2002-02-26 2003-10-02 Advanced Micro Devices Inc Verfahren, Steuerung und Vorrichtung zum Steuern des chemisch-mechanischen Polierens von Substraten
US6828245B2 (en) 2002-03-02 2004-12-07 Taiwan Semiconductor Manufacturing Co. Ltd Method of improving an etching profile in dual damascene etching
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6806948B2 (en) 2002-03-29 2004-10-19 Lam Research Corporation System and method of broad band optical end point detection for film change indication
US6764810B2 (en) * 2002-04-25 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Method for dual-damascene formation using a via plug
US6706637B2 (en) * 2002-05-09 2004-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene aperture formation method absent intermediate etch stop layer
DE10223945B4 (de) * 2002-05-29 2006-12-21 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Herstellung von Damaszener-Metallstrukturen
US6821899B2 (en) * 2003-03-14 2004-11-23 Lam Research Corporation System, method and apparatus for improved local dual-damascene planarization

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112458398A (zh) * 2020-11-25 2021-03-09 浙江申久金属制品有限公司 一种喷砂辅助的渗铝不锈钢板的制备方法及不锈钢板
CN115241322A (zh) * 2022-06-22 2022-10-25 通威太阳能(安徽)有限公司 电极的去氧化方法、电池的制备方法、电池和电子产品

Also Published As

Publication number Publication date
EP1709679A1 (en) 2006-10-11
JP2007520080A (ja) 2007-07-19
US20050087759A1 (en) 2005-04-28
IL176591A0 (en) 2006-10-31
KR20060121269A (ko) 2006-11-28
WO2005076348A1 (en) 2005-08-18
KR101127778B1 (ko) 2012-03-26
JP5153143B2 (ja) 2013-02-27
IL176591A (en) 2012-06-28
US7232766B2 (en) 2007-06-19

Similar Documents

Publication Publication Date Title
TW466629B (en) Method of cleaning a semiconductor device processing chamber after a copper etch process
KR102033707B1 (ko) 수증기 처리를 이용하여 기판으로부터 재료 층을 제거하는 방법들
JP5269826B2 (ja) プラズマ処理による酸化物又は他の還元可能な汚染物質の基板からの除去
JP4690308B2 (ja) 高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置
TW506019B (en) A method of simultaneously etching a substrate and cleaning a chamber
US6319842B1 (en) Method of cleansing vias in semiconductor wafer having metal conductive layer
EP1062694A1 (en) Process for copper etch back
WO2001008209A1 (en) Multiple stage cleaning for plasma etching chambers
US20030219912A1 (en) Method for removal of metallic residue after plasma etching of a metal layer
KR102457878B1 (ko) 패시베이션을 이용한 구리의 이방성 에칭
CN101030531A (zh) 用于控制衬底腐蚀的方法
US6325861B1 (en) Method for etching and cleaning a substrate
IL176591A (en) Method of imitation of a conductive material exposed to a passive feature
US20040237997A1 (en) Method for removal of residue from a substrate
JP2005109492A (ja) 処理室の清掃方法
KR100653217B1 (ko) 금속 함유막을 증착하는 박막 증착 장치의 건식 세정 방법
JP7456023B2 (ja) リソグラフィ用途のフォトレジスト層上への炭素の選択的堆積
US20060063388A1 (en) Method for using a water vapor treatment to reduce surface charge after metal etching
JP5184644B2 (ja) 湿式エッジ洗浄を強化するためのベベルプラズマ処理
JP4228424B2 (ja) 半導体装置の製造方法
US20040140288A1 (en) Wet etch of titanium-tungsten film
US6399509B1 (en) Defects reduction for a metal etcher
KR100851455B1 (ko) 챔버 조건에 대한 공정 민감도를 감소시키는 방법
KR20230133353A (ko) 금속 에칭 잔여물들을 갖는 챔버 컴포넌트들을 세정하는방법
CN117894748A (zh) 一种半导体结构及半导体工艺方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20070131