CN1830092A - 应变半导体cmos晶体管的制造结构和方法 - Google Patents

应变半导体cmos晶体管的制造结构和方法 Download PDF

Info

Publication number
CN1830092A
CN1830092A CNA2004800219013A CN200480021901A CN1830092A CN 1830092 A CN1830092 A CN 1830092A CN A2004800219013 A CNA2004800219013 A CN A2004800219013A CN 200480021901 A CN200480021901 A CN 200480021901A CN 1830092 A CN1830092 A CN 1830092A
Authority
CN
China
Prior art keywords
pfet
nfet
semiconductor
gate stack
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800219013A
Other languages
English (en)
Other versions
CN100428497C (zh
Inventor
陈华杰
杜里赛蒂·奇达姆巴拉奥
奥莱格·G.·格鲁斯晨科夫
安·L.·斯迪根
海宁·S.·杨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1830092A publication Critical patent/CN1830092A/zh
Application granted granted Critical
Publication of CN100428497C publication Critical patent/CN100428497C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明提供了一种集成电路的p-型场效应晶体管(PFET)(10)和n-型场效应晶体管(NFET)(12)。经由仅设置在PFET(10)而不是NFET(12)的源极和漏极区(111)中的晶格错配半导体层例如硅锗,将第一应变施加到PFET(10)而不是NFET(12)的沟道区(20)中。本发明提供了一种PFET(10)和NFET(12)的制造方法。在这些区域中蚀刻沟槽,从而变成PFET的源极和漏极区(111),使晶格错配的硅锗层(121)外延生长在其中,以便将应变施加到与其相邻的PFET的沟道区。使一层硅(14)生长在硅锗层(121)之上,由这层硅形成硅化物(68),从而提供了低电阻的源极和漏极区(111)。

Description

应变半导体CMOS晶体管的制造结构和方法
技术领域
本发明涉及半导体集成电路的制造,更具体地说,是涉及一种具有晶格错配的源极和漏极区的应变半导体互补金属氧化物半导体(CMOS)晶体管的制造装置和方法。
背景技术
理论和经验研究已经证实,当将应变施加到晶体管的传导沟道中时,晶体管的载流子迁移率就大大增加。在p-型场效应晶体管中,公知的是,将压缩纵向应变施加到传导沟道中,以增大PFET的驱动电流。然而,如果将此相同应变施加到NFET的传导沟道中,其性能则下降。
已经有人提议,将拉伸纵向应变施加到NFET的传导沟道中,将压缩纵向应变施加到PFET的传导沟道中。这些建议都集中在掩蔽工艺上,所述工艺包括掩蔽芯片的PFET或NFET部分,和改变用于浅沟槽分离区的材料以施加应变。这些建议还包括集中于调整间隔件中存在的固有应力的掩蔽工艺。
硅锗是用于形成应变硅晶体管沟道的所需晶格错配的半导体。当第一半导体在第二半导体的单晶上生长时,就产生了应变,此时这两个半导体是彼此晶格错配的。硅和硅锗是彼此晶格错配的,从而二者之一在另一个上的生长就产生能够拉伸或压缩的应变。
硅锗外延生长在硅上,具有与硅晶体结构对准的晶体结构。然而,由于硅锗通常具有比硅大的晶体结构,因此外延生长的硅锗变得在内部被压缩。
在采用应变硅的其它建议中,硅锗形成整个单晶层基片。在这种情况下,硅锗层被称作松弛层,因为通过在硅锗层内形成位错而使应变释放。当单晶硅层在松弛的SiGe晶体区上外延生长时,就在外延生长的硅晶体中产生拉伸应变。这导致电子的迁移率提高,从而能够改善NFET的性能。
然而,这些技术要求SiGe松弛,因此要求SiGe层非常厚,即0.5-1.0μm。由于这个原因,空穴迁移率的提高难以获得,SiGe层要求锗的百分比较大,这导致SiGe晶体中的过度位错,从而产生问题。而且,受限于加工成本。
其它技术例如分级Ge浓度和化学机械抛光法可用来提高膜的质量。然而,这些技术苦恼于高成本和高缺陷密度。
据此,期望在不使用厚SiGe晶体区的前提下于PFET沟道区中产生应变。期望利用相当薄的外延生长SiGe,在器件的沟道区中产生所需的应变。
还期望通过使SiGe外延层生长在PFET的源极和漏极区中,而产生可增大PFET沟道区中的孔迁移率的压缩应变。
还期望提供一种用于在PFET沟道区中施加所需应变而不在NFET沟道区中产生相同应变的工艺。
发明内容
按照本发明的一个方面,提供一种集成电路的p-型场效应晶体管(PFET)和n-型场效应晶体管(NFET)。经由晶格错配的半导体层(例如设置在仅仅PFET而不是NFET的源极和漏极区中的硅锗)将第一应变施加到PFET而不是NFET的沟道区中。提供一种PFET和NFET的制造工艺。在该区域中蚀刻沟槽,从而变成PFET的源极和漏极区,并且使晶格错配的硅锗层在其中外延生长,以便将应变施加到与其相邻的PFET的沟道区中。
在本发明的一个方面,硅层生长在硅锗层之上,并且用此硅层形成硅化物(salicide),从而提供低电阻的源极和漏极区。同时,硅化物在PFET和NFET栅导体中形成。
附图说明
图1示出按照本发明一个实施例的PFET和NFET。
图2示出按照本发明一个实施例的PFET的应变外形。
图3-9示出按照本发明一个实施例的PFET和NFET的若干制造阶段。
图10-15示出按照本发明另一个实施例的PFET和NFET的若干制造阶段。
图16-18示出按照本发明又一个实施例的PFET和NFET的若干制造阶段。
具体实施方式
图1示出按照本发明一个实施例的p-型场效应晶体管(PFET)和n-型场效应晶体管(NFET)。如图1所示,PFET10和NFET12是在基片16的单晶半导体区14中制造的,用一般由氧化物形成的沟槽分离区17分开。基片16可以是体(bulk)基片或者优选是绝缘体上半导体或绝缘体上硅(SOI)基片,其中在绝缘层18之上形成相当薄的半导体层。当在这样的SOI基片上形成场效应晶体管(FET)时,经常获得比别的方式更快的开关操作,因为晶体管的沟道区与体基片之间的结电容消除了。基片优选是体单晶硅基片,更优选是在绝缘层之上具有单晶硅区的硅SOI基片。正如在此实施例以及随后的实施例中所述,可参考在基片的单晶硅区内制造晶体管,这与其它类型的半导体例如III-V化合物半导体(例如锗砷化物(GeAs))相反。
如图1所示,PFET10包括设置在栅导体的多晶硅部分26下面的沟道区20。多晶硅部分26优选重掺杂到约1019cm-3的浓度。为了使在操作中导通PFET时所存在的p-型传导沟道的功函数匹配,多晶硅部分26优选包括p-型掺杂剂。栅导体优选还包括设置在多晶硅部分26之上的低电阻部分28。低电阻部分28具有比多晶硅部分26低得多的电阻,并优选包括金属、硅化物,或两者都包括。在一个优选实施例中,低电阻部分28包括硅化物例如钴硅化物(CoSi2)。
一对硅化物突起的源极-漏极区11设置在栅导体26两侧上的单晶半导体区中。每个突起的源极-漏极区11通过一对间隔件29,30从栅导体26偏移。间隔件29,30优选用氮化硅形成,尽管间隔件30也可用二氧化硅或氮化硅与二氧化硅层的组合(例如氧氮化硅)形成。
经由设置在PFET的源极-漏极区11下面的第二半导体埋设单晶层21,将第一应变施加到沟道区20中。第二半导体21优选是结合有硅和一个或多个其它族IV元素例如碳(C)或锗(Ge)的晶格错配半导体。第二半导体层21最优选是硅锗。优选是硅的第一半导体层22设置在第二半导体层21之上。优选地,优选是硅化物的低电阻接触层24设置在第一半导体层22之上。该低电阻层优选是硅化物,更优选是钴的硅化物,即CoSi2
PFET 10的沟道区两侧上晶格错配第二半导体的存在,导致在沟道区20中产生应变。优选地,应变是压缩的。这样的压缩应变在50Mpa(百万帕)至几个Gpa(千兆帕)的范围内。应变对沟道区20内的电荷载流子的迁移率产生积极作用,从而能够达到没有这样的应变施加到其上的PFET沟道区时的迁移率的数倍。
当第一半导体优选地是硅时,晶格错配的第二半导体优选是不同的半导体例如硅锗或碳化硅,更优选是硅锗(SixGey),其中x和y是百分比,在这里x+y=100%。x与y之间的变化范围相当大,图示的y在1%-99%的范围内变化,而在这样的情况下,x相应地在99%与1%之间变化。
或者是,基片14的单晶区实质上可包括按照第一分子式Six1Gey1的比例的硅锗,其中x1和y1是百分比,在这里x1+y1=100%,第二半导体层实质上包括按照第二分子式Six2Gey2的不同比例的硅锗,其中x2和y2是百分比,在这里x2+y2=100%,x1不等于x2,y1不等于y2。
还是如图1所示,NFET 12配置在晶片的单晶区14中。NFET 12包括设置在栅导体的重掺杂n-型多晶硅部分42之下的沟道区40,而多晶硅部分42又设置在NFET 12的低电阻部分44之下。低电阻部分44与PFET 10的低电阻部分28类似,可包括金属、硅化物,或二者都包括,最优选的是包括钴的硅化物(CoSi2)。
NFET 12也包括一对低电阻、突起的源极-漏极接触区46,每个区优选地包括低电阻材料例如硅化物,最优选的是钴的硅化物(CoSi2)。优选地,每个突起的源极-漏极接触区46通过一对间隔件47,48与栅导体部分42,44分开。间隔件47优选地包括氮化硅,间隔件48优选地包括氮化硅、二氧化硅或氮化硅和二氧化硅的组合。
NFET 12没有第一应变施加到其沟道区40中,即,施加到PFET10的沟道区20中的那种应变类型和大小的应变。这是由于如下原因。首先,NFET 12具有n-型传导沟道,该沟道具有电子作为主载流子。当所有其它事项相等时,NFET 12比PFET 10具有更快的开关速度,因为PFET具有p-型传导沟道,该沟道具有空穴而不是电子作为主载流子。空穴比电子具有更小的迁移率,结果导致NFET 12中的开关速度更快。由此,PFET 10的开关速度必需增大,以便至少与NFET 12匹配。
其次,并没有相同类型和大小的应变施加到PFET 10和NFET 12上,因为其对NFET 12没有相同的作用。施加到NFET 12的沟道区40中的高幅度压缩应变(例如50Mpa至几个GPa),实际上使其中的电子迁移率减小,从而导致开关速度更慢,而不是按所需的更快开关速度。
图2示出了基片的单晶区114内的PFET 110的应变外形。PFET110具有如上所述的PFET 10的结构,其在栅导体的每侧上具有沟道区120和升高的源极-漏极区,示出了其中一个升高的源极-漏极区111。升高的源极-漏极区111包括设置在硅层122之上的硅化物层124,而硅层122又设置在相当薄的晶格错配的第二半导体层121例如硅锗之上。薄层121又设置在基片的单晶区114之内。
在图2中,基片114内的曲线表示相同大小和类型(即压缩的或拉伸的)的应变存在的部位。由此,线126表示施加到沟道区120中的相等应变的部位。范围在50MPa与2GPa之间的压缩应变优选地施加在PFET 110的沟道区120的这样部位。更优选地,在100MPa与1GPa之间的压缩应变施加到沟道区120中。最优选地,范围在200MPa与600MPa之间的压缩应变施加到其上,因此400MPa是所需的应变目标。在源极-漏极区111中,应变的大小和方向与沟道区120中的应变是非常不同的。在掩埋式SiGe层121中,应变在1-5GPa的范围内,而2.5GPa是所获得的特定几何形状和尺寸的PFET的大概量,以便将所需应变施加到沟道区120中。另一方面,覆盖SiGe层的硅层122具有施加到其上的拉伸应变。源极-漏极区111中应变的具体数量不是如此重要。将所需大小和方向的应变施加到PFET的沟道区120中才是实际目的。通过实施按照本发明实施例的处理方法,而将这样的应变施加到PFET上,而不是NFET上。
图3表示出按照本发明一个实施例的CMOS制造工艺的第一阶段。作为按照该实施例处理的结果,形成p-型场效应晶体管(PFET)和n-型场效应晶体管(NFET)。在PFET中,利用晶格错配的半导体层将第一应变施加到沟道区中。另一方面,在NFET的沟道区中,没有施加第一应变,因为晶格错配的半导体层不在其近端。以这样的方式,PFET的载流子迁移率增大,同时仍然保持NFET中所需的性能。
图3表示出按照本发明一个实施例形成PFET和NFET的处理阶段。如图3所示,所形成的PFET栅极叠层25和NFET栅极叠层45覆盖基片的单晶区。单晶区14实质上包括第一半导体材料例如硅。PFET栅极叠层25包括覆盖单晶区14的栅极电介质13、在栅导体层26的侧壁上形成的一对间隔件29、和绝缘帽50。NFET栅极叠层45包括覆盖单晶区14的栅极电介质13、在栅导体层42的侧壁上形成的一对间隔件47、和绝缘帽52,还优选地通过沉积四乙基原硅酸盐(TEOS)的前体的氧化物来形成。
在此处理阶段,栅导体层26,42优选仅包括重掺杂半导体,最优选是重掺杂多晶硅。优选地,已经用所需类型和浓度的掺杂剂在此阶段制备了各自PFET栅极叠层和NFET栅极叠层的栅导体26,42,从而提供了所需的功能。例如,PFET栅极叠层可配有p+掺杂栅导体层26,而NFET栅极叠层可设有n+掺杂栅导体层42。间隔件29优选地由沉积的氮化物形成,绝缘帽50,52优选地通过沉积四乙基原硅酸盐(TEOS)的前体的氧化物来形成。
其次,如图4所示,将涂层56施加到基片的单晶区14的主表面54上。涂层56是通过沉积可去除材料按需施加的,可去除材料能够限制硅在选择性沉积工艺中的沉积。优选地,材料是氮化硅,并且材料优选地是通过沉积施加的。其次,如图5所示,将掩蔽材料58施加到基片上并进行构图,以覆盖NFET栅极叠层45两侧上的单晶区14的区域,但不覆盖PFET栅极叠层25两侧上的单晶区14的区域。在一个实施例中,掩蔽材料优选是光刻胶。或者是,掩蔽材料是随后能够完全去除的几种公知抗蚀材料中的任一种,例如抗反射涂料(ARC)、旋涂玻璃(spin-on-glass)、TEOS前体的氧化物、或多种掺杂玻璃诸如硼硅酸盐玻璃(BSG)、砷掺杂玻璃(ASG)、磷硅酸盐玻璃(PSG)或硼磷硅酸盐玻璃(BPSG),这些材料能够沉积并随后去除。
其后,优选地通过各向异性垂直蚀刻工艺例如活性离子蚀刻(RIE),在PFET栅极叠层25的两侧上蚀刻单晶区14。在这样的蚀刻过程中,PFET栅极叠层25提供了掩模,从而避免PFET栅极叠层25之下的区域比蚀刻。NFET栅极叠层45的两侧上的单晶区14的区域没有被蚀刻,因为它们被掩蔽层58和涂层56保护起来。作为是蚀刻的结果,在PFET栅极叠层25的两侧上的单晶区14中形成沟槽60。蚀刻沟槽60之后,诸如通过定时各向异性蚀刻去除掩蔽层58。这也具有去除单晶硅在沟槽60之内的部分的效果,沟槽60由于RIE蚀刻而被损坏。
其后,如图6所示,第二半导体层62在沟槽60内的单晶区14的第一半导体上外延生长。外延生长过程优选地通过选择性沉积来实施,以便仅有非常少或者根本没有第二半导体沉积在表面上,这与已生长在沟槽60内的单晶半导体上的不同。第二半导体,作为一个与另一半导体的单晶区接触生长的层,是能够产生应变的晶格错配半导体。
其次,如图7所示,利用仍然就地保护NFET中所形成的区域的涂层56,外延生长的第二半导体层62被凹陷到基片14的单晶区的主表面之下的所需层面64。此凹陷步骤优选地通过定时各向异性活性离子蚀刻来实施。或者是,凹陷步骤通过有选择地对硅进行各向同性蚀刻来实施,以便针对暴露的硅锗的蚀刻进行得更快,而蚀刻下面的硅单晶区比较慢。
其次,如图8所示,单晶硅的第二层66在硅锗凹陷层62之上外延生长。第二层66优选地以选择性外延沉积的方式来生长,以便除了沿沟槽60侧壁的硅锗层62的暴露区域和单晶硅的暴露区域上之外,仅有比较少或根本没有硅被沉积。由此,作为选择性外延沉积的结果,仅有比较少或根本没有硅沉积在涂层56和PFET栅极叠层25上。
其次,如图9所示,将涂层56从NFET栅极叠层45的两侧上的单晶区14上除去。其次,在PFET栅极叠层25和NFET栅极叠层45的两侧上形成优选包括氧化物材料的第二对间隔件30。间隔件30优选地通过共形地沉积氧化物材料(例如来自TEOS前体)、随后通过各向异性垂直蚀刻(例如RIE)来形成。优选地有选择地对硅进行蚀刻,以免使硅66的顶层过度凹陷。作为此蚀刻步骤的结果,从PFET栅极叠层25和NFET栅极叠层45上去除绝缘帽50,从而暴露出下面的多晶硅部分26和42。
其后,如图9所示,在NFET栅极叠层45两侧上的暴露半导体层66和暴露单晶区上形成硅化物68。同时,分别在PFET栅极叠层和NFET栅极叠层的暴露多晶硅部分26,42上形成硅化物。硅化物优选是钴的硅化物(CoSi2),其通过在所处理的基片上沉积一层钴,而优选地以自对准的方式(即“salicide”)来形成。然后,实施退火,以便使钴和与其接触的硅发生反应,从而形成硅化物68。然后从所处理基片的剩余区域(即间隔件29,30和沟槽分离器17)上除去未反应的钴。
图10-14表示出按照另一方法实施例制造具有应变沟道区PFET和NFET的芯片的各个阶段。图10表示出形成PFET栅极叠层125和NFET栅极叠层145之后的处理阶段。这些栅极叠层具有参照图3如上所述的相同结构。例如,PFET栅极叠层具有覆盖栅极电介质113、氮化物侧壁间隔件129和氧化物绝缘帽150的栅导体126。NFET栅极叠层145具有相同的结构。沟槽分离器117位于上面设置有PFET栅极叠层125和NFET栅极叠层145的基片单晶区114的区域之间。
图10表示出与图5所示类似的处理阶段。已经在PFET栅极叠层125和NFET栅极叠层145之上沉积了共形掩蔽层156。共形掩蔽层156优选包括氧化物例如二氧化硅。层156从围绕PFET栅极叠层125的单晶区114的区域上去除。这可通过成批掩蔽围绕NFET栅极叠层145的单晶区114、其后利用各向异性蚀刻(例如RIF)垂直蚀刻单晶区的层156和下面层169,以与参照图5如上所述的相同方式来进行。在此蚀刻过程中,区域160没有蚀刻得象它们在如上所述实施例中的那样深。而是,区域160仅部分进行蚀刻。随后,此蚀刻限定硅顶层的形成层面。
其次,沉积第二共形掩蔽层170,以形成如图所示的结构。此层170优选是作为共形掩蔽层170的氮化硅,其能够终止使晶格错配半导体选择性生长的后序步骤。其次,如图11所示,实施各向异性垂直蚀刻工艺例如RIE,以便从沟槽160的底部除去掩蔽层170。在此工艺过程中,间隔件172保留在沟槽160的侧壁和栅极叠层125,145上。在蚀刻过程中,从围绕NFET栅极叠层145的单晶区114的区域中所有水平表面(例如绝缘帽150上以及的第一掩蔽层156上)除去掩蔽层170。
在此蚀刻之后,如通过有选择地对掩蔽层170的材料进行各向异性垂直RIE,而使沟槽160进一步凹陷,从而导致如图12所示结构的生成。例如,如果掩蔽层170包括氮化硅,那么就有选择地对氮化硅进行蚀刻。或者是,通过有选择地对氮化硅进行各向同性蚀刻来实施此步骤。
其次,如图13所示,晶格错配半导体有选择地在沟槽160中生长。晶格错配半导体优选是硅锗。通过此工艺,硅锗层176在沟槽160的底部和侧壁上外延生长,高达间隔件172的层面水平但没有沉积在其它地方。
其后,如图14所示,如通过有选择地对沟槽160中的硅和硅锗材料进行各向同性湿剥离处理,而除去掩蔽层170和间隔件172。结果,沿沟槽侧壁174的单晶区114暴露。
其次,实施一个步骤,以便使沟槽160中硅外延层178有选择地在硅锗层176之上生长。这导致如图15所示结构的生成,其与以上图8中所示的类似,只是氧化物掩蔽层156保留在NFET栅极叠层145之上,代替图8中的氮化物掩蔽层56。
其后,利用RIE蚀刻除去氧化物掩蔽材料156。通过此蚀刻,除去氧化物绝缘帽150,而就地剩下氮化物侧壁间隔件129。然后优选地利用硅化钴使前述沟槽160和多晶硅栅导体层126和142的顶部之上的源极和漏极区域硅化,如上参照图9所述。
图16-18表示出如上参照图9所述方案的变型实施例。此变型实施例从诸如图8或图15所示的处理阶段进行。如图16所示,在此实施例中,将氧化物掩蔽层156和间隔件29(或129)从多晶硅栅导体26,42(或126,142)中去除,而在它们的位置提供新的间隔件。这样做的目的是避免由于间隔件的特征变化(例如几个种类的结合)而导致器件参数漂移,间隔件的特征变化是由于硅锗和硅外延生长过程的热预算(budget)增大导致的。
如图17所示,第一间隔件230在多晶硅栅导体226,242上形成。这优选地通过共形地沉积氮化硅、其后进行垂直蚀刻(如利用RIE)来实施。然后,在PFET的源极和漏极区内(即,在单晶区114到PFET栅极叠层的间隔件230侧面的区域内)实施延伸和光圈植入。延伸和halo植入也在NFET的源极和漏极区内(即在单晶区114到NFET栅极叠层的间隔件230侧面的区域内)实施。在每种情况中,延伸和halo植入仅用第一间隔件230实施,以便植入到更接近PFET和NFET晶体管的沟道区的区域中。
其后,在间隔件230上形成第二间隔件232,然后在PFET栅极叠层的侧面上实施源极和漏极的植入,从而形成PFET源极和漏极区;在NFET栅极叠层的侧面上实施源极和漏极的植入,从而形成NFET源极和漏极区。以这种方式,源极和漏极植入区与晶体管的沟道区间隔一段所需的距离。
其后,以诸如如上所述的方式,分别在PFET栅极叠层和NFET栅极叠层的源极和漏极区中和多晶硅部分226和242之上形成硅化物。
前面有制造集成电路的PFET和NFET的所述方式,从而经由设置在PFET的源极和漏极区中的晶格错配半导体层,将第一应变施加到PFET的沟道区中。第一应变不施加到NFET的沟道区中,因为晶格错配的半导体层仅设置在PFET的源极和漏极区中,而不设置在NFET的源极和漏极区中。
已经描述了PFET和NFET的制造工艺,其中晶格错配的半导体仅设置在PFET的源极和漏极区中,而不设置在NFET的源极和漏极区中。此工艺,采用在NFET区域之上形成的掩蔽层,依赖于在这些区域中蚀刻沟槽,以变成PFET的源极和漏极区,并使硅锗层在其中外延生长,然后使硅层在该外延生长的硅锗层之上生长。
虽然以上参照本发明的优选实施例对本发明进行了描述,但是本领域的技术人员应该理解,在不脱离仅由所附权利要求书限定的本发明范围和精髓的前提下,能够作出许多修改和增进。

Claims (32)

1、一种具有互补金属氧化物半导体(CMOS)晶体管的集成电路,所述晶体管包括p-型场效应晶体管(PFET)和n-型场效应晶体管(NFET),其中经由设置在所述PFET而不是NFET的源极和漏极区中的半导体层,将第一应变施加到所述PFET而不是NFET的沟道区中,所述半导体层与设置在所述PFET和所述NFET的所述沟道区中的单晶半导体晶格错配。
2、如权利要求1所述的集成电路,其特征在于,所述PFET和所述NFET的沟道区设置在第一半导体的单晶区中,所述晶格错配的半导体包括一层设置在所述第一半导体的所述单晶区之上的第二半导体。
3、如权利要求2所述的集成电路,其特征在于,所述第一半导体的所述单晶区具有由所述PFET的栅极叠层的栅极电介质层面限定的主表面,所述第二半导体的所述层具有设置在所述主表面之下的顶部表面。
4、如权利要求3所述的集成电路,其特征在于,还包括设置在所述第二半导体的所述层之上的所述第一半导体的单晶层。
5、如权利要求1所述的集成电路,其特征在于,所述第一半导体实质上包括选自由以下物质构成的组中的半导体:硅、硅锗和碳化硅,所述第二半导体实质上包括不同于所述第一半导体的另一半导体,所述另一半导体选自由以下物质构成的组:硅、硅锗和碳化硅。
6、如权利要求1所述的集成电路,其特征在于,所述第一半导体实质上包括硅,所述第二半导体实质上包括硅锗。
7、如权利要求1所述的集成电路,其特征在于,所述第一半导体实质上包括按照第一分子式Six1Gey1的硅锗,其中x1和y1是百分比,x1+y1=100%,y1至少是1%,所述第二半导体实质上包括按照第二分子式Six2Gey2的硅锗,其中x2和y2是百分比,x2+y2=100%,y2至少是1%,x1不等于x2,y1不等于y2。
8、如权利要求1所述的集成电路,其特征在于,所述第一应变是压缩应变。
9、如权利要求6所述的集成电路,其特征在于,所述第二半导体实质上包括具有至少1%锗含量的硅锗。
10、如权利要求4所述的集成电路,其特征在于,所述PFET和所述NFET的每一个还包括接触所述PFET和所述NFET之栅导体、源极区和漏极区的硅化物层。
11、如权利要求10所述的集成电路,其特征在于,所述硅化物实质上包括钴的硅化物。
12、一种具有互补金属氧化物半导体(CMOS)晶体管的集成电路,所述晶体管包括p-型场效应晶体管(PFET)和n-型场效应晶体管(NFET),每个晶体管具有设置在基片的单晶硅区中的沟道区,其中经由设置在所述PFET而不是NFET的源极和漏极区中的实质上包括硅锗的埋入式晶格错配半导体层,将第一应变施加到所述PFET而不是NFET的沟道区中,所述硅锗具有按照分子式SixGey的比例,其中x和y是百分比,每个至少为1%,x+y=100%。
13、一种p-型场效应晶体管(PFET)和n-型场效应晶体管(NFET)的制造方法,所述PFET和所述NFET均具有沟道区,所述PFET的所述沟道区具有第一应变,所述NFET的所述沟道区没有所述第一应变,所述方法包括:
在第一半导体的单晶区的主表面之上形成PFET栅极叠层和NFET栅极叠层,所述PFET栅极叠层和所述NFET栅极叠层的每一个都包括栅极电介质、在所述栅极电介质上形成的栅导体、在所述栅导体之上形成的帽层,和在所述栅导体的侧壁上形成的第一间隔件;
使所述PFET栅极叠层的侧面上的所述单晶区凹陷,同时避免所述NFET栅极叠层的侧面上的所述单晶区的所述主表面凹陷;
使一层第二半导体生长在通过所述凹陷暴露的所述单晶区的区域中,同时避免所述层生长在所述NFET栅极叠层之侧面上的所述单晶区上,所述第二半导体与所述第一半导体晶格错配,从而将所述第一应变施加到所述PFET的所述沟道区中;以及
在所述PFET栅极叠层的所述侧面上制造源极区和漏极区,从而形成所述PFET,在所述NFET栅极叠层的所述侧面上制造源极区和漏极区,从而形成所述NFET。
14、如权利要求13所述的方法,其特征在于,还包括使所述单晶区的所述主表面之下的所述第二半导体层凹陷。
15、如权利要求14所述的方法,其特征在于,还包括使一层所述第一半导体在所述凹陷的第二半导体层之上生长。
16、如权利要求15所述的方法,其特征在于,还包括在所述PFET和所述NFET的所述源极区和漏极区之上形成自对准硅化物。
17、如权利要求16所述的方法,其特征在于,还包括在所述PFET和所述NFET的所述栅导体的多晶硅部分之上形成自对准硅化物。
18、如权利要求17所述的方法,其特征在于,所述硅化物包括钴的硅化物。
19、如权利要求15所述的方法,其特征在于,所述第一半导体包括硅,所述第二半导体包括硅锗,所述硅锗具有至少1%的锗含量。
20、如权利要求19所述的方法,其特征在于,所述晶格错配的第二半导体将压缩应变施加到所述PFET的所述沟道区中。
21、如权利要求13所述的方法,其特征在于,形成所述源极区和漏极区的所述步骤还包括:从所述PFET栅极叠层和所述NFET栅极叠层上除去所述第一间隔件,并在所述PFET栅极叠层和所述NFET栅极叠层的侧壁上形成第二间隔件。
22、如权利要求21所述的方法,其特征在于,所述第二间隔件比所述第一间隔件厚。
23、如权利要求21所述的方法,其特征在于,还包括将光圈植入所述单晶区的暴露区域和所述第一半导体的所述层。
24、如权利要求21所述的方法,其特征在于,还包括延伸植入所述单晶区的暴露区域和所述第一半导体的所述层。
25、如权利要求22所述的方法,其特征在于,还包括形成侧向接触所述第二间隔件的第三间隔件,并将源极和漏极区植入所述单晶区的所述暴露区域和所述第一半导体的所述层。
26、如权利要求13所述的方法,其特征在于,利用图案化嵌段掩模,避免所述NFET栅极叠层的所述侧面上的所述单晶区凹陷。
27、如权利要求13所述的方法,其特征在于,通过将第一涂层施加到所述NFET栅极叠层的所述侧面上的所述单晶区,避免所述第二半导体层在所述NFET栅极叠层的所述侧面上的所述单晶区上生长。
28、如权利要求27所述的方法,其特征在于,所述涂层是在包括所述PFET栅极叠层和所述NFET栅极叠层之上的所述单晶区的暴露表面之上共形地形成的。
29、如权利要求28所述的方法,其特征在于,还包括终止所述PFET叠层的所述侧面上的所述单晶区的所述凹陷,并在通过所述凹陷暴露的所述单晶区的所述区域上形成第二涂层,然后继续进行所述凹陷,从而使所述第二半导体不在由所述第二涂层保护的区域中生长。
30、如权利要求29所述的方法,其特征在于,还包括在所述第二半导体的所述层上生成一层所述第一半导体。
31、如权利要求30所述的方法,其特征在于,所述第一半导体包括硅,所述第二半导体包括硅锗,所述硅锗具有至少1%的锗含量。
32、如权利要求29所述的方法,其特征在于,所述第二半导体将所述第一应变作为压缩应变来施加。
CNB2004800219013A 2003-08-04 2004-08-04 应变半导体cmos晶体管的制造结构和方法 Active CN100428497C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/604,607 US6891192B2 (en) 2003-08-04 2003-08-04 Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US10/604,607 2003-08-04

Publications (2)

Publication Number Publication Date
CN1830092A true CN1830092A (zh) 2006-09-06
CN100428497C CN100428497C (zh) 2008-10-22

Family

ID=34115654

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800219013A Active CN100428497C (zh) 2003-08-04 2004-08-04 应变半导体cmos晶体管的制造结构和方法

Country Status (10)

Country Link
US (3) US6891192B2 (zh)
EP (1) EP1654770B1 (zh)
JP (1) JP4808618B2 (zh)
KR (1) KR100791441B1 (zh)
CN (1) CN100428497C (zh)
AT (1) ATE504078T1 (zh)
DE (1) DE602004032035D1 (zh)
IL (1) IL173422A (zh)
TW (1) TWI284961B (zh)
WO (1) WO2005017964A2 (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100446272C (zh) * 2003-09-04 2008-12-24 台湾积体电路制造股份有限公司 应变沟道半导体结构
US7825477B2 (en) 2007-04-23 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with localized stressor
CN101540326B (zh) * 2008-03-20 2011-03-30 台湾积体电路制造股份有限公司 半导体元件
WO2012003725A1 (zh) * 2010-07-07 2012-01-12 北京大学 一种沟道应力引入方法及采用该方法制备的场效应晶体管
US8344447B2 (en) 2007-04-05 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon layer for stopping dislocation propagation
CN103367129A (zh) * 2012-04-10 2013-10-23 中芯国际集成电路制造(上海)有限公司 具有硅锗掺杂区的半导体器件的制作方法
CN101964327B (zh) * 2009-07-23 2013-12-11 联华电子股份有限公司 金属氧化物半导体晶体管结构及其制作方法
CN103730421A (zh) * 2012-10-16 2014-04-16 中芯国际集成电路制造(上海)有限公司 Cmos的形成方法
CN103779213A (zh) * 2012-10-18 2014-05-07 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN103871967A (zh) * 2012-12-18 2014-06-18 中芯国际集成电路制造(上海)有限公司 Cmos晶体管的形成方法
CN105304567A (zh) * 2014-07-31 2016-02-03 上海华力微电子有限公司 用于形成嵌入式锗硅的方法
CN108054084A (zh) * 2012-12-19 2018-05-18 英特尔公司 纳米尺度模板结构上的ⅲ族-n晶体管
CN110010453A (zh) * 2013-04-03 2019-07-12 意法半导体公司 用于增强电荷载流子的迁移率的方法和设备
WO2023279547A1 (zh) * 2021-07-08 2023-01-12 长鑫存储技术有限公司 存储器及其制备方法

Families Citing this family (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002537796A (ja) * 1999-03-02 2002-11-12 ヒューマン ジノーム サイエンシーズ, インコーポレイテッド ヒトグリコシル化酵素
KR100498475B1 (ko) * 2003-01-07 2005-07-01 삼성전자주식회사 모스 전계 효과 트랜지스터 구조 및 그 제조 방법
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US6936881B2 (en) * 2003-07-25 2005-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor that includes high permittivity capacitor dielectric
US6940705B2 (en) * 2003-07-25 2005-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor with enhanced performance and method of manufacture
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US7071052B2 (en) * 2003-08-18 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor with reduced leakage
US6906360B2 (en) * 2003-09-10 2005-06-14 International Business Machines Corporation Structure and method of making strained channel CMOS transistors having lattice-mismatched epitaxial extension and source and drain regions
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7057216B2 (en) * 2003-10-31 2006-06-06 International Business Machines Corporation High mobility heterojunction complementary field effect transistors and methods thereof
US7888201B2 (en) 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7064027B2 (en) * 2003-11-13 2006-06-20 International Business Machines Corporation Method and structure to use an etch resistant liner on transistor gate structure to achieve high device performance
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7018891B2 (en) * 2003-12-16 2006-03-28 International Business Machines Corporation Ultra-thin Si channel CMOS with improved series resistance
JP4375619B2 (ja) * 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7122435B2 (en) * 2004-08-02 2006-10-17 Texas Instruments Incorporated Methods, systems and structures for forming improved transistors
US7169659B2 (en) * 2004-08-31 2007-01-30 Texas Instruments Incorporated Method to selectively recess ETCH regions on a wafer surface using capoly as a mask
US7135724B2 (en) * 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
US7064025B1 (en) * 2004-12-02 2006-06-20 International Business Machines Corporation Method for forming self-aligned dual salicide in CMOS technologies
KR100632654B1 (ko) * 2004-12-28 2006-10-12 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US7176481B2 (en) * 2005-01-12 2007-02-13 International Business Machines Corporation In situ doped embedded sige extension and source/drain for enhanced PFET performance
US7271043B2 (en) * 2005-01-18 2007-09-18 International Business Machines Corporation Method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US7238580B2 (en) * 2005-01-26 2007-07-03 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration
KR100703967B1 (ko) 2005-02-28 2007-04-05 삼성전자주식회사 씨모스 트랜지스터 및 그 제조 방법
JP4426988B2 (ja) 2005-03-09 2010-03-03 富士通マイクロエレクトロニクス株式会社 pチャネルMOSトランジスタの製造方法
JP4561419B2 (ja) * 2005-03-16 2010-10-13 ソニー株式会社 半導体装置の製造方法
US7205202B2 (en) * 2005-04-21 2007-04-17 Freescale Semiconductor, Inc. Semiconductor device and method for regional stress control
US7446350B2 (en) * 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
US7858458B2 (en) 2005-06-14 2010-12-28 Micron Technology, Inc. CMOS fabrication
CN100463143C (zh) * 2005-07-07 2009-02-18 中芯国际集成电路制造(上海)有限公司 具有氧化物间隔层的应变源漏cmos的集成方法
JP4664760B2 (ja) * 2005-07-12 2011-04-06 株式会社東芝 半導体装置およびその製造方法
CN100394583C (zh) * 2005-08-25 2008-06-11 中芯国际集成电路制造(上海)有限公司 应变cmos的集成制作方法
CN100452354C (zh) * 2005-08-25 2009-01-14 中芯国际集成电路制造(上海)有限公司 多层膜作为硬掩模和抗反射层的应变源漏cmos的制作方法
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
JP2007073801A (ja) * 2005-09-08 2007-03-22 Seiko Epson Corp 半導体装置
US8003470B2 (en) * 2005-09-13 2011-08-23 Infineon Technologies Ag Strained semiconductor device and method of making the same
US20090179254A1 (en) * 2005-09-23 2009-07-16 Nxp B.V. Memory Device With Improved Performance And Method Of Manufacturing Such A Memory Device
CN100442476C (zh) * 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 用于cmos技术的应变感应迁移率增强纳米器件及工艺
CN1959959B (zh) * 2005-10-31 2010-04-21 中芯国际集成电路制造(上海)有限公司 使用应变硅用于集成pmos和nmos晶体管的单掩模设计方法和结构
US7947546B2 (en) 2005-10-31 2011-05-24 Chartered Semiconductor Manufacturing, Ltd. Implant damage control by in-situ C doping during SiGe epitaxy for device applications
US20070099360A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Integrated circuits having strained channel field effect transistors and methods of making
US7420202B2 (en) 2005-11-08 2008-09-02 Freescale Semiconductor, Inc. Electronic device including a transistor structure having an active region adjacent to a stressor layer and a process for forming the electronic device
US7566609B2 (en) * 2005-11-29 2009-07-28 International Business Machines Corporation Method of manufacturing a semiconductor structure
US8407634B1 (en) * 2005-12-01 2013-03-26 Synopsys Inc. Analysis of stress impact on transistor performance
EP1801864B1 (en) * 2005-12-23 2009-11-18 Imec Method for selective epitaxial growth of source/drain areas
US7518193B2 (en) 2006-01-10 2009-04-14 International Business Machines Corporation SRAM array and analog FET with dual-strain layers comprising relaxed regions
JP2007220808A (ja) * 2006-02-15 2007-08-30 Toshiba Corp 半導体装置及びその製造方法
US8017472B2 (en) * 2006-02-17 2011-09-13 Infineon Technologies Ag CMOS devices having stress-altering material lining the isolation trenches and methods of manufacturing thereof
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US7696019B2 (en) * 2006-03-09 2010-04-13 Infineon Technologies Ag Semiconductor devices and methods of manufacturing thereof
JP5119604B2 (ja) * 2006-03-16 2013-01-16 ソニー株式会社 半導体装置の製造方法
US20070238236A1 (en) * 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
US20070238267A1 (en) * 2006-03-28 2007-10-11 International Business Machines Corporation Epitaxy of Silicon-Carbon Substitutional Solid Solutions by Ultra-Fast Annealing of Amorphous Material
US7402477B2 (en) * 2006-03-30 2008-07-22 Freescale Semiconductor, Inc. Method of making a multiple crystal orientation semiconductor device
DE102006015090B4 (de) * 2006-03-31 2008-03-13 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung unterschiedlicher eingebetteter Verformungsschichten in Transistoren
DE102006015077B4 (de) * 2006-03-31 2010-12-23 Advanced Micro Devices, Inc., Sunnyvale Transistor mit abgesenkten Drain- und Source-Gebieten und Verfahren zur Herstellung desselben
JP5130648B2 (ja) * 2006-04-27 2013-01-30 ソニー株式会社 半導体装置の製造方法および半導体装置
US20080023752A1 (en) * 2006-07-28 2008-01-31 International Business Machines Corporation BORON DOPED SiGe HALO FOR NFET TO CONTROL SHORT CHANNEL EFFECT
JP5076388B2 (ja) 2006-07-28 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8754446B2 (en) * 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US20080079084A1 (en) * 2006-09-28 2008-04-03 Micron Technology, Inc. Enhanced mobility MOSFET devices
US7550351B2 (en) * 2006-10-05 2009-06-23 International Business Machines Corporation Structure and method for creation of a transistor
US20080124874A1 (en) * 2006-11-03 2008-05-29 Samsung Electronics Co., Ltd. Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions
US7550796B2 (en) 2006-12-06 2009-06-23 Electronics And Telecommunications Research Institute Germanium semiconductor device and method of manufacturing the same
US20080142879A1 (en) * 2006-12-14 2008-06-19 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing differential spacers
JP2008153515A (ja) * 2006-12-19 2008-07-03 Fujitsu Ltd Mosトランジスタ、そのmosトランジスタの製造方法、そのmosトランジスタを利用したcmos型半導体装置、及び、そのcmos型半導体装置を利用した半導体装置
US8569858B2 (en) 2006-12-20 2013-10-29 Freescale Semiconductor, Inc. Semiconductor device including an active region and two layers having different stress characteristics
US8217423B2 (en) * 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
CN101226899A (zh) * 2007-01-19 2008-07-23 中芯国际集成电路制造(上海)有限公司 在硅凹陷中后续外延生长应变硅mos晶片管的方法和结构
US7843011B2 (en) * 2007-01-31 2010-11-30 Freescale Semiconductor, Inc. Electronic device including insulating layers having different strains
JP5217180B2 (ja) * 2007-02-20 2013-06-19 富士通セミコンダクター株式会社 静電放電保護装置の製造方法
DE102007009915B4 (de) * 2007-02-28 2020-07-30 Globalfoundries Inc. Halbleiterbauelement mit verformter Halbleiterlegierung mit einem Konzentrationsprofil und Verfahren zu dessen Herstellung
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP2009032962A (ja) * 2007-07-27 2009-02-12 Panasonic Corp 半導体装置及びその製造方法
JP2009043916A (ja) * 2007-08-08 2009-02-26 Toshiba Corp 半導体装置及びその製造方法
CN101364545B (zh) * 2007-08-10 2010-12-22 中芯国际集成电路制造(上海)有限公司 应变硅晶体管的锗硅和多晶硅栅极结构
US20090053883A1 (en) * 2007-08-24 2009-02-26 Texas Instruments Incorporated Method of setting a work function of a fully silicided semiconductor device, and related device
US7895548B2 (en) * 2007-10-26 2011-02-22 Synopsys, Inc. Filler cells for design optimization in a place-and-route system
US9472423B2 (en) * 2007-10-30 2016-10-18 Synopsys, Inc. Method for suppressing lattice defects in a semiconductor substrate
JP5107680B2 (ja) * 2007-11-16 2012-12-26 パナソニック株式会社 半導体装置
US8058123B2 (en) 2007-11-29 2011-11-15 Globalfoundries Singapore Pte. Ltd. Integrated circuit and method of fabrication thereof
US20090152590A1 (en) * 2007-12-13 2009-06-18 International Business Machines Corporation Method and structure for semiconductor devices with silicon-germanium deposits
KR100949804B1 (ko) * 2007-12-14 2010-03-30 한국전자통신연구원 자기장 감지소자
JP2009152391A (ja) * 2007-12-20 2009-07-09 Fujitsu Microelectronics Ltd 半導体装置の製造方法及び半導体装置
US7678634B2 (en) * 2008-01-28 2010-03-16 International Business Machines Corporation Local stress engineering for CMOS devices
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US7838353B2 (en) 2008-08-12 2010-11-23 International Business Machines Corporation Field effect transistor with suppressed corner leakage through channel material band-edge modulation, design structure and method
US8125037B2 (en) 2008-08-12 2012-02-28 International Business Machines Corporation Field effect transistor with channel region edge and center portions having different band structures for suppressed corner leakage
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
CN101409294B (zh) * 2008-11-28 2010-06-02 西安电子科技大学 三维量子阱cmos集成器件及其制作方法
JP5278022B2 (ja) * 2009-02-17 2013-09-04 富士通セミコンダクター株式会社 半導体装置の製造方法
DE102009015715B4 (de) * 2009-03-31 2011-03-17 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Herstellung eines Transistorbauelements mit Bewahren der Integrität eines Gatestapel mit großem ε durch einen Versatzabstandshalter, der zum Bestimmen eines Abstands einer verformungsinduzierenden Halbleiterlegierung verwendet wird, und Transistorbauelement
US7951657B2 (en) 2009-05-21 2011-05-31 International Business Machines Corporation Method of forming a planar field effect transistor with embedded and faceted source/drain stressors on a silicon-on-insulator (S0I) wafer, a planar field effect transistor structure and a design structure for the planar field effect transistor
CN102024761A (zh) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
US8022488B2 (en) * 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
KR20110036312A (ko) * 2009-10-01 2011-04-07 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8278164B2 (en) 2010-02-04 2012-10-02 International Business Machines Corporation Semiconductor structures and methods of manufacturing the same
US8361867B2 (en) * 2010-03-19 2013-01-29 Acorn Technologies, Inc. Biaxial strained field effect transistor devices
US8492234B2 (en) 2010-06-29 2013-07-23 International Business Machines Corporation Field effect transistor device
US8021950B1 (en) 2010-10-26 2011-09-20 International Business Machines Corporation Semiconductor wafer processing method that allows device regions to be selectively annealed following back end of the line (BEOL) metal wiring layer formation
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US8796788B2 (en) 2011-01-19 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with strained source/drain structures
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8623713B2 (en) * 2011-09-15 2014-01-07 International Business Machines Corporation Trench isolation structure
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US9246004B2 (en) 2011-11-15 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structures of semiconductor devices
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US9012277B2 (en) * 2012-01-09 2015-04-21 Globalfoundries Inc. In situ doping and diffusionless annealing of embedded stressor regions in PMOS and NMOS devices
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8872228B2 (en) * 2012-05-11 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel semiconductor device fabrication
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8691644B2 (en) * 2012-07-05 2014-04-08 Texas Instruments Incorporated Method of forming a CMOS device with a stressed-channel NMOS transistor and a strained-channel PMOS transistor
US9817928B2 (en) 2012-08-31 2017-11-14 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9190346B2 (en) 2012-08-31 2015-11-17 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US8815656B2 (en) * 2012-09-19 2014-08-26 International Business Machines Corporation Semiconductor device and method with greater epitaxial growth on 110 crystal plane
US9379018B2 (en) 2012-12-17 2016-06-28 Synopsys, Inc. Increasing Ion/Ioff ratio in FinFETs and nano-wires
US8847324B2 (en) 2012-12-17 2014-09-30 Synopsys, Inc. Increasing ION /IOFF ratio in FinFETs and nano-wires
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
FR3002688A1 (fr) * 2013-02-27 2014-08-29 Commissariat Energie Atomique Procede de fabrication d'un dispositif microelectronique
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
EP3050090B1 (en) 2013-09-26 2023-08-09 Daedalus Prime LLC Methods of forming dislocation enhanced strain in nmos structures
US20150118832A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Methods for patterning a hardmask layer for an ion implantation process
US9214514B2 (en) * 2013-11-14 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming semiconductor device having stable dislocation profile
US9947772B2 (en) 2014-03-31 2018-04-17 Stmicroelectronics, Inc. SOI FinFET transistor with strained channel
US11637014B2 (en) * 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5155571A (en) * 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
DE59707274D1 (de) * 1996-09-27 2002-06-20 Infineon Technologies Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US6159815A (en) * 1996-09-27 2000-12-12 Siemens Aktiengesellschaft Method of producing a MOS transistor
JPH11163343A (ja) * 1997-11-28 1999-06-18 Nec Corp 半導体装置およびその製造方法
JP4258034B2 (ja) * 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
US6228722B1 (en) * 1999-04-16 2001-05-08 United Microelectronics Corp. Method for fabricating self-aligned metal silcide
KR100336040B1 (ko) * 1999-04-23 2002-05-08 윤종용 할로 구조를 지닌 전계 효과 트랜지스터 및 제조 방법
JP2001024194A (ja) * 1999-05-06 2001-01-26 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP3876401B2 (ja) * 1999-08-09 2007-01-31 富士通株式会社 半導体装置の製造方法
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6495402B1 (en) * 2001-02-06 2002-12-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator (SOI) device having source/drain silicon-germanium regions and method of manufacture
KR100396895B1 (ko) * 2001-08-02 2003-09-02 삼성전자주식회사 L자형 스페이서를 채용한 반도체 소자의 제조 방법
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
JP2003152177A (ja) * 2001-11-19 2003-05-23 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US6806151B2 (en) * 2001-12-14 2004-10-19 Texas Instruments Incorporated Methods and apparatus for inducing stress in a semiconductor device
JP2004031753A (ja) * 2002-06-27 2004-01-29 Renesas Technology Corp 半導体装置の製造方法
US6998683B2 (en) * 2002-10-03 2006-02-14 Micron Technology, Inc. TFT-based common gate CMOS inverters, and computer systems utilizing novel CMOS inverters
US6703648B1 (en) * 2002-10-29 2004-03-09 Advanced Micro Devices, Inc. Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100446272C (zh) * 2003-09-04 2008-12-24 台湾积体电路制造股份有限公司 应变沟道半导体结构
CN101359598B (zh) * 2003-09-04 2010-06-09 台湾积体电路制造股份有限公司 应变沟道半导体结构的制造方法
US8846461B2 (en) 2007-04-05 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon layer for stopping dislocation propagation
US8344447B2 (en) 2007-04-05 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon layer for stopping dislocation propagation
US7825477B2 (en) 2007-04-23 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with localized stressor
CN101295733B (zh) * 2007-04-23 2014-05-14 台湾积体电路制造股份有限公司 半导体元件
US8158474B2 (en) 2007-04-23 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with localized stressor
CN101540326B (zh) * 2008-03-20 2011-03-30 台湾积体电路制造股份有限公司 半导体元件
CN101964327B (zh) * 2009-07-23 2013-12-11 联华电子股份有限公司 金属氧化物半导体晶体管结构及其制作方法
US8450155B2 (en) 2010-07-07 2013-05-28 Peking University Method for introducing channel stress and field effect transistor fabricated by the same
WO2012003725A1 (zh) * 2010-07-07 2012-01-12 北京大学 一种沟道应力引入方法及采用该方法制备的场效应晶体管
CN103367129A (zh) * 2012-04-10 2013-10-23 中芯国际集成电路制造(上海)有限公司 具有硅锗掺杂区的半导体器件的制作方法
CN103367129B (zh) * 2012-04-10 2016-03-23 中芯国际集成电路制造(上海)有限公司 具有硅锗掺杂区的半导体器件的制作方法
CN103730421A (zh) * 2012-10-16 2014-04-16 中芯国际集成电路制造(上海)有限公司 Cmos的形成方法
CN103779213A (zh) * 2012-10-18 2014-05-07 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN103871967A (zh) * 2012-12-18 2014-06-18 中芯国际集成电路制造(上海)有限公司 Cmos晶体管的形成方法
CN108054084A (zh) * 2012-12-19 2018-05-18 英特尔公司 纳米尺度模板结构上的ⅲ族-n晶体管
CN110010453A (zh) * 2013-04-03 2019-07-12 意法半导体公司 用于增强电荷载流子的迁移率的方法和设备
CN110010453B (zh) * 2013-04-03 2023-07-11 意法半导体公司 用于增强电荷载流子的迁移率的方法和设备
CN105304567A (zh) * 2014-07-31 2016-02-03 上海华力微电子有限公司 用于形成嵌入式锗硅的方法
WO2023279547A1 (zh) * 2021-07-08 2023-01-12 长鑫存储技术有限公司 存储器及其制备方法

Also Published As

Publication number Publication date
EP1654770B1 (en) 2011-03-30
CN100428497C (zh) 2008-10-22
KR20060034686A (ko) 2006-04-24
ATE504078T1 (de) 2011-04-15
JP2007501526A (ja) 2007-01-25
DE602004032035D1 (de) 2011-05-12
EP1654770A2 (en) 2006-05-10
TWI284961B (en) 2007-08-01
IL173422A (en) 2011-12-29
EP1654770A4 (en) 2008-07-16
US7291528B2 (en) 2007-11-06
US6891192B2 (en) 2005-05-10
WO2005017964A3 (en) 2005-06-02
IL173422A0 (en) 2006-06-11
US20070249114A1 (en) 2007-10-25
KR100791441B1 (ko) 2008-01-04
US7396714B2 (en) 2008-07-08
US20050029601A1 (en) 2005-02-10
WO2005017964A2 (en) 2005-02-24
US20050158931A1 (en) 2005-07-21
JP4808618B2 (ja) 2011-11-02
TW200511504A (en) 2005-03-16

Similar Documents

Publication Publication Date Title
CN100428497C (zh) 应变半导体cmos晶体管的制造结构和方法
US7531393B2 (en) Non-planar MOS structure with a strained channel region
US8569837B2 (en) MOS devices having elevated source/drain regions
US7928474B2 (en) Forming embedded dielectric layers adjacent to sidewalls of shallow trench isolation regions
US6238960B1 (en) Fast MOSFET with low-doped source/drain
US20070057324A1 (en) Strained semiconductor device and method of making the same
CN1819201A (zh) 具有提高的载流子迁移率的半导体结构及其制造方法
CN1828908A (zh) 半导体结构及制造半导体结构的方法
CN1551311A (zh) 具有抬高的源极/漏极结构的mos晶体管及其制造方法
CN1941387A (zh) 半导体结构及其制造方法
US20230261055A1 (en) Semiconductor Device with Implant and Method of Manufacturing Same
KR102426239B1 (ko) 듀얼 게이트 유전체 트랜지스터
CN1956214A (zh) 场效应晶体管及其制造方法
CN101032009A (zh) 用于形成晶体管的方法
CN1879224A (zh) 低能量多沟道全耗尽量子井互补式金氧半导体场效晶体管
CN1492515A (zh) Mos晶体管及其制造方法
CN1540768A (zh) 一种源漏下陷型超薄体soimos晶体管及其集成电路的制作方法
US11538910B2 (en) Field-effect transistors of semiconductor devices
US9112054B2 (en) Methods of manufacturing semiconductor devices
CN111435684B (zh) 具有应变通道的晶体管及其制作方法
US10886406B1 (en) Semiconductor structure and method of manufacturing the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: SEMICONDUCTOR MANUFACTURING INTERNATIONAL CORPORAT

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION

Effective date: 20130319

C41 Transfer of patent application or patent right or utility model
COR Change of bibliographic data

Free format text: CORRECT: ADDRESS; TO: 200000 PUDONG NEW AREA, SHANGHAI

TR01 Transfer of patent right

Effective date of registration: 20130319

Address after: 200000 18 Zhangjiang Road, Shanghai, Pudong New Area

Patentee after: SMIC international integrated circuit manufacturing Co., Ltd.

Address before: American New York

Patentee before: International Business Machines Corp.