CN1708838A - 含硅介电材料的蚀刻方法 - Google Patents

含硅介电材料的蚀刻方法 Download PDF

Info

Publication number
CN1708838A
CN1708838A CN200380102587.7A CN200380102587A CN1708838A CN 1708838 A CN1708838 A CN 1708838A CN 200380102587 A CN200380102587 A CN 200380102587A CN 1708838 A CN1708838 A CN 1708838A
Authority
CN
China
Prior art keywords
etching
mentioned
silicon nitride
chf
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN200380102587.7A
Other languages
English (en)
Other versions
CN100524645C (zh
Inventor
颜度
沈梅华
沙善克·C·德希穆克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1708838A publication Critical patent/CN1708838A/zh
Application granted granted Critical
Publication of CN100524645C publication Critical patent/CN100524645C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本案揭示一种图案蚀刻含硅介电材料层的方法。本方法使用一种含有CF4与CHF3的等离子体来源气体,其中CF4对CHF3的比例范围在约2∶3至约3∶1;更典型则为约1∶1至约2∶1。蚀刻步骤在制程反应室压力约4毫托耳至约60毫托耳下进行。本方法提供的含硅介电层对光阻的蚀刻选择比为1.5∶1或更佳。本方法提供半导体结构中经蚀刻含硅介电层与底部水平层间的蚀刻轮廓侧壁夹角范围为88度到92度。在搭配使用对193nm辐射有敏感度的预定光阻时,本方法还可提供一个平滑的侧壁。

Description

含硅介电材料的蚀刻方法
技术领域
本发明涉及蚀刻含硅介电材料的方法。更特别地,本发明涉及一种图案蚀刻含硅介电材料层的方法,含硅介电材料层在后续图案蚀刻出特征尺寸约0.15微米或更小的半导体组件特征过程中,作为硬掩膜之用。
背景技术
含硅介电材料(例如氮化硅、氧化硅、以及氮氧化硅)经常被用来作为半导体结构底层的图案蚀刻掩膜。该含硅介电层本身通常而言是以覆盖的图案化光阻进行图案化。在硬掩膜图案化步骤中,含硅介电层相对于所覆盖的有机光阻的蚀刻选择比是很重要的。如本文所使用“选择比”或“蚀刻选择比”指的是在使用给定的等离子体来源气体及制程条件时,第一材料(例如含硅介电材料)相对于第二材料(例如光阻)的蚀刻速率比。
已知用于图案蚀刻含硅介电材料的等离子体蚀刻制程使用CF4与CH2F2组合的来源气体。虽然这种蚀刻剂通常而言提供含硅介电层对所覆光阻的良好蚀刻选择比(至少1.5∶1),但含硅介电层内所得到的沟渠蚀刻轮廓通常渐渐变细,如图2A所示。由于含硅介电层在后续的底下材料层图案蚀刻中将作为硬掩膜,使含硅介电层的图案蚀刻轮廓产生一蚀刻线侧壁相对于水平基底的夹角尽可能接近90°是很重要的(一般范围在约88°至92°)。任何由实质上90°蚀刻轮廓的偏差将会反映在底层蚀刻轮廓上。
发明内容
我们发现一种在含硅介电材料层内图案蚀刻出0.15微米尺寸及更小特征的方法,同时提供良好的蚀刻选择比(含硅介电层相对于覆盖的光阻)。通常的含硅介电材料是氮化硅,但也可以是氧化硅或氮氧化硅,以作为例示而非限制。在蚀刻线条或间隔图案时,使用本方法并配合一种对193nm辐射有敏感度的光阻可以得到特别平滑的蚀刻侧壁轮廓以及良好蚀刻轮廓。
等离子体蚀刻含硅介电材料的来源气体包含CF4以及CHF3。四氟化碳(CF4)提供很好的氟化物蚀刻成分来源,而CHF3则提供高分子产生与已曝露的光阻表面的钝化反应(passivation),以延长光阻的寿命。我们已发现体积比范围在约2∶3至约3∶1的CF4与CHF3等离子体来源气体可以提供一平滑的蚀刻侧壁表面(表面粗糙小于5nm)、垂直角蚀刻线条轮廓(所显示的夹角范围为约88度至约92度)、以及良好(约1.5∶1或更佳)的含硅介电层相对于覆盖光阻的蚀刻选择比。通常而言,等离子体来源气体中CF4与CHF3的体积比范围在约1∶1至约2∶1。
我们还发现,为了在蚀刻制程反应室总气体流量增加时能得到一个垂直角的蚀刻线条轮廓,等离子体来源气体的CF4对CHF3的体积比必须减少(也就是说,等离子体来源气体中的CHF3相对量应该要增加)。在掩膜开启程序中调整流向反应室的氟总流量,就可以调出横跨晶圆基材的关键尺寸图案,其可使之后的横跨于晶圆上半导体结构中的底层蚀刻制程的不均匀度获得补偿。
本蚀刻方法在具有去偶合等离子体来源的半导体制程反应室中表现特别良好。通常的该制程反应室的制程反应室压力范围约4毫托耳至约60毫托耳,更有代表性的范围为约20毫托耳至约60毫托耳。
我们已得知上述蚀刻方法在搭配预定对193nm辐射有敏感度的光阻后表现特别良好,此光阻技术为已知。本方法提供的含硅介电层相对于光阻的蚀刻选择比为1.5∶1或更佳。本方法还提供蚀刻含硅氮介电层与底部水平层的蚀刻线条轮廓侧壁夹角范围为86度到92度。除此之外,本方法减少了蚀刻侧壁粗糙至约5nm或更小,其对小于0.10微米的特征尺寸而言有重要性。
附图说明
图1A表示一种典型的起始结构100用以作为本文描述的实施例。结构100包含下列各层,由上至下:对193nm辐射有敏感度的图案光阻层114;图案有机底部抗反射涂(bottom anti-reflective coating;BARC)层112;氮化硅层110;钨金属层108;多晶硅层106;以及闸氧化层104,都沉积在单晶硅基材102上。
图1B表示在使用先前已知比较上用以蚀刻氮化硅层110的方法图案蚀刻氮化硅层110之后,结构100的剖面前端示意图。
图1C表示使用本发实施例方法图案蚀刻氮化硅层110后结构100的前端示意图。
图2A表示氮化硅层200的剖面前端示意图,是在数线条与间隔图案中蚀刻,其中蚀刻沟渠产生渐细轮廓,其中沟渠顶部宽于底部。
图2B表示图2A经蚀刻的氮化硅层200的侧视示意图,其以光显微照相(photomicrograph)描绘而得。
图2C表示图2A经蚀刻的氮化硅层200的上视图,其以光显微照相描绘而得。
图3A表示使用本发明实施例方法在述线条与间隔中蚀刻氮化硅层剖面前端示意图,其中蚀刻线条产生垂直角侧壁轮廓,而侧壁与侧壁基底的水平表面夹角Θ3范围约86°至约92°。
图3B表示图3A经蚀刻的氮化硅层300的侧面图,其由光显微照相所绘制。
图3C表示图3A经蚀刻的氮化硅层300的上视图,其由光显微照相所绘制。
图4A使用于进行本文所述实施例,一种蚀刻反应室CENTURADPS IITM(设备模型)。
图4B是应用材料(Applied Materials)MXP+多晶硅蚀刻反应室,其为于调整各种不同制程条件时,本文所述用于实现蚀刻制程设备的另一替代示例。
图5A是在以300sccmCF4与250sccmCHF3的等离子体来源气体组成用以图案蚀刻氮化硅层时,密集蚀刻特征区内所显示的关键尺寸(criticaldimension;CD)偏差502对于距离晶圆中心的半径504的函数关系图500。该制程反应室压力为30毫托耳。
图5B是在以300sccmCF4与250sccmCHF3的等离子体来源气体组成图案蚀刻氮化硅层时,隔离蚀刻特征区内所显示的关键尺寸(criticaldimension;CD)偏差522对于距离晶圆中心的半径524的函数关系图520。该制程反应室压力为30毫托耳。
图6A是在以200sccmCF4与130sccmCHF3的等离子体来源气体组成图案蚀刻氮化硅层时,密集蚀刻特征区内所显示的关键尺寸(criticaldimension;CD)偏差602对于距离晶圆中心的半径604的函数关系图600。该制程反应室压力为45毫托耳。
图6B是在以200sccmCF4与130sccmCHF3的等离子体来源气体组成图案蚀刻氮化硅层时,隔离蚀刻特征区内所显示的关键尺寸(criticaldimension;CD)偏差622对于距离晶圆中心的半径624的函数关系图620。该制程反应室压力为45毫托耳。
图7A是在以200sccmCF4与110sccmCHF3的等离子体来源气体组成图案蚀刻氮化硅层时,密集蚀刻特征区内所显示的关键尺寸(criticaldimension;CD)偏差702对于距离晶圆中心的半径704的函数关系图700。该制程反应室压力为30毫托耳。
图7B是在以255sccmCF4与185sccmCHF3的等离子体来源气体组成图案蚀刻氮化硅层时,隔离蚀刻特征区内所显示的关键尺寸(criticaldimension;CD)偏差722对于距离晶圆中心的半径724的函数关系图720。该制程反应室压力为30毫托耳。
图7C是在以280sccmCF4与217sccmCHF3的等离子体来源气体组成图案蚀刻氮化硅层时,密集蚀刻特征区内所显示的关键尺寸(criticaldimension;CD)偏差742对于距离晶圆中心的半径744的函数关系图740。该制程反应室压力为30毫托耳。
7D图是在以300sccmCF4与250sccmCHF3的等离子体来源气体组成图案蚀刻氮化硅层时,隔离蚀刻特征区内所显示的关键尺寸(criticaldimension;CD)偏差762对于距离晶圆中心的半径764的函数关系图760。该制程反应室压力为30毫托耳。
图8是显示于以各种体积比的CF4∶CHF3的等离子体来源气体蚀刻氮化硅层时,关键尺寸偏差802对于距离晶圆中心的半径804的函数关系图800。制程反应室压力为30毫托耳。经蚀刻特征关键尺寸为0.13微米。
图9是在将应用材料的DPS II蚀刻反应室用于进行氮化硅蚀刻时,显示较佳的CF4∶CHF3体积比902对流向制程反应室的总气体流量(CF4+CHF3)904的关系图900。
附图标记说明
100起始结构            102单晶硅基材
104闸氧化层            106多晶硅层
108钨金属层            110氮化硅层
112底部抗反射涂料层    114图案光阻层
200氮化硅层            210经蚀刻的氮化硅层
109 211蚀刻沟渠        300氮化硅层
400等离子体蚀刻反应室  402等离子体电源
404外部感应线圈        406内部感应线圈
408第一功率分配系统    410第二功率分配系统
412等离子体制程区      450应用材料之MXP+多晶
                          硅蚀刻反应室
413过多制程气体        416气体分配组件
422基材                424静电底座阴极
426匹配网络            428基材偏压装置
430节流阀              432泵
452二维气体分配板
456聚焦                458高温阴极
431 460静电底座        462基座温度探针
500关系图              502关键尺寸偏差
504关系图              520关系图
522关键尺寸偏差        524半径
600关系图              602关键尺寸偏差
604半径                620关系图
622关键尺寸偏差        624半径
700关系图              702关键尺寸偏差
704半径                720关系图
722关键尺寸偏差        724半径
740关系图                 742关键尺寸偏差
744半径                   760关系图
762关键尺寸偏差           764半径
800关系图                 802关键尺寸偏差
804半径                   900关系图
902CF4对CHF3之体积比值
701 904总气体流量         Θ1夹角
702Θ2实质垂直角线轮廓    Θ3夹角
具体实施方式
本文揭示一种图案蚀刻含硅介电材料层的方法。该方法特别适合用于对193nm辐射有敏感度的光阻进行含硅介电材料层的蚀刻,其中图案化含硅介电层在后续图案蚀刻具有约0.15微米或更小(更有代表性为约0.1微米或更小)的特征尺寸的半导体组件图案蚀刻中作为硬掩膜之用。
进行本方法各种实施例所需例示制程条件如下所示。虽然本方法以下实施例是关于在闸结构蚀刻步骤中以含硅介电材料作为硬掩膜使用,下述的蚀刻化学与制程条件可以使用于将含硅介电材料当作硬掩膜的任何时刻,当作硬掩膜的例子可以是沟渠或其它半导体特征的蚀刻步骤。
在详述本发明之前,应注意的是,在本说明书及权利要求书中,单数型态“一”、“一种”、“一个”、“一组”、以及“该”包含复数指示物,除非上下文有其它清楚的指示。
I.用于实施本发明的设备
本文所述的实施例蚀刻方法通常是在一种等离子体蚀刻反应室中进行,其所具有的去偶合等离子体来源(Decoupled Plasma Source;DPS)在Yan Ye等人于公元一九九六年五月七日的等离子体制程第十一国际座谈会议事录(Proceedings of the Eleventh International Symposium ofPlasma Processing)有所描述,且刊登在电化学协会程序(ElectrochemicalSociety Proceedings)第96-12册,页222-233(1996)。更特别地,是本文所述实施例蚀刻制程可以在CENTURADPS II TM等离子体蚀刻反应室中进行,该反应室可得自加州圣塔克罗拉(Santa Clara)的应用材料公司(AppliedMaterials,Inc.)。此项设备用于进行以下将详述的蚀刻程序;然而,可预期的是,仍有其它已知的工业设备可用于实施本发明。
图4A表示一种CENTURADPS IITM等离子体蚀刻反应室400剖面示意图,该反应室用以实施本文所述蚀刻制程。制程进行时,基材422透过一长狭阀(slit valve)导入反应室400。对位于底座表面(未表示)上的介电薄膜下施以直流电压,使静电底座(electrostatic chuck;ESC)阴极424表面产生的静电用以固定基材422。蚀刻气体利用气体分配组件416导入制程反应室400。蚀刻反应室400使用电感耦合等离子体RF电源402,其为连接至等离子体制程区412中用以产生并维持高密度等离子体414的外部感应线圈404与内部感应线圈406。等离子体电源402裂分进到提供外部线圈404功率的第一功率分配系统408,并进到提供内部线圈406功率的第二功率分配系统410。基材422被RF电源428与匹配网络426所偏压。导至等离子体源402与基材偏压装置428的功率以分离的控制器(未表示)所控制。蚀刻副产品与过量的制程气体413透过节流阀430由反应室排出,其排出所用的泵432维持蚀刻制程反应室想要的压力。半导体基材422温度是由基材422静置所在的静电底座阴极424的温度所控制。通常而言,基材与基座之间是用氦气流来促进热传导。
虽然图4A已表示本文所述用以处理基材的现有蚀刻制程反应室,熟习技术的人仍可透过一些明显易见而不同于本文所述的等离子体来源气体组成制程条件的参数调整,而使用任何其它工业上可得的蚀刻处理器。举例而言,本发明可另改以其它蚀刻制程设备进行,其中该设备通往等离子体产生来源与基材偏压装置的功率由单电源供应器所提供,例如应用材料的MXP或MXP+多晶硅蚀刻反应室。
图4B为应用材料MXP+多晶硅蚀刻反应室450的示意图,其为已知的一种平行电板等离子体蚀刻反应室。MXP+多晶硅蚀刻反应室含有简单的、二维气体分配板452,可使反应室内有更多均匀的气体分布。聚焦环456随阴极458而移动(并非独立移动),以使设备中因较少移动部件得以减少粒子生成。高温阴极458具有独立的控温器(未表示),其根据从基座温度探针462读出的温度而发生作用,可使过高的制程反应室温度的温度仍可操作。欲处理基材(未表示)置于静电底座460上,其被加入阴极458中。
II.图案蚀刻含硅介电层的示例方法
图1A表示一种典型的起始结构100用以作为本文描述的实施例。结构100包含下列各层,由上至下:对193nm辐射有敏感度的图案光阻层114;图案有机底部抗反射涂(bottom anti-reflective coating;BARC)层112;含硅介电层110;钨金属层108;多晶硅层106;以及闸氧化层104,都沉积在单晶硅基材102上。
半导体结构100的各层以已知的传统沉积技术所沉积,如下所述。
闸氧化层104一般而言是氧化硅层,其为依已知技术,热氧化所形成。闸氧化层104厚度范围在约15埃至约50埃。
多晶硅层106根据已知技术的化学气相沉积(CVD)所沉积。多晶硅层106的厚度范围在约500埃至2000埃。
钨金属层108以已知技术的化学气象沉积所沉积。钨金属层108厚度范围在约300埃至1000埃。
在上述示例中,含硅介电层100是氮化硅。然而,含硅介电层110可以改成至少包含氧化硅或氮氧化硅。另一种选择是将含硅介电层110改为一种双层,其中上层是氧化硅,而下层是氮化硅,其为示例而非限制。
氮化硅层110是依据已知技术的低压CVD(LPCVD)或等离子体增进CVD(PECVD)沉积而成,氮化硅层110具有厚度范围约1000埃至2500埃。
抗反射涂层与光阻搭配使用以减少驻波与回散射光,因而使光阻内的显像可以获得较佳控制。当抗反射层座落于光阻层底下时,一般多称之为底部抗反射涂层(BARC)。在本示例中,有机底部抗反射涂层112以已知的旋转涂布(spin-on)技术所沉积。有机底部抗反射涂层112厚度范围在约500埃至1500埃。
光阻层114是一种对范围落在约100nm至约220nm的辐射有敏感度的光阻。通常而言,该光阻是一种有化学强化的有机性,且为高分子基底组成,其可得自许多制造商,包含JSR公司(东京,日本);AZ电子材料(Somerville,纽泽西州);以及Shipely公司(万宝路,迈阿密州)。典型的这种光阻厚度范围在约2000至约3000埃。光阻层114的厚度与图案化方法取决于特定光阻的材料以及要图案蚀刻的底部基材。在本示例中,为了在2000埃厚度的氮化硅中蚀刻出一组小于150nm宽度的线条与间隔图案,光阻厚度约为3000埃。光阻的最大厚度受限于所欲显影的光阻高宽比以及所用光阻的特性。为了得到较佳的结果,所欲显影的光阻高宽比通常而言是约4∶1或更低;更典型的是约3∶1或更低。
图案化的光阻层114是在转移图案至底下底部抗反射涂层112时作为掩膜之用。底部抗反射涂层112的线条与间隔图案蚀刻使用含有CF4与氩气的等离子体来源气体所进行。图案蚀刻有机底部抗反射涂层112的典型制程条件如下:100sccmCF4;100sccm氩气;4毫托耳至20毫托耳制程反应室压力;300瓦至1000瓦等离子体电源;30瓦至100瓦基材偏压(约-60伏特至-100伏特基材偏压);以及40℃至80℃基材温度。蚀刻时间取决于欲进行蚀刻的特定有机底部抗反射涂层的组成与厚度。针对厚度约800埃的底部抗反射涂层,典型的蚀刻时间范围在约20秒至约30秒。
III.比较氮化硅蚀刻示例
共同持有,共同审理中的美国申请案号____(代理人文案号码AM-6867)(“该’____申请案”),与本申请案在同日申请,亦揭示一种在含硅介电材料层中图案蚀刻出特征尺寸范围约0.13微米至0.25微米的方法。该蚀刻方法包含使用一种等离子体,用以产生该等离子体的来源气体至少包含约30至约70体积百分比的CH2F2,约30至约70体积百分比的CF4,以及约2至约20体积百分比的氧气。该等离子体来源气体可以用一种如氦气、氩气、氖气、氙气、或氪气等惰性气体加以稀释,其为示例而非限制。通常,不反应气体为氦气。通常而言,等离子体来源气体选择含有约10至约25体积百分比的CH2F2、约10至约25体积百分比的CF4、约2至约10体积百分比的氧气、以及约50至约70体积百分比的氦气。该方法通常以具有去偶合等离子体来源的半导体制程反应室来进行。制程反应室压力通常而言范围约4毫托耳至约10毫托耳。在搭配使用一种对248nm辐射有敏感度的光阻时,本方法可提供含硅介电材料对光阻的良好蚀刻选择比(约2∶1或更佳),并可提供良好的蚀刻轮廓控制。本方法提供至该蚀刻含硅介电层与底部水平层的线性蚀刻轮廓侧壁夹角范围约80°至89°,而提供的蚀刻侧壁表面粗糙约为5nm甚至更小。
然而,当半导体组件特征尺寸降低到约0.13微米时,有必要使用能以约200nm波长的光显像的光阻。较流行的光阻能以193nm辐射显像的光阻,其可得自许多制造商,包含JSR公司(东京,日本);AZ电子材料(Somerville,纽泽西州);以及Shipley公司(Marlboro,迈阿密州)。
当我们试用该’____申请案(AM-6867)揭露的CH2F2/CF4/氧气蚀刻化学配方并组合使用一用于次150(sub-150)nm组件的光阻在氮化硅层中图案蚀刻出一组0.13微米线条及间隔图案,其中该光阻基于脂环高分子树脂技术。结果,一硬掩膜开口具有下列显著的侧壁凹槽条纹、渐细轮廓、或两者兼具,如下列比较示例所述。
以下的比较示例以图1表示的起始结构100来进行。各层的厚度如下所示:3000埃厚度的图案化193nm光阻层114(JSR公司,东京,日本);800埃厚度的图案化有机底部抗反射涂层112;2000埃厚度的氮化硅层110;500埃厚度的钨金属层108;800埃厚度的多晶硅层106;以及15埃厚度的氧化硅闸层,都沉积在单晶硅基材102上。
在底部抗反射涂层112图案化之后,氮化硅层110进行蚀刻。氮化硅蚀刻在应用材料的DPS II等离子体蚀刻反应室(如图4所表示)中进行。氮化硅层110的等离子体蚀刻使用下列等离子体来源气体组成及蚀刻制程条件进行:30sccmCF4;60sccm CH2F2;5sccm氧气;4毫托耳制程反应室压力;1200瓦等离子体电源;250瓦基材偏压;以及60℃基材温度。
图1B表示在使用上述CF4/CH2F2/氧气蚀刻化学与制程条件进行蚀刻时,氮化硅层110被图案蚀刻后,结构100的剖面前端示意图。应注意的是蚀刻氮化硅层110的轮廓,其中沟渠111蚀入氮化硅层110的部分有一渐细轮廓。
图2A-2C是由氮化硅层200光显微照相追踪而得的示意图,蚀刻出一组0.20微米线条与间隔图案,其中蚀刻使用该’____申请案所述的CF4/CH2F2/氧气蚀刻化学配方所进行,并搭配使用脂环基础的对193nm辐射敏感的光阻。图2A表示在使用由约32体积百分比CF4、63体积百分比CH2F2、以及5体积百分比氧气的蚀刻化学配方组成的等离子体蚀刻气体成分进行蚀刻时,氮化硅层200的剖面前端示意图。蚀刻沟渠211形成有实质上渐细的轮廓。
该’____申请案揭示在等离子体来源气体中加入氧气以作轮廓控制。因此,为了能得到具有更垂直蚀刻轮廓的线210,我们做了一个实验,将等离子体来源气体的氧气量增加至14体积百分比(CF4与CH2F2在来源气体内的相对比例仍维持不变)。氮化硅层的等离子体蚀刻以下列等离子体来源气体组成与蚀刻制程条件来进行:30sccmCF4;60sccm CH2F2;15sccm氧气;4毫托耳制程反应室压力;1000瓦等离子体电源;250瓦基材偏压;以及60℃基材温度。
所得到的蚀刻轮廓较图2A所示的更加垂直。然而,蚀刻侧壁会形成严重的凹槽条纹且会特别粗糙(留下约15nm的表面粗糙)。图2B表示显示有凹槽条纹的氮化硅层210的侧视示意图。蚀刻线条留下一个因凹槽条纹所致的非常不均匀的线宽。
因为含硅介电层将会在后续底层材料层图案蚀刻时作为硬掩膜之用,掩膜层侧壁表面尽可能平滑,且含硅介电层所形成的侧壁角度的图案化蚀刻轮廓,相对于水平基底,应尽可能接近90°,是很重要的。掩膜开口的蚀刻轮廓上任何不均匀性且/或渐细化将反映在底层的蚀刻轮廓上。
因此,我们需要发展一种图案蚀刻含硅介电材料层的方法,其可在搭配使用预定的193nm光阻后,提供平滑的蚀刻特征侧壁与垂直角蚀刻轮廓。光阻例如是那些基于脂环高分子树脂技术所得的。
IV.发明实施例
我们发现一种图案蚀刻含硅介电材料层的方法,其可于搭配使用预定的193nm光阻后,提供良好的含硅介电层对光阻的蚀刻选择比,以及提供良好的蚀刻轮廓控制。用以蚀刻含硅介电材料的等离子体来源气体包含CF4以及CHF3的组合。
以下的例子是于图1A显示使用起始结构100所进行。各层的厚度如下:3000埃厚度的图案化193nm光阻层114(JSR公司,东京,日本);800埃厚度的图案化底部抗反射涂(BARC)层112;2000埃厚度的氮化硅层110;500埃厚度的钨金属层108;800埃厚度的多晶硅层106;以及15埃厚度的氧化硅闸层,都沉积于单晶硅基材102上。
在底部抗反射涂层112图案化之后,氮化硅层110进行蚀刻。氮化硅蚀刻是在应用材料的DPS II等离子体蚀刻反应室(如第4图所表示)中进行。每个实验所使用的氮化硅蚀刻制程条件表示于表一与表二,如下所示。
表一 蚀刻氮化硅时所用的制程条件
  制程参数   第一回合   第二回合   第三回合   第四回合   第五回合
  CF4流速(sccm)   100   200   200   200   200
  CHF3流速(sccm)   100   65   65   85   85
  制程反应室压力(毫托耳) 15 30 45 15 30
  至内线圈的RF功率(瓦) 250 250 250 250 250
  至外线圈的RF功率(瓦) 250 250 250 250 250
  总等离子体电源(瓦)   500   500   500   500   500
  基材偏压(瓦)   100   100   100   100   100
  基材温度   60   60   60   60   60
  蚀刻时间周期(秒)   49   54   69   58   64
  SixNy∶PR选择比   1.8   1.2   1.5   1.1   1.5
  蚀刻轮廓夹角(Θ)   86   93   94   88   92
表二 蚀刻氮化硅时之所用的制程条件
  制程参数   第六回合   第七回合   第八回合   第九回合   第十回合
  CF4流速(sccm)   200   200   200   200   200
  CHF3流速(sccm)   85   110   110   40   80
  制程反应室压力(毫托耳) 45 15 30 45 30
  至内线圈的RF功率(瓦) 250 250 250 250 250
  至外线圈的RF功率(瓦) 250 250 250 250 250
  总等离子体电源(瓦)   500   500   500   500   500
  基材偏压(瓦)   100   100   100   100   100
  基材温度   60   60   60   60   60
  蚀刻时间周期(秒)   75   60   70   61   71
  SixNy∶PR选择比   1.5   1.1   1.5   1.3   1.4
  蚀刻轮廓夹角(Θ)   93   86   89   97   95
图1C显示在图案蚀刻氮化硅层110后的结构100。如图1C所表示,经蚀刻的氮化硅层110具有实质垂直角线轮廓,如Θ2所示。
图3A-3C是基于对氮化硅层300所作的光显微照相,其被蚀刻成一种数线条与间隔的图案,其中进行的蚀刻使用第八回合的蚀刻制程与化学条件(如上述表二所示)。图3A显示氮化硅层300的剖面示意图。该蚀刻线产生一垂直角侧壁轮廓,其中侧壁与侧壁基底的水平表面的夹角Θ3范围为约88°至约92°。图3B表示图3A经蚀刻的氮化硅层300的侧面示意图。应注意其凹槽条纹的减缩,与图2B所示侧壁相比较。图3C表示图3A经蚀刻的氮化硅层300的上视示意图。图3C所示蚀刻线条所生线宽均匀度优于图2C的蚀刻线条。
在将本′____申请案揭示的CH2F2/CF4/氧气蚀刻化学剂搭配使用基于脂环高分子树脂技术的193nm光阻时,我们发现在蚀刻表面上所生成的高分子非常柔软且为CH2F2蚀刻气体与来自光阻的物种所组成。在蚀刻时产生于光阻图案轮廓的柔软高分子会发生扭曲,包含图2B所示的不均匀的氮化硅侧壁210,以及来自图2C上视像所示的证据。另一种可能是光阻本身在氮化硅蚀刻制程中会扭曲,因为光阻脂环高分子凝固物的成分无法如一些其它种类的高分子凝固树脂般密集堆积,该种类的高分子例如是那些基于被丙烯酸甲酯(methacrylate;可得自迈阿密州的Marlboro的Shiply公司)阻断的聚氢氧苯乙烯(polyhydroxystyrene),其不会有所谓变形的问题。光阻在蚀刻制程时的扭曲会造成如图2B与2C所示的凹槽化且不均匀的氮化硅侧壁。
我们的结论是,使用低富含氢、CHF3形成高分子的蚀刻气体搭配使用基于脂环高分子树脂技术的193nm光阻,会在光阻表面上形成一种少孔或紧密的高分子。甚至在缩减的厚度,高分子可以均匀地维持等离子体蚀刻,且反映在平滑而非凹槽化的氮化硅侧壁300中,如图3B所示,且可在图3C之上视像获得印证。一个氮化硅硬掩膜表面上的平滑侧壁可于后续的蚀刻底层中得到更为均匀的蚀刻轮廓。
一般而言,我们发现减少等离子体来源气体内的CF4对CHF3的体积比并增加制程反应室压力,可得到较佳的氮化硅对光阻的蚀刻选择比,并得到较少切平面的光阻。CF4对CHF3的体积比范围在约1∶1至约2∶1,且制程反应室压力范围为约20毫托耳至约60毫托耳时,可达到较优的结果。
我们还在CF4对CHF3体积比改变时,进行一系列实验以针对基材的表面检验其蚀刻均匀度。我们在使用以下的蚀刻化学及制程条件图案蚀刻氮化硅层时,测量硅基材晶圆的密集与隔离特征区:300sccmCF4;250sccmCHF3;30毫托耳制程反应室压力;500瓦等离子体电源;100瓦基材偏压;以及60℃基材温度。如本文所用,名词“关键尺寸偏差(CDBias)”指的是蚀刻线条的线宽与用来图案线条的光阻线宽间的差距。名词“密集特征区”指的是基材上一个数特征间被很接近地相互隔开的区域;名词“隔离特征区”指的是基材上一个数特征间被相对较远地相互隔开的区域。
图5A是在基材密集特征区上关键尺寸偏差502对于距晶圆中心半径504的函数关系图500。如本文所用,名词“半径”指的是从环状晶圆基材中心至晶圆边缘的距离。图5B是在基材密集特征区上关键尺寸偏差对于距晶圆中心半径524的函数关系图520。请参照图5A,在密集特征区内的平均关键尺寸偏差为-0.0143微米,范围为0.0114微米。请参照图5B,在隔离特征区内的平均关键尺寸偏差为-0.0033微米,其范围为0.0175微米。
在使用下列蚀刻化学与制程条件图案蚀刻该氮化硅层时,我们还测量一硅基材之该密集与隔离特征区的关键尺寸偏差:200sccmCF4;130sccmCHF3;45毫托耳制程反应室压力;500瓦等离子体电源;100瓦基材偏压;以及60℃基材温度。第6A图系在该基材密集特征区上关键尺寸偏差602对于距晶圆中心半径604之函数关系图600。第6B图系在该基材密集特征区上关键尺寸偏差622对于距晶圆中心半径624之函数关系图620。请参照第6A图,在该密集特征区内之平均关键尺寸偏差为-0.0167微米,其范围为0.0131微米。请参照第6B图,在该隔离特征区内之平均关键尺寸偏差为-0.0045微米,其范围为0.0183微米。
比较图5与图6的实验结果指出在较低的CF4对CHF3体积比(图5的1.2∶1对图6的1.5∶1)以及较低的制程反应室压力(图5的30毫托耳对图6的45毫托耳)下可以达到较佳的蚀刻均匀度。
我们在改变CF4对CHF3体积比时,进行一系列实验以检验基材密集特征区中的蚀刻均匀度。图7A是在以200sccmCF4与110sccmCHF3的等离子体来源气体组成图案蚀刻氮化硅层时,基材的密集蚀刻特征区内所显示的关键尺寸偏差702对于距离晶圆中心的半径704的函数关系图700。图7B是在以255sccmCF4与185sccmCHF3的等离子体来源气体组成图案蚀刻氮化硅层时,基材的密集蚀刻特征区内所显示的关键尺寸偏差722对于距离晶圆中心的半径724的函数关系图720。图7C是在以280sccmCF4与217sccmCHF3的等离子体来源气体组成图案蚀刻氮化硅层时,基材的密集蚀刻特征区内所显示的关键尺寸偏差742对于距离晶圆中心的半径744的函数关系图740。图7D是在以300sccmCF4与250sccmCHF3的等离子体来源气体组成图案蚀刻该氮化硅层时,基材的密集蚀刻特征区内所显示的关键尺寸偏差762对于距离晶圆中心的半径764的函数关系图760。其它制程条件则维持恒定,如下所示:30毫托耳制程反应室压力;500瓦制程电源;100瓦基材偏压;以及60℃基材温度。
请参照图7A,在隔离特征区内的平均关键尺寸偏差为-0.015微米,其范围为0.019微米。请参照图7B,在隔离特征区内的平均关键尺寸偏差为-0.003微米,其范围为0.013微米。请参照图7C,在隔离特征区内的平均关键尺寸偏差为-0.01微米,其范围为0.008微米。请参照图7D,在隔离特征区内的平均关键尺寸偏差为-0.009微米,其范围为0.012微米。
一般而言,280sccmCF4与217sccmCHF3(1.3∶1之CF4∶CHF3)的等离子体来源气体组成能提供最佳的关键尺寸均匀度(平均关键尺寸偏差-0.01微米,其范围为0.008微米),如图7C所示。
在蚀刻时,蚀刻制程副产品会在经蚀刻的特征区上形成。通常而言,形成的蚀刻副产品产量会随着从基材晶圆的某一区至另一区(例如晶圆的中心到边缘)而改变。蚀刻气体与蚀刻制程副产品在蚀刻反应室400(如图4A所示)的等离子体制程区412中停留的时间愈久,所形成的蚀刻副产品产量愈多。若停留时间太长,蚀刻副产品会不成比例地形成在晶圆的特定区域上,因而影响CD均匀度。蚀刻气体与蚀刻副产品在等离子体制程区域内停留时间的减少可通过增加流入反应室的气体总流率以及增加由反应室排出气体的抽气速率而达成。减少停留时间可以避免在晶圆特定区域中有过量的蚀刻建构副产品,而提供较均匀的关键尺寸分布。
CF4/CHF3蚀刻制程的一个重要参数是流进制程反应室的含氯物种的总流量。我们发现在维持CF4对CHF3的固定比例时,增加进入反应室的总气体流量可得到底切蚀刻轮廓(也就是,大于92°的蚀刻角度)。因此,为得到垂直角轮廓,当总气体流量增加时,等离子体来源气体中的CHF3相对量必须增加(也就是说,等离子体来源气体的CF4对CHF3的体积比必须减少)。等离子体来源气体的CHF3相对量增加的结果是,氮化硅相对于光阻的蚀刻选择比提高,因为等离子体中的钝化物种(passivating species)出现的情形增加。
图8显示于以各种体积比的CF4∶CHF3的等离子体来源气体蚀刻氮化硅层时,关键尺寸偏差802对于距离晶圆中心的半径804的函数关系图800。当流进反应室的总气体流量增加,于反应室压力维持恒定时,关键尺寸偏差的分布,随着基材边缘更多关键尺寸损失至基材晶圆中心的更多关键尺寸损失而变化。这与蚀刻速率数据一致,该数据显示在较高的气体流速中,晶圆中心的蚀刻速率也较高。请再参照图8,使用含280sccmCF4和217sccmCHF3的等离子体来源气体组成可达到最佳的关键尺寸偏差均匀度的最佳条件。
如图8所示,随着等离子体来源气体的CF4∶CHF3体积比改变,基材晶圆上关键尺寸偏差也随之改变。结果是,每个特定的等离子体气体组成有其各自的“署名”的关键尺寸偏差分布。因此,在半导体结构中,调整基材晶圆上的关键尺寸均匀度的方法,可以是通过选择用以补偿底层上后续进行的蚀刻制程关键尺寸不均匀度的具有特定署名的等离子体来源气体组成。
图9是在将应用材料的DPS II蚀刻反应室用于进行氮化硅蚀刻时,显示较佳的CF4∶CHF3体积比902对流向制程反应室的总气体流量(CF4+CHF3)904的关系图900。
根据本发明方法实施例,含硅介电材料通常而言是以含有约50至约75体积百分比CF4,并含有约25至约50体积百分比CHF3的来源气体产生的等离子体进行蚀刻。通常而言,等离子体来源气体选择含有约50至约65体积百分比CF4,以及约35至约50体积百分比CHF3者。
如果有必要减少钝化反应(例如,若等离子体来源气体有太多CHF3),等离子体电源气体组成可另选择含有不反应性的稀释气体如氦气、氩气、氖气、氙气、或氪气。最典型的是,不反应的稀释气体为氦气。使用氩气则较不建议,因为它会导致光阻变形,会实质上影响半导体结构内底层的蚀刻轮廓。
本蚀刻方法通常而言是在具有去偶合等离子体来源的半导体制程反应室中进行。根据本发明方法的实施例,典型的含硅介电材料蚀刻制程条件由表三提供,如下所示:
表三 蚀刻含硅介电材料的典型制程条件
  制程参数   制程条件范围   典型制程条件   较优之已知制程条件
  CF4流速(sccm)   50-600   100-300   200-300
  CHF3流速(sccm)   30-600   30-300   100-300
  He流速(sccm)   0-500   0-100   0-100
  总气体流量(sccm)   100-1000   130-600   300-600
  平均停留时间(秒)   1-10   1-6   2-6
  制程反应室压力(毫托耳) 2-200 40-60 20-60
  等离子体电源(瓦)   200-1200   300-800   300-800
  基材偏压(瓦)   0-1500   50-200   50-200
  等离子体密度(e-/cm3)   1×1010-1×1013   1×1010-1×1013   1×1010-1×1013
  基材温度(℃)   10-80   20-60   20-60
  蚀刻时间周期(秒)   10-100   40-100   40-100
我们已发现等离子体来源气体中的CF4对CHF3的体积比范围在约2∶3至约3∶1时可提供平滑蚀刻侧壁表面(其表面粗糙小于5nm)、垂直角蚀刻轮廓(形成一个范围约88°至约92°的夹角)、以及良好的含硅介电层对所覆光阻的蚀刻选择比(约1.5∶1或更佳)。通常而言,等离子体来源气体的CF4对CHF3的体积比范围落在约1∶1至约2∶1。
本方法对图案蚀刻含硅介电层特别有用,这层是在后续图案蚀刻具有约0.15微米或更小(更典型为0.1微米或更小)的特征尺寸的半导体组件时,作为硬掩膜之用。本方法提供的含硅介电层对此种光阻的蚀刻选择比为约1.5∶1或更佳。本方法还可在半导体结构中提供蚀刻含硅介电层与底部水平层两者间蚀刻轮廓侧壁夹角范围为88°至92°。除此之外,本方法将蚀刻的侧壁粗糙降到约5nm或更小。
虽然上述实例是将含硅介电材料在蚀刻闸结构时作为硬掩膜为参考,上述蚀刻化学与制程条件也可以用在将含硅介电材料当作掩膜时的任何时间使用,例如,在蚀刻浅沟渠或其它半导体特征时。
虽然上述实例是使用用于次150(sub-150)nm组件的氟化氩光阻作为参考,其中该组件基于脂环高分子树脂技术而得,但本发明方法可预期用于解决范围约100nm至约200nm的光阻显像蚀刻时的图案光阻变形问题。特别地,本方法可预期能在解决一般为基于脂环高分子树脂(或类似物)技术的光阻问题有良好表现。
以上所述实施例并非用以限定本发明的范围,熟习本行业技术的人,在阅读本说明书之后,可以延伸这些实施例,而与本发明的权利要求有所对应。

Claims (29)

1.一种图案蚀刻半导体基材上含硅介电材料层的方法,其中含硅介电层上覆盖一图案化光阻层,该方法至少包含将含硅介电层曝露于含有CF4和CHF3的来源气体所产生的等离子体中,其中CF4对CHF3的体积比范围在约2∶3至约3∶1。
2.如权利要求1所述的方法,其中上述含硅介电层选自于由氮化硅、氧化硅、氮氧化硅及其组合所组成的族群。
3.如权利要求1所述的方法,其中上述CF4与CHF3的体积比范围在约1∶1至约2∶1。
4.如权利要求1所述的方法,其中上述等离子体来源气体组成至少包含约50至约75体积百分比的CF4,以及约25至约50体积百分比的CHF3
5.如权利要求4所述的方法,其中上述等离子体来源气体组成至少包含约50至约65体积百分比的CF4,以及约35至约50体积百分比的CHF3
6.如权利要求1所述的方法,其中上述光阻对193nm的辐射有敏感度。
7.如权利要求1所述的方法,其中上述光阻基于脂环高分子树脂技术。
8.如权利要求1所述的方法,其中上述含硅介电层用以作为图案蚀刻底部半导体结构时的硬掩膜,其中该半导体结构包含特征尺寸约0.15微米或更小的多个特征。
9.如权利要求1所述的方法,其中上述含硅介电层的厚度范围约1000埃至约2500埃。
10.如权利要求1所述的方法,其中上述蚀刻在制程反应室压力范围约4毫托耳至约60毫托耳下进行。
11.如权利要求10所述的方法,其中上述蚀刻在制程反应室压力范围约20毫托耳至约60毫托耳下进行。
12.如权利要求1所述的方法,其中上述方法在一具有去偶合等离子体源的半导体制程反应室中进行。
13.如权利要求1所述的方法,其中上述方法提供的含硅介电层相对于光阻的蚀刻选择比至少为1.5∶1。
14.如权利要求1所述的方法,其中上述方法在经蚀刻含硅介电层与底部水平层之间提供一个蚀刻轮廓侧壁夹角,其范围为88度到92度。
15.如权利要求1所述的方法,其中上述方法可提供的蚀刻侧壁粗糙约为5nm或更小。
16.一种图案蚀刻半导体基材上氮化硅层的方法,其中氮化硅层上覆盖一图案化光阻层,该方法至少包含将氮化硅层曝露于含有CF4和CHF3的来源气体所产生的等离子体中,其中CF4与CHF3的体积比范围在约2∶3至约3∶1。
17.如权利要求1所述的方法,其中上述CF4与CHF3的体积比范围在约1∶1至约2∶1。
18.如权利要求1所述的方法,其中上述等离子体来源气体组成至少包含约50至约75体积百分比的CF4,以及约25至约50体积百分比的CHF3
19.如权利要求18所述的方法,其中上述等离子体来源气体组成至少包含约50至约65体积百分比的CF4,以及约35至约50体积百分比的CHF3
20.如权利要求1所述的方法,其中上述光阻对193nm的辐射有敏感度。
21.如权利要求1所述的方法,其中上述光阻基于脂环高分子树脂技术。
22.如权利要求1所述的方法,其中上述氮化硅层用以作为图案蚀刻底部半导体结构时的硬掩膜,其中该半导体结构包含特征尺寸约0.15微米或更小的多个特征。
23.如权利要求1所述的方法,其中上述氮化硅层的厚度范围约1000埃至约2500埃。
24.如权利要求1所述的方法,其中上述蚀刻在制程反应室压力范围约4毫托耳至约60毫托耳下进行。
25.如权利要求24所述的方法,其中上述蚀刻在一制程反应室压力范围约20毫托耳至约60毫托耳下进行。
26.如权利要求1所述的方法,其中上述方法在一具有去偶合等离子体源的半导体制程反应室中进行。
27.如权利要求1所述的方法,其中上述方法提供氮化硅层相对于光阻的蚀刻选择比至少为1.5∶1。
28.如权利要求1所述的方法,其中上述方法在经蚀刻氮化硅层与底部水平层之间提供一个蚀刻轮廓侧壁夹角,其范围为88度到92度。
29.如权利要求1所述的方法,其中上述方法可提供的蚀刻侧壁粗糙约为5nm或更小。
CNB2003801025877A 2002-10-31 2003-10-30 含硅介电材料的蚀刻方法 Expired - Fee Related CN100524645C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/286,676 US20040087153A1 (en) 2002-10-31 2002-10-31 Method of etching a silicon-containing dielectric material
US10/286,676 2002-10-31

Publications (2)

Publication Number Publication Date
CN1708838A true CN1708838A (zh) 2005-12-14
CN100524645C CN100524645C (zh) 2009-08-05

Family

ID=32175532

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2003801025877A Expired - Fee Related CN100524645C (zh) 2002-10-31 2003-10-30 含硅介电材料的蚀刻方法

Country Status (5)

Country Link
US (2) US20040087153A1 (zh)
JP (3) JP4693416B2 (zh)
CN (1) CN100524645C (zh)
TW (1) TW200409236A (zh)
WO (1) WO2004042771A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100428416C (zh) * 2006-04-03 2008-10-22 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
CN101110360B (zh) * 2006-07-19 2011-07-13 应用材料公司 蚀刻高k电解质材料的方法
CN102201331A (zh) * 2010-03-26 2011-09-28 东京毅力科创株式会社 基板处理方法
CN102468188A (zh) * 2010-11-19 2012-05-23 旺宏电子股份有限公司 一种半导体蚀刻方法
CN101930921B (zh) * 2009-06-25 2012-09-26 中芯国际集成电路制造(上海)有限公司 提高栅极尺寸均匀性的方法
CN103534196A (zh) * 2011-05-12 2014-01-22 朗姆研究公司 用于在bosch蚀刻工艺后实现平滑的侧壁的方法
CN105590873A (zh) * 2015-12-23 2016-05-18 苏州工业园区纳米产业技术研究院有限公司 一种干法刻蚀凸块形貌可控的制备方法
CN109727910A (zh) * 2018-12-29 2019-05-07 上海华力集成电路制造有限公司 一种半导体结构及其制造方法

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7229929B2 (en) * 2002-12-06 2007-06-12 Cypress Semiconductor Corporation Multi-layer gate stack
US7172849B2 (en) * 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
KR100706780B1 (ko) * 2004-06-25 2007-04-11 주식회사 하이닉스반도체 주변영역의 선폭을 줄일 수 있는 반도체 소자 제조 방법
KR100668831B1 (ko) * 2004-11-19 2007-01-16 주식회사 하이닉스반도체 반도체 소자의 랜딩 플러그 폴리 형성방법
JP4522892B2 (ja) * 2005-03-09 2010-08-11 東京エレクトロン株式会社 微細パターン形成方法
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
KR100954116B1 (ko) * 2006-11-06 2010-04-23 주식회사 하이닉스반도체 반도체 소자의 리세스패턴 형성방법
US7858270B2 (en) * 2007-05-21 2010-12-28 Tokyo Electron Limited Method for etching using a multi-layer mask
US20090104776A1 (en) * 2007-10-18 2009-04-23 International Business Machines Corporation Methods for forming nested and isolated lines in semiconductor devices
JP2009193988A (ja) * 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法及びコンピュータ記憶媒体
US8771537B2 (en) 2009-08-20 2014-07-08 Tokyo Electron Limited Plasma treatment device and plasma treatment method
US8133804B1 (en) * 2010-10-01 2012-03-13 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying patterned photoresist using multi-step ion implantation
JP5719648B2 (ja) * 2011-03-14 2015-05-20 東京エレクトロン株式会社 エッチング方法、およびエッチング装置
TWI475698B (zh) * 2012-09-05 2015-03-01 Giantplus Technology Co Ltd 改善透明導電層斷線之方法
US9159581B2 (en) 2012-11-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a semiconductor device using a bottom antireflective coating (BARC) layer
US9159580B2 (en) 2012-12-14 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a semiconductor device using multiple layer sets
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
JP6629868B2 (ja) 2015-02-05 2020-01-15 カーライル フルイド テクノロジーズ,インコーポレイティド スプレーツールシステム
US10324428B2 (en) 2015-02-12 2019-06-18 Carlisle Fluid Technologies, Inc. Intra-shop connectivity system
US11273462B2 (en) 2015-11-26 2022-03-15 Carlisle Fluid Technologies, Inc. Sprayer system
CN106783583B (zh) * 2016-11-30 2019-06-21 上海华力微电子有限公司 一种优化低功耗产品漏电流的方法
WO2020176181A1 (en) * 2019-02-25 2020-09-03 Applied Materials, Inc. A film stack for lithography applications
US11615960B2 (en) * 2019-12-18 2023-03-28 Cornell University Method for removing re-sputtered material from patterned sidewalls

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4324611A (en) * 1980-06-26 1982-04-13 Branson International Plasma Corporation Process and gas mixture for etching silicon dioxide and silicon nitride
US4511430A (en) * 1984-01-30 1985-04-16 International Business Machines Corporation Control of etch rate ratio of SiO2 /photoresist for quartz planarization etch back process
US4671849A (en) * 1985-05-06 1987-06-09 International Business Machines Corporation Method for control of etch profile
EP0282820A1 (de) * 1987-03-13 1988-09-21 Siemens Aktiengesellschaft Verfahren zum Erzeugen von Kontaktlöchern mit abgeschrägten Flanken in Zwischenoxidschichten
US4844773A (en) * 1987-07-16 1989-07-04 Texas Instruments Incorporated Process for etching silicon nitride film
US5201993A (en) * 1989-07-20 1993-04-13 Micron Technology, Inc. Anisotropic etch method
JPH05234965A (ja) * 1992-02-21 1993-09-10 Sony Corp コンタクトホールの形成方法
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
JP2939783B2 (ja) * 1993-09-29 1999-08-25 松下電器産業株式会社 薄膜トランジスタの製造方法
JPH07201809A (ja) * 1993-12-28 1995-08-04 Fujitsu Ltd 半導体装置の製造方法
US5444013A (en) * 1994-11-02 1995-08-22 Micron Technology, Inc. Method of forming a capacitor
DE4442652A1 (de) * 1994-11-30 1996-01-25 Siemens Ag Verfahren zur Herstellung eines Kontaktloches auf eine Metallisierungsebene einer dreidimensionalen Schaltungsanordnung
US5644153A (en) * 1995-10-31 1997-07-01 Micron Technology, Inc. Method for etching nitride features in integrated circuit construction
JP3460436B2 (ja) * 1996-03-28 2003-10-27 ソニー株式会社 半導体装置の製造方法
US5814563A (en) * 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US5702978A (en) * 1996-04-30 1997-12-30 Vlsi Technology, Inc. Sloped silicon nitride etch for smoother field oxide edge
US5948701A (en) * 1997-07-30 1999-09-07 Chartered Semiconductor Manufacturing, Ltd. Self-aligned contact (SAC) etching using polymer-building chemistry
US6051504A (en) * 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
JPH1197414A (ja) * 1997-09-25 1999-04-09 Sony Corp 酸化シリコン系絶縁膜のプラズマエッチング方法
US5925575A (en) * 1997-09-29 1999-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Dry etching endpoint procedure to protect against photolithographic misalignments
US5936279A (en) * 1997-10-20 1999-08-10 United Microelectronics Corp. Method of fabricating self-align contact window with silicon nitride side wall
JPH11135478A (ja) * 1997-10-27 1999-05-21 Asahi Kasei Micro Syst Co Ltd 半導体装置の製造方法
US5994229A (en) * 1998-01-12 1999-11-30 Taiwan Semiconductor Manufacturing Company Ltd. Achievement of top rounding in shallow trench etch
JPH11214355A (ja) 1998-01-20 1999-08-06 Nec Corp 異方性ドライエッチング方法
KR100327123B1 (ko) * 1998-03-30 2002-08-24 삼성전자 주식회사 디램셀캐패시터의제조방법
JPH11338151A (ja) * 1998-05-28 1999-12-10 Fuji Photo Film Co Ltd ポジ型感光性組成物
US6083824A (en) * 1998-07-13 2000-07-04 Taiwan Semiconductor Manufacturing Company Borderless contact
US6033962A (en) * 1998-07-24 2000-03-07 Vanguard International Semiconductor Corporation Method of fabricating sidewall spacers for a self-aligned contact hole
TW388955B (en) * 1998-08-19 2000-05-01 United Microelectronics Corp Recipe and method for removing silicon nitride
JP2000091308A (ja) * 1998-09-07 2000-03-31 Sony Corp 半導体装置の製造方法
JP2000100782A (ja) * 1998-09-17 2000-04-07 Seiko Epson Corp 半導体装置の製造方法
JP2003526897A (ja) * 1998-10-19 2003-09-09 アプライド マテリアルズ インコーポレイテッド 後続のエッチング中のマスキングとして有用な、またはダマシン構造に有用な、パターニングされた層のエッチング方法
US6207544B1 (en) * 1998-12-09 2001-03-27 Advanced Micro Devices, Inc. Method of fabricating ultra thin nitride spacers and device incorporating same
US6194323B1 (en) * 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
US6461529B1 (en) * 1999-04-26 2002-10-08 International Business Machines Corporation Anisotropic nitride etch process with high selectivity to oxide and photoresist layers in a damascene etch scheme
JP2001093970A (ja) * 1999-09-21 2001-04-06 Mitsubishi Electric Corp 半導体装置の製造方法
US6124192A (en) * 1999-09-27 2000-09-26 Vanguard International Semicondutor Corporation Method for fabricating ultra-small interconnections using simplified patterns and sidewall contact plugs
US6228727B1 (en) * 1999-09-27 2001-05-08 Chartered Semiconductor Manufacturing, Ltd. Method to form shallow trench isolations with rounded corners and reduced trench oxide recess
US6251764B1 (en) * 1999-11-15 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form an L-shaped silicon nitride sidewall spacer
JP3586605B2 (ja) 1999-12-21 2004-11-10 Necエレクトロニクス株式会社 シリコン窒化膜のエッチング方法及び半導体装置の製造方法
EP1174911A3 (en) 2000-06-05 2003-09-10 Applied Materials, Inc. Silicon nitride as anti-reflective coating
JP2002198295A (ja) * 2000-12-27 2002-07-12 Toshiba Corp パターン形成方法
US6472239B2 (en) * 2001-04-02 2002-10-29 Micron Technology, Inc. Method for fabricating semiconductor components
US6699795B1 (en) * 2002-03-15 2004-03-02 Cypress Semiconductor Corp. Gate etch process
US6759263B2 (en) * 2002-08-29 2004-07-06 Chentsau Ying Method of patterning a layer of magnetic material

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100428416C (zh) * 2006-04-03 2008-10-22 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
CN101110360B (zh) * 2006-07-19 2011-07-13 应用材料公司 蚀刻高k电解质材料的方法
CN101930921B (zh) * 2009-06-25 2012-09-26 中芯国际集成电路制造(上海)有限公司 提高栅极尺寸均匀性的方法
CN102201331A (zh) * 2010-03-26 2011-09-28 东京毅力科创株式会社 基板处理方法
US8569176B2 (en) 2010-03-26 2013-10-29 Tokyo Electron Limited Substrate processing method
CN102201331B (zh) * 2010-03-26 2014-12-03 东京毅力科创株式会社 基板处理方法
CN102468188B (zh) * 2010-11-19 2015-03-18 旺宏电子股份有限公司 一种半导体蚀刻方法
CN102468188A (zh) * 2010-11-19 2012-05-23 旺宏电子股份有限公司 一种半导体蚀刻方法
CN103534196A (zh) * 2011-05-12 2014-01-22 朗姆研究公司 用于在bosch蚀刻工艺后实现平滑的侧壁的方法
CN103534196B (zh) * 2011-05-12 2016-03-16 朗姆研究公司 用于在bosch蚀刻工艺后实现平滑的侧壁的方法
CN105590873A (zh) * 2015-12-23 2016-05-18 苏州工业园区纳米产业技术研究院有限公司 一种干法刻蚀凸块形貌可控的制备方法
CN105590873B (zh) * 2015-12-23 2018-07-03 苏州工业园区纳米产业技术研究院有限公司 一种干法刻蚀凸块形貌可控的制备方法
CN109727910A (zh) * 2018-12-29 2019-05-07 上海华力集成电路制造有限公司 一种半导体结构及其制造方法
CN109727910B (zh) * 2018-12-29 2020-12-15 上海华力集成电路制造有限公司 一种半导体结构及其制造方法

Also Published As

Publication number Publication date
JP4693416B2 (ja) 2011-06-01
WO2004042771A3 (en) 2004-08-19
US20070010099A1 (en) 2007-01-11
US20040087153A1 (en) 2004-05-06
JP5552394B2 (ja) 2014-07-16
WO2004042771A2 (en) 2004-05-21
CN100524645C (zh) 2009-08-05
JP5894622B2 (ja) 2016-03-30
JP2014150268A (ja) 2014-08-21
TW200409236A (en) 2004-06-01
JP2006505141A (ja) 2006-02-09
JP2011009761A (ja) 2011-01-13
US7504338B2 (en) 2009-03-17

Similar Documents

Publication Publication Date Title
CN1708838A (zh) 含硅介电材料的蚀刻方法
CN1609711A (zh) 控制蚀刻工序的精确度和再现性的方法
US6599437B2 (en) Method of etching organic antireflection coating (ARC) layers
CN1605117A (zh) 具有对氮化物肩部高度敏感性的自对准接触蚀刻
US20070202706A1 (en) Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7875196B2 (en) Method for forming micro lenses
CN1815697A (zh) 等离子体蚀刻方法
CN1624865A (zh) 以聚合物沉积控制光阻移除处理的关键尺寸的微负载方法
US6660644B2 (en) Plasma etching methods
US20070298617A1 (en) Processing method
CN101064244A (zh) 形成用于高孔径比应用的各向异性特征图形的蚀刻方法
CN101030530A (zh) 形成用于高孔径比应用的各向异性特征图形的蚀刻方法
KR20110027597A (ko) 피처리체의 처리 방법 및 컴퓨터 판독 가능한 기억 매체
CN1604272A (zh) 在蚀刻处理中控制关键尺寸的方法
CN1574243A (zh) 蚀刻量检测方法、蚀刻方法和蚀刻装置
CN1649105A (zh) 干蚀刻装置及干蚀刻方法
US6897120B2 (en) Method of forming integrated circuitry and method of forming shallow trench isolation in a semiconductor substrate
CN1723549A (zh) 增强等离子体蚀刻性能的方法
JP2004512673A (ja) 炭素を含有するシリコン酸化物膜をエッチングする方法
CN1433051A (zh) 保护膜图案形成方法以及半导体器件制造方法
CN1832105A (zh) 微细图案形成方法
US7183220B1 (en) Plasma etching methods
US6383941B1 (en) Method of etching organic ARCs in patterns having variable spacings
US20040084411A1 (en) Method of etching a silicon-containing dielectric material
US20030109138A1 (en) Method of etching tantalum

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090805

Termination date: 20101030