CN1667794A - 应变finFET及其制造方法 - Google Patents

应变finFET及其制造方法 Download PDF

Info

Publication number
CN1667794A
CN1667794A CNA2004100950072A CN200410095007A CN1667794A CN 1667794 A CN1667794 A CN 1667794A CN A2004100950072 A CNA2004100950072 A CN A2004100950072A CN 200410095007 A CN200410095007 A CN 200410095007A CN 1667794 A CN1667794 A CN 1667794A
Authority
CN
China
Prior art keywords
island
finfet
nfet
pfet
sige
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004100950072A
Other languages
English (en)
Other versions
CN100356525C (zh
Inventor
杜雷斯蒂·奇达姆巴拉奥
奥马尔·H·多库马西
奥列格·G·格鲁钦科夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1667794A publication Critical patent/CN1667794A/zh
Application granted granted Critical
Publication of CN100356525C publication Critical patent/CN100356525C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Element Separation (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

提供了一种半导体结构及其制造方法。此制造方法包括在衬底中形成浅沟槽隔离(浅沟槽隔离)以及在衬底上提供第一材料和第二材料。第一材料和第二材料分别在pFET区域和nFET区域处形成第一小岛和第二小岛。在形成finFET之前,受拉伸的硬掩模被形成在第一和第二小岛层上。在具有硬掩模的finFET的侧壁上生长硅外延层,处于拉伸状态的帽层于是防止了nFET鳍的横向翘曲。

Description

应变finFET及其制造方法
技术领域
本发明一般涉及到半导体器件及其制造方法,更确切地说是涉及到具有张应力和压应力的半导体器件finFET的制造。
背景技术
半导体器件衬底中的机械应力能够改变器件的性能。亦即,半导体器件中的应力能够提高半导体器件的特性。于是,为了改善半导体器件的特性,就在n型器件(例如nFET)和/或p型器件(例如pFET)的沟道中产生张应力和/或压应力。但相同的应力分量,无论是张应力或压应力,对n型器件和p型器件的特性有不同的作用。
为了最大限度地提高集成电路(IC)芯片中的nFET和pFET二者的性能,应该对nFET和pFET不同地设计和施加各个应力分量。这是由于有利于nFET性能的应力类型通常对pFET的性能是不利的。更确切地说,当器件处于拉伸(例如沿平面器件电流流动的方向)时,nFET的性能特性被提高,而pFET的性能特性被降低。为了选择性地在nFET中产生张应力而在pFET中产生压应力,采用了不同的工艺和不同的材料组合。
例如,为了在nFET和pFET中形成适当的应力,已经分别提出了沟槽隔离结构。当采用此方法时,nFET器件的隔离区包含沿纵向(例如平行于电流流动的方向)以及沿横向(例如垂直于电流流动的方向)将第一类型机械应力施加在nFET上的第一隔离材料。而且,为pFET提供了第一隔离区和第二隔离区,且pFET器件的各个隔离区沿横向和纵向将唯一的机械应力施加在pFET器件上。
作为变通,为了选择性地在FET器件的沟道中诱发适当的应力,已经提出了栅侧壁上的衬里(见例如Ootsuka et sl.,IEDM 2000,p.575)。借助于提供衬里,与由于沟道隔离填充技术而施加的应力相比,适当的应力被施加得更靠近器件。
还已经分别提出了许多用张应力和压应力来改善nFET和pFET二者性能的建议,包括调制间隔的本征应力以及用掩模分别改变二种MOSFET的浅沟槽隔离(浅沟槽隔离)材料。弛豫SiGe上的张应变Si也已经被提议作为一种施加应力的方法。不幸的是,弛豫SiGe上的张应变Si仅仅能够将双轴张应力施加在用于叠层形式的Si帽上。这就由于pFET对应力灵敏的本性而限制了可使用的Ge百分比范围。nFET的性能随双轴张力而单调地改善;但pFET随双轴张力变坏,直至大约3Gpa才开始改善。
为了同时改善pFET和nFET二者,Ge的百分比要高,大约大于25-30%(或等效于应力大约大于3-4Gpa)。Ge百分比的这一水平难以在工艺中实现,因而不很容易制造,主要问题包括表面粗糙性、工艺复杂性、缺陷和成品率的控制等。假定高的Ge百分比难以用于pFET(因为张力水平比较低而可能不利),则必须发明其它的方法来改善器件性能。
此外,已知Si:C外延生长在Si上固有地具有张应力。Si:C/Si材料叠层中的1%的C含量能够在Si:C中引起大约500Mpa的张应力水平。相比之下,在SiGe/Si系统中,需要大约6%来引起500Mpa的压力。如论文Ernst et al.,VLSI Symp.,2002,p.92所示,在外延生长过程中,这一1%的C水平能够被组合到Si中。在Ernst的论文中,Si/Si:C/Si位于nFET的层状沟道中。但此结构的Si:C部分不弛豫。作为替代,在Ernst的论文中,不弛豫的Si:C本身与非常薄的Si帽一起被用作部分沟道。此方法的问题在于,依赖于C含量,迁移率由于散射而未被提高,而是被抑制了。
虽然这些方法确实提供了张应力被施加到nFET器件和压应力沿pFET器件纵向被施加的结构,但它们要求额外的材料和/或更复杂的加工,因而导致更高的成本。而且,这些情况下能够施加的应力的水平典型地是中等的(亦即大约几百Mpa)。于是希望提供更节约成本且简化的方法来分别在nFET和pFET的沟道中产生大的张应力和压应力。
发明内容
在本发明的第一情况下,制造结构的方法包括形成具有第一晶格常数的材料的第一小岛以及具有第二晶格常数的材料的第二小岛。掩模被提供在第一小岛和第二小岛上,以便防止将来在侧壁被生长在鳍上时发生翘曲。此掩模处于张应力下。由第一小岛和第二小岛以及掩模来形成第一finFET和第二finFET。
在另一情况下,制造结构的方法包括在具有形成与pFET区域相关的第一小岛的第一材料以及形成与nFET区域相关的第二小岛的第二材料的衬底中形成浅沟槽隔离(浅沟槽隔离)。处于拉伸状态的硬掩模被形成在pFET区域和nFET区域上,分别被用来在pFET区域和nFET区域中形成具有硬掩模帽层的pFET鳍和nFET鳍。外延硅侧壁被生长在pFET鳍和nFET鳍上,其中,帽层防止了nFET鳍在侧壁形成过程中发生翘曲。
在本发明的另一情况下,半导体结构包括衬底以及衬底中的弛豫浅沟槽隔离(浅沟槽隔离)。第一finFET由具有第一晶格常数的第一材料组成,并提供了受强烈拉伸的材料的帽。第二finFET由具有第二晶格常数的第二材料组成,也提供了受强烈拉伸的材料的帽。外延生长的Si侧壁被提供在第一finFET和第二finFET上。第二finFET上的受强烈拉伸的材料的帽防止了第二finFET在外延侧壁生长时发生横向翘曲。
附图说明
图1-6表示形成根据本发明的中间结构的制造工艺;
图7-10表示形成根据本发明另一情况的中间结构的制造工艺;而
图11-13示出了根据本发明用图6或图10的结构作为基底来形成本发明的中间结构的制造工艺。
具体实施方式
本发明的目的是一种半导体器件以及提供与CMOS器件的nFET和pFET相关的所需应力以便改善器件性能的方法。在一种方法中,在形成finFET之前,在各个nFET和pFET沟道中得到了SiGe和Si:C小岛。然后在小岛上形成受拉伸的膜。此受拉伸的膜例如硬掩模提供了大量的横向刚性并将Si:C鳍夹持在适当的位置。亦即,受拉伸的硬掩模防止了处于强烈压应力下的鳍部分地由于预期在加工过程中形成的鳍的不对称性而发生横向翘曲。然后在弛豫的nFET鳍和pFET鳍二者上形成外延Si层,以便提供nFET和pFET的所希望的应力条件。
finFET是一种双栅结构,其中,硅本体已经被扭开其侧面以形成垂直于晶片平面竖立的硅“鳍”。栅电极被形成在鳍的二侧上,使得能够用单个掩模层和腐蚀同时确定二个栅。如在本发明中实现的那样,此鳍最好对称于双栅,但可以不对称于单个栅。还应该理解的是,此finFET借助于简单地调整鳍的尺度就提供了更高的驱动电流密度,而不要求栅氧化物厚度的减小及其相关的泄漏。在本发明中,在相对受应力状态下得到了各个finFET,这改善了器件的性能。
在本发明之前,利用其中小岛具有比较大的尺寸的晶片键合技术,才有可能安置用来制造具有不同弛豫晶格(原子之间的不同尺度)的nFET和pFET的至少二种小岛;但在本发明中,各种方法产生了一种独特的具有弛豫的但不同晶体结构的小晶体小岛的衬底。在一种方法中,提供了采用高温稳定的非晶材料例如二氧化硅在小岛之间而晶体在绝缘体上的结构。具有不同(晶体)小岛的独特结构使得能够安置可选不同晶体的不同应变层。在第一情况下,这些不同应变层是用来形成本发明finFET的受拉伸的SiGe层或受压缩的Si:C层。
本发明对于在绝缘体上制作具有多种晶格常数的小岛的衬底的工艺来说,具有创新的重要贡献。例如,在本发明中,第一finFET(晶体1)的晶格常数a≥aSi,而第二finFET(晶体2)的晶格常数a≤aSi。在本发明的一种情况下,如下面更详细地讨论的那样,本发明的将分别在SiGe finFET和Si:C finFET上张应变和压应变的Si外延侧壁层能够被选择性地生长。
现在参照图1,示出了一种硅晶片。这种晶片是各种分立和集成电路(IC)半导体器件应用的市售起始衬底。在一种方法中,可以用采用大剂量氧注入和高温退火在本体晶片中形成BOX(埋置的氧化物)的SIMOX(利用注入的氧进行分离)方法,来制造玻璃晶片上的硅(SOI)。作为另一例子,可以借助于将器件质量的硅晶片键合到其表面上具有氧化物层的另一硅晶片(衬底层),来制造晶片。然后采用在衬底层上的氧化物层(已经成为BOX)的顶部上留下薄的(相对于起始晶片的厚度)器件质量的单晶硅层的工艺,将成对的晶片分离开。也可以用其它的工艺来形成SOI晶片。
仍然参照图1,形成了硅层20,并用标准的衬垫氧化技术、衬垫氮化物淀积技术、以光刻为基础的图形化技术、由氮化物、氧化物、以及硅组成的叠层的直达埋置氧化物的反应离子刻蚀(RIE)技术、边沿氧化技术、衬里淀积技术、填充物淀积技术、以及化学机械抛光技术来进行图形化,以便形成浅沟槽隔离(浅沟槽隔离)25。浅沟槽隔离形成工艺是熟知的技术。在一种方法中,将例如SiO2的高温稳定非晶材料用于浅沟槽隔离。
参照图2,利用诸如化学气相淀积方法之类的常规技术,在结构的表面上淀积外延锗材料(层)30。例如,可以以常规的方式使用超高真空化学气相淀积(UHVCVD)方法来淀积锗层30。其它的常规技术包括快速热化学气相淀积(RTCVD)、限止反应加工CVD(LRPCVD)、以及分子束外延(MBE)。在一个实施方案中,依赖于例如可以是30-100nm的下方硅层的厚度,锗材料的厚度可以是5-50nm或其它的尺寸。
nFET硬掩模35被提供在部分锗层30上(例如在要形成nFET器件的位置处)。此nFET硬掩模35可以是用诸如甩涂、CVD、等离子体辅助CVD、超高真空化学气相淀积(UHVCVD)、快速热化学气相淀积(RTCVD)、限止反应加工CVD(LRPCVD)、以及其它相似的淀积工艺之类的常规淀积工艺所形成的氮化物硬掩模。
在图3中,暴露的锗层30被腐蚀,并用本技术熟知的技术剥离nFET掩模35。例如,可以用RIE、湿法腐蚀、或干法腐蚀方法来选择性地腐蚀锗层30。
如图4所示,Si:C材料40(或可选地C)被淀积在结构上,包括淀积在外延淀积的Ge材料35上。例如,可以以常规的方式采用超高真空化学气相淀积(UHVCVD)方法来淀积Si:C(或可选地C)材料40。其它常规技术包括快速热化学气相淀积(RTCVD)、限止反应加工CVD(LRPCVD)、以及其它相似的工艺。在一个实施方案中,依赖于例如可以是30-100nm的下方硅层的厚度,Si:C或C材料的厚度可以是5-50nm或其它的尺寸。在另一情况下,当采用C时,厚度可以是1-30nm。
pFET硬掩模45被提供在部分Si:C材料40上要形成pFET的位置处。此pFET硬掩模45可以是用诸如甩涂、CVD、等离子体辅助CVD、超高真空化学气相淀积(UHVCVD)、快速热化学气相淀积(RTCVD)、限止反应加工CVD(LRPCVD)、以及其它相似的淀积工艺之类的常规淀积工艺所形成的氮化物硬掩模。
如图5所示,暴露的Si:C层40然后被腐蚀,并用本技术熟知的技术剥离pFET掩模45。例如,可以用诸如RIE、湿法腐蚀、或干法腐蚀之类的标准腐蚀技术来腐蚀Si:C和pFET。
然后,在图6中,此结构经历热退火工艺。在此工艺过程中,对于nFET来说,淀积的Ge材料30被混合到下方的SOI膜中,从而形成基本上是SiGe材料的小岛50。同样,在此工艺中,对于pFET来说,淀积的Si:C或可选的C材料被混合到下方的SOI膜中,形成基本上是Si:C材料的小岛55。热退火工艺在例如大约1200-1350℃下进行1-10小时,一种情况是在1200℃下进行大约5小时。
利用本发明的方法,所要求的锗百分比对于nFET是不大的(例如小于25%,且在一种方法中是10-20%),因而不引起缺陷问题。而且,由于高温热混合步骤,例如浅沟槽隔离25能够弛豫且使SiGe小岛50和Si:C小岛55容易弛豫。这是由于部分是因为浅沟槽隔离包含氧化物材料,这种氧化物材料在高温下是一种粘滞性材料,例如在高温下成为一种低粘滞性材料。
还应该理解的是,SiGe小岛50和Si:C小岛55具有不同的弛豫晶格(原子之间不同的尺寸),这产生了具有小晶体小岛的独特衬底。与满铺(SiGe或Si:C)衬底相比,SiGe小岛50和Si:C小岛55的弛豫提供了改进的性能。在一种情况下,根据本发明采用了SiGe小岛50和Si:C小岛55之间的高温稳定非晶材料例如二氧化硅和绝缘体上晶体的结构。
图7-10示出了本发明的另一种情况。在图7中,示出了诸如SOI的硅晶片。如在前述结构中那样,可以用SIMOX工艺或其它熟知的工艺来制造此SOI。利用标准的衬垫氧化技术、衬垫氮化物淀积技术、以光刻为基础的图形化技术、由氮化物、氧化物、以及硅组成的叠层的直达埋置氧化物的反应离子刻蚀(RIE)技术、边沿氧化技术、衬里淀积技术、填充物淀积技术、以及化学机械抛光技术,硅层20被图形化,以便形成浅沟槽隔离(浅沟槽隔离)25。浅沟槽隔离的形成工艺在本技术中是众所周知的。
参照图8,pFET掩模40被提供在部分结构上要形成pFET的位置处。可以用诸如各种化学气相淀积方法之类的常规技术来淀积pFET硬掩模。例如这些技术可以包括甩涂、CVD、等离子体辅助CVD、蒸发、超高真空化学气相淀积(UHVCVD)、快速热化学气相淀积(RTCVD)、限止反应加工CVD(LRPCVD)、以及其它相似的淀积工艺。
利用常规技术,外延锗层30被选择性地生长在要形成nFET的暴露表面上。在一个实施方案中,依赖于例如可以是30-100nm的下方硅层的厚度,锗材料的厚度可以是5-50nm或其它的尺寸。如上所述,用众所周知的工艺来剥离硬掩模45。
在图9中,nFET掩模35被提供在部分结构上要形成nFET的位置处。如各处讨论的以及一般熟练人员应该知道的那样,可以用诸如化学气相淀积方法之类的常规技术来淀积nFET硬掩模。
如上所述,利用诸如化学气相淀积方法之类的常规技术,Si:C层40被选择性地生长在要形成pFET的结构的暴露表面上。在一个实施方案中,依赖于例如可以是30-100nm的下方硅层的厚度,Si:C材料的厚度可以是5-50nm或其它的尺寸。C甚至可以更薄,为1-50nm。
如图10所示,然后用众所周知的工艺来清除FET硬掩模35。此结构然后经历热退火工艺。在此退火工艺过程中,对于nFET来说,Ge材料30被混合到SOI膜中,形成基本上是SiGe材料的小岛50。同样,对于pFET来说,Si:C或可选的C材料被混合到SOI膜中,形成基本上是Si:C材料的小岛55。此工艺还形成BOX层作为衬底。热退火工艺在例如大约1200-1350℃下进行1-10小时,一种情况是在1200℃下进行大约5小时。
如上所述,与前面的情况相似,利用本发明的方法,所要求的锗百分比是不大的(例如小于25%,且在一种情况下是10-20%),因而不引起缺陷问题。而且,由于高温热混合,例如浅沟槽隔离25能够弛豫且使SiGe小岛50和Si:C小岛55容易弛豫。如上所述,与满铺(SiGe或Si:C)衬底相比,SiGe和Si:C的弛豫提供了改进的性能。在一种情况下,这种结构的要点是在小岛之间使用高温稳定的非晶材料例如和绝缘体上晶体结构。
在本发明的另一情况下,可以在大剂量下将C注入到pFET区域中,这能够在热退火时在Si:C中产生比1-4%的C高得多的浓度。此剂量可以约为每平方厘米1×1016,或大于例如每平方厘米5×1016
现在利用图6或图10的中间结构,如图11所示,受拉伸的硬掩模被淀积在结构上。在一种情况下,硬掩模是氮化物,并以任何熟知的常规方式被淀积在结构上。例如,此氮化物硬掩模可以是用诸如甩涂、CVD、等离子体辅助CVD、超高真空化学气相淀积(UHVCVD)、快速热化学气相淀积(RTCVD)、限止反应加工CVD(LRPCVD)、以及其它相似的淀积工艺之类的常规淀积工艺所形成的硬掩模。在一种情况下,依赖于下方层的厚度,此硬掩模被淀积成5-50nm或其它尺寸。
然后,如图12所示,以常规方式执行侧壁图象转移和腐蚀,以便形成鳍75和80。例如,用侧壁图象转移光刻术来确定鳍,将鳍置于制作的矩形(芯子)的外围上。随后,修剪掩模被用来清除环的不需要部分,且常规抗蚀剂掩模被用来挡住源区和漏区(未示出),以便将各个鳍连接到一起。在此工艺过程中,硬掩模70保留作为nFET和pFET区的帽。
在图13中,硅外延层85被选择性地生长在nFET和pFET的侧壁上。如下面所讨论的那样,此硅外延层可能不对称地生长,因而可能由于其强烈压缩状态而诱发翘曲。但强烈拉伸的硬掩模会借助于使硅生长过程中作用在nFET区域上的各种力基本上相等而确保和均匀防止这种翘曲。
应该理解的是,硅外延侧壁层的晶格常数不同于SiGe和Si:C“小岛”或被腐蚀的鳍的晶格常数。例如,SiGe的晶格常数a≥aSi,而Si:C的晶格常数a≤aSi。亦即,单独地说,硅的晶格常数通常比SiGe层更小;亦即硅材料的晶格常数与SiGe的晶格常数不匹配。但在本发明的结构中,硅侧壁层的晶格结构倾向于与SiGe的晶格结构匹配。于是,由于硅与SiGe层的晶格匹配(硅的晶格通常更小),故硅层被置于张应力下。此区域将用作nFET的应变沟道。在一个实施方案中,在对硅含量的比率中,SiGe层的Ge含量可以小于25%。
而且,单独地说,硅通常也具有比Si:C更大的晶格常数。亦即硅材料的晶格常数与Si:C的晶格常数不匹配。但在本发明的结构中,硅层的晶格结构倾向于与Si:C的晶格结构匹配。由于硅与Si:C小岛的晶格匹配(硅的晶格通常更大),故硅层被置于压应力下。亦即,相似于SiGe的情况,Si:C小岛的周围区域将试图获得平衡状态,从而导致形成在Si:C上的外延硅侧壁层的压应力。此区域将用作pFET的应变沟道。在一个实施方案中,在淀积时,在对硅含量的比率中,C的含量可以高达大约4%。
如图13所示,形成的结构是一种适合于根据本发明的原理形成诸如pFET和nFET的半导体器件的中间结构。如finFET技术领域众所周知的那样,为了形成最终的器件,可以执行CMOS工艺在此结构上形成n和p finFET器件。例如,这些器件可以包括被应变SiGe和Si:C的半导体沟道分隔开的源区和漏区的离子注入。亦即,nFET将被形成在拉伸应变的沟道上,而pFET将被形成在压应变的硅沟道上。栅介质被提供在应变沟道的顶部,且栅导体被提供在栅介质的顶部上。
虽然根据实施方案已经描述了本发明,但本技术领域的熟练人员可以理解的是,本发明能够以所附权利要求的构思与范围内的修正来加以实施。例如,本发明能够被容易地应用于体衬底。

Claims (20)

1.一种用于制造一种结构的方法,它包含下列步骤:
形成具有第一晶格常数的材料的第一小岛;
形成具有第二晶格常数的材料的第二小岛;
在第一小岛和第二小岛上提供掩模,此掩模被用来形成受拉伸的帽层;以及
由第一小岛和第二小岛形成至少一个第一finFET和第二finFET,
其中,受拉伸的帽层防止了第一和第二finFET之一发生翘曲。
2.权利要求1的方法,其中,第一小岛由SiGe材料组成,而第二小岛由Si:C组成,且掩模是氮化物硬掩模。
3.权利要求1的方法,其中,用侧壁图象转移和腐蚀方法来形成第一和第二finFET。
4.权利要求1的方法,还包含在第一finFET和第二finFET的侧壁上选择性地生长硅外延侧壁层,其中,受拉伸的帽层防止了至少第二finFET在其上生长硅外延侧壁层的过程中发生翘曲。
5.权利要求1的方法,其中:
腐蚀方法从第一和第二finFET上的硬掩模形成了受拉伸的帽层;
第一finFET由SiGe组成,并被置于张应力下;而
第二finFET由Si:C组成,并被置于压应力下。
6.权利要求5的方法,其中,受拉伸的帽层防止了Si:C finFET的皱缩或翘曲。
7.权利要求1的方法,还包含:
在衬底中形成浅沟槽隔离;
将材料混合到衬底中,以便用热退火工艺在pFET区域和nFET区域分别形成第一小岛和第二小岛;且
其中,浅沟槽隔离弛豫且使第一小岛和第二小岛容易弛豫。
8.权利要求1的方法,其中,用淀积和生长Ge材料的方法来形成第一小岛,且用淀积和生长Si:C或C材料的方法来形成第二小岛,第一小岛和第二小岛具有不同的弛豫晶格。
9.权利要求4的方法,其中,硅外延侧壁层的晶格常数不同于第一材料和第二材料的晶格常数,致使选择性生长的硅外延侧壁层将分别在第一小岛和第二小岛上张应变和压应变。
10.权利要求4的方法,其中,第一finFET的晶格常数a≥aSi,而第二finFET的晶格常数a≤aSi。
11.权利要求1的方法,其中,第一小岛基本上由SiGe组成,而第二小岛基本上由Si:C组成,且外延生长的侧壁层被生长在分别由SiGe小岛和Si:C小岛形成的被腐蚀的SiGe finFET以及Si:CfinFET上,由于外延生长的侧壁层与SiGe和Si:C finFET的晶格匹配,故SiGe finFET和Si:C finFET被分别置于张应力和压应力下。
12.一种制造半导体结构的方法,它包含下列步骤:
在具有第一材料的衬底中形成浅沟槽隔离;
形成与pFET区域相关的第一小岛以及与nFET区域相关的第二小岛;
在pFET区域和nFET区域上提供处于张应力下的硬掩模;
分别在pFET区域和nFET区域中形成具有硬掩模帽层的pFET鳍和nFET鳍;以及
在pFET鳍和nFET鳍上生长侧壁,其中,帽层防止了nFET鳍在侧壁生长过程中发生翘曲。
13.权利要求12的方法,其中,pFET鳍由包含SiGe的材料组成,而nFET鳍由包含Si:C或C之一的材料组成。
14.权利要求13的方法,其中,SiGe变成张应变,而Si:C变成压应变,且硬掩模借助于基本上抵消nFET上形成侧壁所形成的压应力而防止了nFET鳍的翘曲。
15.权利要求12的方法,还包含弛豫浅沟槽隔离,这使第一小岛和第二小岛在热退火步骤中容易弛豫。
16.权利要求12的方法,其中,侧壁由晶格常数不同于pFET鳍和nFET鳍的硅组成,致使硅侧壁将分别对pFET鳍和nFET鳍施加张应力和压应力。
17.一种结构,它包含:
衬底;
衬底中弛豫的浅沟槽隔离;
由具有第一晶格常数的第一材料和强烈受拉伸材料的帽组成的第一finFET;
由具有第二晶格常数的第二材料和强烈受拉伸材料的帽组成的第二finFET;以及
第一finFET和第二finFET上的外延生长Si侧壁,
其中,第二finFET上的强烈受拉伸材料的帽防止了第二finFET在硅外延侧壁生长时发生横向翘曲。
18.权利要求17的结构,其中,第一材料是弛豫的SiGe,而第二材料是弛豫的Si:C。
19.权利要求17的结构,其中,帽由氮化物组成。
20.权利要求17的结构,其中,浅沟槽隔离被基本上弛豫,且第一finFET处于张应力下,而第二finFET处于压应力下。
CNB2004100950072A 2003-12-12 2004-11-18 应变finFET及其制造方法 Active CN100356525C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/733,378 US7198995B2 (en) 2003-12-12 2003-12-12 Strained finFETs and method of manufacture
US10/733,378 2003-12-12

Publications (2)

Publication Number Publication Date
CN1667794A true CN1667794A (zh) 2005-09-14
CN100356525C CN100356525C (zh) 2007-12-19

Family

ID=34653077

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100950072A Active CN100356525C (zh) 2003-12-12 2004-11-18 应变finFET及其制造方法

Country Status (3)

Country Link
US (1) US7198995B2 (zh)
JP (1) JP4130652B2 (zh)
CN (1) CN100356525C (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101924105A (zh) * 2009-05-29 2010-12-22 台湾积体电路制造股份有限公司 集成电路结构
US8058692B2 (en) 2008-12-29 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors with reverse T-shaped fins
CN103065963A (zh) * 2011-10-19 2013-04-24 中芯国际集成电路制造(上海)有限公司 鳍式晶体管及其形成方法
CN103107187A (zh) * 2011-11-10 2013-05-15 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
CN103107192A (zh) * 2011-11-10 2013-05-15 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
CN103165455A (zh) * 2011-12-13 2013-06-19 中芯国际集成电路制造(上海)有限公司 制作鳍形场效应晶体管的方法
CN103367253A (zh) * 2012-03-29 2013-10-23 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN103426882A (zh) * 2012-05-16 2013-12-04 台湾积体电路制造股份有限公司 Cmos器件及其形成方法
CN104008962A (zh) * 2013-02-27 2014-08-27 台湾积体电路制造股份有限公司 用于缺陷钝化以减少finfet器件的结泄漏的结构和方法
CN104051526A (zh) * 2013-03-13 2014-09-17 台湾积体电路制造股份有限公司 紧邻半导体鳍的沟渠及其形成方法
CN104813443A (zh) * 2012-12-20 2015-07-29 英特尔公司 纳米级结构上的外延膜
CN110571195A (zh) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 一种sram及其制造方法和电子装置
CN112020762A (zh) * 2018-04-22 2020-12-01 艾普诺瓦泰克公司 增强型薄膜器件

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7532501B2 (en) * 2005-06-02 2009-05-12 International Business Machines Corporation Semiconductor device including back-gated transistors and method of fabricating the device
US20070018239A1 (en) * 2005-07-20 2007-01-25 International Business Machines Corporation Sea-of-fins structure on a semiconductor substrate and method of fabrication
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7510939B2 (en) * 2006-01-31 2009-03-31 International Business Machines Corporation Microelectronic structure by selective deposition
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US7365401B2 (en) * 2006-03-28 2008-04-29 International Business Machines Corporation Dual-plane complementary metal oxide semiconductor
US20070238267A1 (en) * 2006-03-28 2007-10-11 International Business Machines Corporation Epitaxy of Silicon-Carbon Substitutional Solid Solutions by Ultra-Fast Annealing of Amorphous Material
US7681628B2 (en) * 2006-04-12 2010-03-23 International Business Machines Corporation Dynamic control of back gate bias in a FinFET SRAM cell
US8227316B2 (en) * 2006-06-29 2012-07-24 International Business Machines Corporation Method for manufacturing double gate finFET with asymmetric halo
US7462916B2 (en) * 2006-07-19 2008-12-09 International Business Machines Corporation Semiconductor devices having torsional stresses
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
JP2008060408A (ja) * 2006-08-31 2008-03-13 Toshiba Corp 半導体装置
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
US7875958B2 (en) 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
WO2008039495A1 (en) * 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US20080187018A1 (en) 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
US7829407B2 (en) 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US7485519B2 (en) * 2007-03-30 2009-02-03 International Business Machines Corporation After gate fabrication of field effect transistor having tensile and compressive regions
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8877576B2 (en) 2007-08-23 2014-11-04 Infineon Technologies Ag Integrated circuit including a first channel and a second channel
WO2009035746A2 (en) 2007-09-07 2009-03-19 Amberwave Systems Corporation Multi-junction solar cells
US7678637B2 (en) * 2007-09-21 2010-03-16 Texas Instruments Incorporated CMOS fabrication process
US7767560B2 (en) * 2007-09-29 2010-08-03 Intel Corporation Three dimensional strained quantum wells and three dimensional strained surface channels by Ge confinement method
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US8034697B2 (en) 2008-09-19 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
JP2010118621A (ja) * 2008-11-14 2010-05-27 Nec Electronics Corp 半導体装置及びその製造方法
US8629446B2 (en) 2009-04-02 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
US8551845B2 (en) 2010-09-21 2013-10-08 International Business Machines Corporation Structure and method for increasing strain in a device
US20120146101A1 (en) * 2010-12-13 2012-06-14 Chun-Hsien Lin Multi-gate transistor devices and manufacturing method thereof
US9029956B2 (en) 2011-10-26 2015-05-12 Global Foundries, Inc. SRAM cell with individual electrical device threshold control
US9048136B2 (en) 2011-10-26 2015-06-02 GlobalFoundries, Inc. SRAM cell with individual electrical device threshold control
KR101700213B1 (ko) * 2011-12-21 2017-01-26 인텔 코포레이션 금속 산화물 반도체 소자 구조용 핀의 형성 방법
US20130200459A1 (en) * 2012-02-02 2013-08-08 International Business Machines Corporation Strained channel for depleted channel semiconductor devices
US8697523B2 (en) 2012-02-06 2014-04-15 International Business Machines Corporation Integration of SMT in replacement gate FINFET process flow
US8853750B2 (en) 2012-04-27 2014-10-07 International Business Machines Corporation FinFET with enhanced embedded stressor
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US9564367B2 (en) * 2012-09-13 2017-02-07 Globalfoundries Inc. Methods of forming different FinFET devices with different threshold voltages and integrated circuit products containing such devices
US8889495B2 (en) 2012-10-04 2014-11-18 International Business Machines Corporation Semiconductor alloy fin field effect transistor
US8815668B2 (en) 2012-12-07 2014-08-26 International Business Machines Corporation Preventing FIN erosion and limiting Epi overburden in FinFET structures by composite hardmask
US8933528B2 (en) 2013-03-11 2015-01-13 International Business Machines Corporation Semiconductor fin isolation by a well trapping fin portion
US8841178B1 (en) 2013-03-13 2014-09-23 International Business Machines Corporation Strained silicon nFET and silicon germanium pFET on same wafer
US8951870B2 (en) * 2013-03-14 2015-02-10 International Business Machines Corporation Forming strained and relaxed silicon and silicon germanium fins on the same wafer
US9040363B2 (en) 2013-03-20 2015-05-26 International Business Machines Corporation FinFET with reduced capacitance
US8895395B1 (en) 2013-06-06 2014-11-25 International Business Machines Corporation Reduced resistance SiGe FinFET devices and method of forming same
US9093275B2 (en) * 2013-10-22 2015-07-28 International Business Machines Corporation Multi-height multi-composition semiconductor fins
US9165929B2 (en) * 2013-11-25 2015-10-20 Qualcomm Incorporated Complementarily strained FinFET structure
US8987069B1 (en) 2013-12-04 2015-03-24 International Business Machines Corporation Semiconductor substrate with multiple SiGe regions having different germanium concentrations by a single epitaxy process
US9660035B2 (en) 2014-01-29 2017-05-23 International Business Machines Corporation Semiconductor device including superlattice SiGe/Si fin structure
US9123585B1 (en) 2014-02-11 2015-09-01 International Business Machines Corporation Method to form group III-V and Si/Ge FINFET on insulator
US9129863B2 (en) 2014-02-11 2015-09-08 International Business Machines Corporation Method to form dual channel group III-V and Si/Ge FINFET CMOS
US9236474B2 (en) 2014-02-21 2016-01-12 Stmicroelectronics, Inc. Method to form strained channel in thin box SOI structures by elastic strain relaxation of the substrate
US9660080B2 (en) 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
US9530777B2 (en) * 2014-03-04 2016-12-27 Stmicroelectronics, Inc. FinFETs of different compositions formed on a same substrate
US9293375B2 (en) 2014-04-24 2016-03-22 International Business Machines Corporation Selectively grown self-aligned fins for deep isolation integration
US20150340501A1 (en) * 2014-05-22 2015-11-26 Globalfoundries Inc. Forming independent-gate finfet with tilted pre-amorphization implantation and resulting device
US9536900B2 (en) * 2014-05-22 2017-01-03 Globalfoundries Inc. Forming fins of different semiconductor materials on the same substrate
US9570360B2 (en) 2014-08-27 2017-02-14 International Business Machines Corporation Dual channel material for finFET for high performance CMOS
US9362182B2 (en) 2014-11-06 2016-06-07 International Business Machines Corporation Forming strained fins of different material on a substrate
US9324623B1 (en) 2014-11-26 2016-04-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having active fins
US9401372B1 (en) 2015-02-10 2016-07-26 International Business Machines Corporation Dual isolation on SSOI wafer
US9508741B2 (en) 2015-02-10 2016-11-29 International Business Machines Corporation CMOS structure on SSOI wafer
US9484201B2 (en) 2015-02-23 2016-11-01 International Business Machines Corporation Epitaxial silicon germanium fin formation using sacrificial silicon fin templates
US9496185B2 (en) 2015-03-27 2016-11-15 International Business Machines Corporation Dual channel finFET with relaxed pFET region
US9607901B2 (en) * 2015-05-06 2017-03-28 Stmicroelectronics, Inc. Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FINFET technology
US9613871B2 (en) 2015-07-16 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US9805991B2 (en) * 2015-08-20 2017-10-31 International Business Machines Corporation Strained finFET device fabrication
US9548386B1 (en) 2015-08-31 2017-01-17 International Business Machines Corporation Structure and method for compressively strained silicon germanium fins for pFET devices and tensily strained silicon fins for nFET devices
US9431486B1 (en) 2015-11-30 2016-08-30 International Business Machines Corporation Channel strain and controlling lateral epitaxial growth of the source and drain in FinFET devices
US9741581B2 (en) * 2016-01-11 2017-08-22 Globalfoundries Inc. Using tensile mask to minimize buckling in substrate
US9870948B2 (en) 2016-06-09 2018-01-16 International Business Machines Corporation Forming insulator fin structure in isolation region to support gate structures
US9773870B1 (en) 2016-06-28 2017-09-26 International Business Machines Corporation Strained semiconductor device
US9917154B2 (en) 2016-06-29 2018-03-13 International Business Machines Corporation Strained and unstrained semiconductor device features formed on the same substrate
US9859426B1 (en) 2016-06-29 2018-01-02 International Business Machines Corporation Semiconductor device including optimized elastic strain buffer
US10847619B2 (en) 2016-09-30 2020-11-24 Intel Corporation Supperlatice channel included in a trench
US10622352B2 (en) 2017-01-25 2020-04-14 International Business Machines Corporation Fin cut to prevent replacement gate collapse on STI
US10438855B2 (en) 2017-02-17 2019-10-08 International Business Machines Corporation Dual channel FinFETs having uniform fin heights
US10861969B2 (en) * 2018-07-16 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming FinFET structure with reduced Fin buckling
US10886367B2 (en) 2019-01-17 2021-01-05 International Business Machines Corporation Forming FinFET with reduced variability
US11670551B2 (en) * 2019-09-26 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Interface trap charge density reduction
US10910276B1 (en) 2019-10-01 2021-02-02 Globalfoundries Inc. STI structure with liner along lower portion of longitudinal sides of active region, and related FET and method
US11257932B2 (en) * 2020-01-30 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US32261A (en) * 1861-05-07 Bukial-case
US9784A (en) * 1853-06-14 Fergus burden
US57184A (en) * 1866-08-14 Improved alloy
US67035A (en) * 1867-07-23 Improvement in tunnels
US86497A (en) * 1869-02-02 Improvement in machine for making cord
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5825529A (en) * 1996-06-27 1998-10-20 Xerox Corporation Gyricon display with no elastomer substrate
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US5981356A (en) 1997-07-28 1999-11-09 Integrated Device Technology, Inc. Isolation trenches with protected corners
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6080637A (en) 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6583060B2 (en) 2001-07-13 2003-06-24 Micron Technology, Inc. Dual depth trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6657252B2 (en) * 2002-03-19 2003-12-02 International Business Machines Corporation FinFET CMOS with NVRAM capability
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6762448B1 (en) * 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6909186B2 (en) * 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7078299B2 (en) * 2003-09-03 2006-07-18 Advanced Micro Devices, Inc. Formation of finFET using a sidewall epitaxial layer
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8058692B2 (en) 2008-12-29 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors with reverse T-shaped fins
CN101771046B (zh) * 2008-12-29 2012-04-11 台湾积体电路制造股份有限公司 具有倒t形鳍片多重栅晶体管的集成电路结构及形成方法
US8455321B2 (en) 2008-12-29 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors with reverse T-shaped fins
CN101924105B (zh) * 2009-05-29 2012-12-19 台湾积体电路制造股份有限公司 集成电路结构
CN101924105A (zh) * 2009-05-29 2010-12-22 台湾积体电路制造股份有限公司 集成电路结构
CN103065963A (zh) * 2011-10-19 2013-04-24 中芯国际集成电路制造(上海)有限公司 鳍式晶体管及其形成方法
CN103065963B (zh) * 2011-10-19 2015-08-05 中芯国际集成电路制造(上海)有限公司 鳍式晶体管及其形成方法
CN103107192B (zh) * 2011-11-10 2016-05-18 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
CN103107187A (zh) * 2011-11-10 2013-05-15 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
CN103107192A (zh) * 2011-11-10 2013-05-15 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
CN103107187B (zh) * 2011-11-10 2016-04-13 中芯国际集成电路制造(北京)有限公司 半导体装置的制造方法
CN103165455A (zh) * 2011-12-13 2013-06-19 中芯国际集成电路制造(上海)有限公司 制作鳍形场效应晶体管的方法
CN103165455B (zh) * 2011-12-13 2015-09-09 中芯国际集成电路制造(上海)有限公司 制作鳍形场效应晶体管的方法
CN103367253B (zh) * 2012-03-29 2015-03-11 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN103367253A (zh) * 2012-03-29 2013-10-23 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
CN103426882B (zh) * 2012-05-16 2016-05-04 台湾积体电路制造股份有限公司 Cmos器件及其形成方法
CN103426882A (zh) * 2012-05-16 2013-12-04 台湾积体电路制造股份有限公司 Cmos器件及其形成方法
CN104813443A (zh) * 2012-12-20 2015-07-29 英特尔公司 纳米级结构上的外延膜
CN104813443B (zh) * 2012-12-20 2017-11-14 英特尔公司 纳米级结构上的外延膜
US9865684B2 (en) 2012-12-20 2018-01-09 Intel Corporation Nanoscale structure with epitaxial film having a recessed bottom portion
CN104008962A (zh) * 2013-02-27 2014-08-27 台湾积体电路制造股份有限公司 用于缺陷钝化以减少finfet器件的结泄漏的结构和方法
CN104008962B (zh) * 2013-02-27 2017-12-19 台湾积体电路制造股份有限公司 用于缺陷钝化以减少finfet器件的结泄漏的结构和方法
CN104051526A (zh) * 2013-03-13 2014-09-17 台湾积体电路制造股份有限公司 紧邻半导体鳍的沟渠及其形成方法
CN104051526B (zh) * 2013-03-13 2017-05-03 台湾积体电路制造股份有限公司 紧邻半导体鳍的沟渠及其形成方法
CN112020762A (zh) * 2018-04-22 2020-12-01 艾普诺瓦泰克公司 增强型薄膜器件
CN112020762B (zh) * 2018-04-22 2024-04-26 艾普诺瓦泰克公司 增强型薄膜器件
CN110571195A (zh) * 2018-06-05 2019-12-13 中芯国际集成电路制造(上海)有限公司 一种sram及其制造方法和电子装置
CN110571195B (zh) * 2018-06-05 2021-12-21 中芯国际集成电路制造(上海)有限公司 一种sram及其制造方法和电子装置

Also Published As

Publication number Publication date
US20050130358A1 (en) 2005-06-16
US7198995B2 (en) 2007-04-03
JP2005175495A (ja) 2005-06-30
JP4130652B2 (ja) 2008-08-06
CN100356525C (zh) 2007-12-19

Similar Documents

Publication Publication Date Title
CN100356525C (zh) 应变finFET及其制造方法
US6690043B1 (en) Semiconductor device and method of manufacturing the same
US8119472B2 (en) Silicon device on Si:C SOI and SiGe and method of manufacture
US6940089B2 (en) Semiconductor device structure
US7211458B2 (en) Methods of fabricating strained semiconductor-on-insulator field-effect transistors and related devices
US7029964B2 (en) Method of manufacturing a strained silicon on a SiGe on SOI substrate
US20060189096A1 (en) Creation of high mobility channels in thin-body SOI devices
JP3607194B2 (ja) 半導体装置、半導体装置の製造方法、及び半導体基板
CN101068004A (zh) 半导体器件及其制造方法
JP2006524426A (ja) 基板上に歪層を製造する方法と層構造
JP2001257351A (ja) 半導体装置及びその製造方法
US7338886B2 (en) Implantation-less approach to fabricating strained semiconductor on isolation wafers
JP2003249641A (ja) 半導体基板、その製造方法及び半導体装置
JP2009545173A (ja) 埋め込みシリコン炭素を用いてnmosfetの性能を増大させるための隆起したsti構造体及びスーパー・ダマシン技術
JP4296726B2 (ja) 半導体基板の製造方法及び電界効果型トランジスタの製造方法
KR100704146B1 (ko) Soi 기판의 제조방법
JP2001332745A (ja) 半導体装置の製造方法及び半導体装置
JP2004356644A (ja) 半導体基板の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171115

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171115

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right