CN103426882A - Cmos器件及其形成方法 - Google Patents
Cmos器件及其形成方法 Download PDFInfo
- Publication number
- CN103426882A CN103426882A CN2013100026933A CN201310002693A CN103426882A CN 103426882 A CN103426882 A CN 103426882A CN 2013100026933 A CN2013100026933 A CN 2013100026933A CN 201310002693 A CN201310002693 A CN 201310002693A CN 103426882 A CN103426882 A CN 103426882A
- Authority
- CN
- China
- Prior art keywords
- resilient coating
- area
- lattice constant
- semi
- conducting material
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 108
- 239000004065 semiconductor Substances 0.000 claims abstract description 161
- 239000000758 substrate Substances 0.000 claims abstract description 76
- 239000011248 coating agent Substances 0.000 claims description 181
- 238000000576 coating method Methods 0.000 claims description 181
- 230000006835 compression Effects 0.000 claims description 4
- 238000007906 compression Methods 0.000 claims description 4
- 238000002955 isolation Methods 0.000 abstract description 18
- 230000015572 biosynthetic process Effects 0.000 description 17
- 238000004519 manufacturing process Methods 0.000 description 17
- 229920002120 photoresistant polymer Polymers 0.000 description 16
- 239000010410 layer Substances 0.000 description 14
- 238000005530 etching Methods 0.000 description 13
- 239000000463 material Substances 0.000 description 11
- 229910052710 silicon Inorganic materials 0.000 description 11
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 10
- 238000005516 engineering process Methods 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- 239000010703 silicon Substances 0.000 description 9
- 238000001312 dry etching Methods 0.000 description 7
- 229910052732 germanium Inorganic materials 0.000 description 6
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 6
- 239000011810 insulating material Substances 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 238000000151 deposition Methods 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- 244000287680 Garcinia dulcis Species 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 239000008186 active pharmaceutical agent Substances 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 238000010276 construction Methods 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 238000004377 microelectronic Methods 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 230000003139 buffering effect Effects 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 231100000989 no adverse effect Toxicity 0.000 description 1
- 238000001259 photo etching Methods 0.000 description 1
- 230000000750 progressive effect Effects 0.000 description 1
- 238000001953 recrystallisation Methods 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823878—Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0922—Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
公开一种半导体器件和制造半导体器件的方法。示例性半导体器件包括具有第一区域和第二区域的衬底。该半导体器件还包括在位于第一区域中的衬底上方以及第一隔离区域与第二隔离区域之间形成的第一缓冲层,以及在位于第二区域中的衬底上方以及第一隔离区域与第二隔离区域之间形成的第二缓冲层。该半导体器件还包括在位于第一区域中的第一缓冲层上方以及第一隔离区域与第二隔离区域之间形成的第一鳍结构,以及在位于第二区域中的第二缓冲层上方以及第一隔离区域与第二隔离区域之间形成的第二鳍结构。第一缓冲层的顶面不同于第二缓冲层的顶面。本发明提供了CMOS器件及其形成方法。
Description
技术领域
本发明涉及半导体领域,具体而言,涉及CMOS器件及其形成方法。
背景技术
半导体集成电路(IC)产业经历了快速的发展。在IC进展的过程中,功能密度(即,每芯片面积中互连器件的数目)通常增加了,同时几何尺寸(即,使用制造工艺可以制造的最小元件(或线))减小了。这种按比例缩小的工艺通常通过提高生产效率和降低相关成本提供益处。这种按比例缩小也增加了加工和制造IC的复杂性,因此,为了实现这些进步,在IC制造方面需要相似的发展。
例如,随着半导体产业在追求更高的器件密度、更高的性能和更低的成本方面已进展到纳米技术工艺节点,来自制造和设计两方面的挑战导致鳍状场效应晶体管(FinFET)器件的发展。FinFET器件例如可以是包括P型金属氧化物半导体(PMOS)FinFET器件和N型金属氧化物半导体(NMOS)FinFET器件的互补金属氧化物(CMOS)器件。虽然现有的FinFET器件和制造FinFET器件的方法已经足够达到预期目的,但是它们在各个方面并不都尽如人意。
发明内容
为了进一步改进现有技术,本发明提供了一种半导体器件,包括:衬底,包括第一区域和第二区域;第一缓冲层,形成在位于所述第一区域中的所述衬底上方和第一隔离部件与第二隔离部件之间;第二缓冲层,形成在位于所述第二区域中的所述衬底上方和第一隔离部件与第二隔离部件之间;第一鳍结构,形成在位于所述第一区域中的所述第一缓冲层上方和所述第一隔离部件与所述第二隔离部件之间;以及第二鳍结构,形成在位于所述第二区域中的所述第二缓冲层上方和所述第一隔离部件与所述第二隔离部件之间,其中,所述第一缓冲层的顶面不同于所述第二缓冲层的顶面。
所述的半导体器件还包括:第一位错部件,形成在所述第一缓冲层内,所述第一位错部件可通过操作用于松弛所述第一缓冲层;以及第二位错部件,形成在所述第二缓冲层内,所述第二位错部件可通过操作用于松弛所述第二缓冲层。
在所述的半导体器件中,所述第一缓冲层包括第一晶格常数,所述第二缓冲层包括第二晶格常数,所述第一晶格常数和所述第二晶格常数基本相同,所述第一鳍结构包括小于所述第一晶格常数和所述第二晶格常数的第三晶格常数,以及所述第二鳍结构包括大于所述第一晶格常数和所述第二晶格常数的第四晶格常数。
在所述的半导体器件中,所述第一缓冲层包括第一小面,所述第一小面的垂直尺寸小于所述第一鳍结构的宽度的约20%且水平尺寸小于所述第一鳍结构的宽度的约25%,以及所述第二缓冲层包括第二小面,所述第二小面的垂直尺寸小于所述第二鳍结构的宽度的约50%且水平尺寸介于所述第二鳍结构的宽度的约45%到约25%范围内。
在所述的半导体器件中,所述第一缓冲层基本上不包含小面,以及所述第二缓冲层包括垂直尺寸小于约5nm且水平尺寸小于约4.5nm的小面。
在所述的半导体器件中,所述第一缓冲层包括第一小面,所述第一小面的垂直尺寸小于所述第一鳍结构的宽度的约20%且水平尺寸小于所述第一鳍结构的宽度的约25%,以及所述第二缓冲层包括第二小面,所述第二小面的垂直尺寸小于所述第二鳍结构的宽度的约50%且水平尺寸介于所述第二鳍结构的宽度的约45%到约25%范围内,其中:所述第一区域是NMOS区域,以及所述第二区域是PMOS区域。
在所述的半导体器件中,所述第一缓冲层包括第一小面,所述第一小面的垂直尺寸小于所述第一鳍结构的宽度的约20%且水平尺寸小于所述第一鳍结构的宽度的约25%,以及所述第二缓冲层包括第二小面,所述第二小面的垂直尺寸小于所述第二鳍结构的宽度的约50%且水平尺寸介于所述第二鳍结构的宽度的约45%到约25%范围内,其中:所述第一区域是PMOS区域,以及所述第二区域是NMOS区域。
另一方面,本发明提供了一种半导体器件,包括:衬底,包括第一区域和第二区域;隔离部件,形成在位于所述第一区域和所述第二区域中的衬底内;缓冲层,形成在位于所述第一区域中的所述衬底上方和所述隔离部件之间以及位于所述第二区域中的所述衬底上方和所述隔离部件之间;第一半导体材料,形成在位于所述第一区域中的所述缓冲层上方和所述隔离部件之间;第二半导体材料,形成在位于所述第二区域中的所述缓冲层上方和所述隔离部件之间,所述第二半导体材料不同于所述第一半导体材料,其中,位于所述第一区域中的缓冲层的总高度不同于位于所述第二区域中的缓冲层的总高度。
所述的半导体器件还包括在所述缓冲层内形成的可通过操作用于松弛所述第一区域和所述第二区域中的缓冲层的位错部件。
所述的半导体器件还包括在所述缓冲层内形成的可通过操作用于松弛所述第一区域和所述第二区域中的缓冲层的位错部件,其中:所述第一半导体材料处于拉伸应力中,以及所述第二半导体材料处于压缩应力中。
所述的半导体器件还包括在所述缓冲层内形成的可通过操作用于松弛所述第一区域和所述第二区域中的缓冲层的位错部件,其中:所述衬底包括第一晶格常数,所述缓冲层包括大于所述第一晶格常数的第二晶格常数,所述第一半导体材料包括小于所述第二晶格常数的第三晶格常数,以及所述第二半导体材料包括大于所述第二晶格常数的第四晶格常数。
所述的半导体器件还包括在所述缓冲层内形成的可通过操作用于松弛所述第一区域和所述第二区域中的缓冲层的位错部件,其中:所述衬底包括硅(Si),所述缓冲层包括硅锗(SiGe),所述第一半导体材料包括硅(Si),以及所述第二半导体材料包括锗(Ge)。
所述的半导体器件还包括在所述缓冲层内形成的可通过操作用于松弛所述第一区域和所述第二区域中的缓冲层的位错部件,其中:所述衬底包括第一晶格常数,所述缓冲层包括大于所述第一晶格常数的第二晶格常数,所述第一半导体材料包括小于所述第二晶格常数的第三晶格常数,以及所述第二半导体材料包括大于所述第二晶格常数的第四晶格常数,其中,位于所述第一区域中的缓冲层的总高度小于位于所述第二区域中的缓冲层的总高度。
所述的半导体器件还包括在所述缓冲层内形成的可通过操作用于松弛所述第一区域和所述第二区域中的缓冲层的位错部件,其中:所述衬底包括第一晶格常数,所述缓冲层包括大于所述第一晶格常数的第二晶格常数,所述第一半导体材料包括小于所述第二晶格常数的第三晶格常数,以及所述第二半导体材料包括大于所述第二晶格常数的第四晶格常数,其中,位于所述第一区域中的缓冲层的总高度大于位于所述第二区域中的缓冲层的总高度。
在所述的半导体器件中,位于所述第一区域中的缓冲层包括垂直尺寸小于约2nm且水平尺寸小于约2.5nm的小面,以及位于所述第二区域中的缓冲层包括垂直尺寸介于约5nm到约2nm范围内且水平尺寸介于约4.5nm到约2.5nm范围内的小面。
又一方面,本发明提供了一种形成半导体器件的方法,包括:提供包括NMOS区域和PMOS区域的衬底;在位于所述NMOS区域和所述PMOS区域中的衬底内形成隔离部件;使位于所述NMOS区域中的隔离部件之间和位于所述PMOS区域中的隔离部件之间的衬底凹陷;在所述NMOS区域和所述PMOS区域中在凹陷的所述衬底上方且在所述隔离部件之间形成缓冲层;在位于所述NMOS区域和所述PMOS区域中的缓冲层上方形成第一半导体材料;去除位于所述NMOS区域中的所述第一半导体材料和所述缓冲层的顶部;在位于所述NMOS区域中的缓冲层上方形成第二半导体材料;以及使所述隔离部件凹陷从而在NMOS区域和所述PMOS区域中限定鳍结构。
所述的方法还包括在位于所述NMOS区域和所述PMOS区域中的缓冲层内形成位错,其中所述位错可通过操作用于减小所述缓冲层内的应力。
所述的方法还包括在位于所述NMOS区域和所述PMOS区域中的缓冲层内形成位错,其中所述位错可通过操作用于减小所述缓冲层内的应力,其中,去除位于所述NMOS区域中的所述第一半导体材料和所述缓冲层的顶部包括:在所述半导体器件上方形成掩模;以及使用所述掩模蚀刻位于所述NMOS区域中的所述第一半导体材料和所述缓冲层的顶部。
所述的方法还包括在位于所述NMOS区域和所述PMOS区域中的缓冲层内形成位错,其中所述位错可通过操作用于减小所述缓冲层内的应力,其中,去除位于所述NMOS区域中的所述第一半导体材料和所述缓冲层的顶部包括:在所述半导体器件上方形成掩模;以及使用所述掩模蚀刻位于所述NMOS区域中的所述第一半导体材料和所述缓冲层的顶部,其中,蚀刻位于所述NMOS区域中的缓冲层的顶部将所述NMOS区域中的小面的垂直尺寸减小到小于约2nm。
所述的方法还包括在位于所述NMOS区域和所述PMOS区域中的缓冲层内形成位错,其中所述位错可通过操作用于减小所述缓冲层内的应力,其中,去除位于所述NMOS区域中的所述第一半导体材料和所述缓冲层的顶部包括:在所述半导体器件上方形成掩模;以及使用所述掩模蚀刻位于所述NMOS区域中的所述第一半导体材料和所述缓冲层的顶部,其中,蚀刻位于所述NMOS区域中的缓冲层的顶部将所述NMOS区域中的小面的垂直尺寸减小到小于约2nm,位于所述PMOS区域中的缓冲层包括垂直尺寸小于约5nm的小面,并且所述PMOS区域中的小面的垂直尺寸大于所述NMOS区域中的小面的垂直尺寸。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制并且仅用于说明的目的。实际上,为了清楚地讨论,各种部件的尺寸可以被任意增大或减小。
图1是示出根据本发明的各个方面制造半导体器件的方法的流程图。
图2-图9示出根据图1的方法在各个制造阶段的半导体器件的一个实施例的示意性截面侧视图。
图10A和图10B分别示出图9的区域A和区域B的示意性截面侧视图。
具体实施方式
为了实施本申请的不同部件,以下公开内容提供了许多不同的实施例或实例。在下面描述元件和布置的特定实例以简化本发明。当然这些仅是实例并不打算限定。例如,第一部件在第二部件上方或者在第二部件上的形成可以包括其中第一和第二部件以直接接触形成的实施例,并且也可以包括其中可以在第一和第二部件之间形成额外的部件,使得第一和第二部件可以不直接接触的实施例。此外,本发明在各个实例中可以重复参考编号和/或字母。这种重复是为了简明和清楚的目的,并且其本身并不指定所论述的各个实施例和/或配置之间的关系。而且,本文所公开的部件可以以不同于本文所示示例性实施例的方式进行布置、组合或配置,而不背离本发明的范围。应该理解,本领域普通技术人员将能够设想出尽管在本文中未明确描述但体现了本申请原理的各种等效物。
可以从本申请的一个或多个实施例受益的器件的实例是半导体器件。这种器件例如是鳍状场效应晶体管(FinFET)。FinFET器件例如可以是包括P型金属氧化物半导体(PMOS)FinFET器件和N型金属氧化物半导体(NMOS)FinFET器件的互补金属氧化物(CMOS)器件。以下公开内容将继续用CMOS FinFET实例来示出本申请的各个实施例。然而,应该理解,除非明确声明,本申请不应该局限于具体类型的器件。
参考图1和图2-图9,下面共同描述了方法100和半导体器件200。图1是根据本发明的各个方面制造集成电路器件的方法100的流程图。在本实施例中,方法100用于制造包括CMOS FinFET器件的集成电路器件。方法100开始于框102,在框102中,提供衬底。衬底包括NMOS区域和PMOS区域。方法继续进行至框104,在框104中,在NMOS区域和PMOS区域中形成隔离部件。方法继续进行至框106,其中,对衬底进行深蚀刻以露出NMOS区域和PMOS区域内的隔离部件的侧壁。方法继续进行至框108,其中,在衬底上方以及在位于NMOS区域和PMOS区域中的隔离部件的侧壁上形成第一半导体材料。方法继续进行至框110,其中,在第一半导体材料上方以及在位于NMOS区域和PMOS区域中的隔离部件的侧壁上形成第二半导体材料。方法继续进行至框112,其中在衬底上实施平坦化工艺以去除多余的第二半导体材料,并在位于PMOS区域中的衬底上方形成掩模。方法100继续进行至框114,其中从NMOS区域去除第二半导体材料从而露出第一半导体材料的顶面,并且在第一半导体材料上方以及在位于NMOS区域中的隔离部件的侧壁上形成第三半导体材料。从NMOS区域去除第二半导体材料,包括去除位于NMOS区域中的部分衬底。方法继续进行至框116,其中在衬底上实施平坦化工艺以去除多余的第三半导体材料和掩模,并且在NMOS区域和PMOS区域中对隔离部件进行深蚀刻,从而在NMOS区域和PMOS区域中限定鳍结构。方法继续进行至框118,其中完成集成电路器件的制造。
除此之外,完成制造工艺可以包括在鳍结构的沟道区上方形成栅极堆叠件,并且在半导体器件的S/D区域中形成源极和漏极(S/D)部件。形成栅极堆叠件可以包括先栅极工艺或后栅极工艺。例如,在先栅极工艺中,形成栅极堆叠件可以包括在鳍结构上方沉积介电层,在介电层上方形成栅极结构(例如,栅电极),以及在栅极结构的壁上且邻近半导体器件的S/D区域形成栅极间隔件。此后,可以通过使位于S/D区域中的半导体材料凹陷并且在S/D区域中沉积掺杂的半导体材料形成S/D部件。掺杂的半导体材料的沉积可以包括外延生长半导体材料。可以在方法100之前、期间和之后提供其它步骤,并且对于本方法的其它实施例,所述的一些步骤可以被替换或去除。以下论述示出了根据图1的方法100可以制造的半导体器件的各个实施例。
图2-图9示出根据图1的方法在各个制造阶段的半导体器件的一个实施例的示意性截面侧视图;图10A和图10B分别示出图9的区域A和区域B的示意性截面侧视图。在本发明中,半导体器件是CMOS FinFET器件200。CMOS FinFET器件200包括NMOS区域202和PMOS区域204。CMOSFinFET器件200可以包含在微处理器、存储器单元和/或其它集成电路器件中。为清楚起见,简化了图2-图10以便更好地理解本发明的发明构思。可在CMOS FinFET器件200中加入其它部件,并且下面所述的一些部件在CMOS FinFET器件200的其它实施例中可以被替换或去除。
参照图2,CMOS FinFET器件200包括衬底210。衬底210是块状硅衬底。可选地,衬底210包含元素半导体,诸如晶体结构的硅或锗;化合物半导体,诸如硅锗、碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;或它们的组合。可选地,衬底210包括绝缘体上硅(SOI)衬底。可以使用注氧隔离(SIMOX)、晶圆接合和/或其它合适的方法制造SOI衬底。衬底210可以包括各种掺杂区域和其它合适的部件。
仍然参照图2,在衬底210内形成隔离部件212,用于隔离衬底210的各种区域,而在本实施例中,用于隔离NMOS区域202和PMOS区域204。隔离部件212利用隔离技术(诸如硅的局部氧化(LOCOS)、浅沟槽隔离(STI)或任何合适的隔离技术)来限定并电隔离各种区域。在本实施例中,隔离部件212是STI部件。
可以通过任何合适的工艺形成隔离部件212。形成隔离部件212包括,例如,在衬底210内形成多个开口(或沟槽)。形成开口(或沟槽)可以包括使光刻胶层暴露于图案,实施曝光后烘焙工艺,以及使光刻胶层显影以形成掩模元件。在一些实施例中,光刻胶层图案化可以包括光刻胶涂布、软烘焙、掩模对准、曝光图案、曝光后烘焙、光刻胶显影以及硬烘焙的工艺步骤。在一些实施例中,也可以通过诸如无掩模光刻、电子束写入、离子束写入和分子印迹的其它适当方法来实施或替代图案化。
在图案化光刻胶层之后,使用图案化的光刻胶蚀刻衬底210以形成多个开口(或沟槽)。蚀刻工艺可以包括湿蚀刻工艺、干蚀刻工艺或它们的组合。蚀刻工艺可以使用反应离子蚀刻(RIE)和/或其它合适的工艺。在一个实例中,用于蚀刻衬底210的干蚀刻工艺包括含氟气体化学物质,诸如CF4、SF6、NF3或任何合适的气体。在衬底210内形成多个开口(或沟槽)之后,通过任何合适的工艺去除光刻胶层。例如,可以通过液体“光刻胶剥离剂”去除光刻胶层,该“光刻胶剥离剂”通过化学方法改变光刻胶从而使其不再粘附于下面的硬掩模。可选地,可以通过使其氧化的含氧等离子体去除光刻胶层。
在下文在衬底210内的多个开口(或沟槽)内沉积绝缘材料从而形成隔离部件212。作为实例,绝缘材料包括介电材料。介电材料包括诸如氧化硅、氮化硅、氮氧化硅、低k材料、气隙、其它合适的材料或它们的任意组合的材料。可以通过CVD工艺沉积绝缘材料。在各个实例中,可以通过原子层沉积(ALD)、高密度等离子体CVD(HDPCVD)、其它合适的方法、和/或它们的组合形成绝缘材料。可以可选地通过高纵横比工艺(HARP)形成绝缘材料。CVD工艺例如可以使用包括诸如六氯乙硅烷(HCD或Si2Cl6)、二氯甲硅烷(DCS或SiH2Cl2)、双(叔丁基氨基)硅烷(BTBAS或C8H22N2Si)和乙硅烷(DS或Si2H6)的化学物质。在一些实施例中,绝缘材料可以具有多层结构,例如,在衬垫上方形成有氮化硅或氧化硅的热氧化衬垫层。在形成隔离部件212之后,在CMOS FinFET器件200上实施平坦化工艺以去除多余部分的绝缘材料。在一个实施例中,平坦化工艺包括化学机械抛光(CMP)工艺。
参照图3,在NMOS区域202和PMOS区域204中,使隔离部件212之间的衬底210凹陷,从而露出隔离部件212的侧壁。使衬底210凹陷可以包括任何合适的工艺。在本实施例中,例如,使衬底210凹陷包括蚀刻工艺。蚀刻工艺可以包括湿蚀刻工艺、干蚀刻工艺或它们的组合。在一个实例中,用于蚀刻衬底210的干蚀刻工艺包括含氟气体。
参照图4,形成缓冲层214。缓冲层214提供从衬底210的材料到后续形成的鳍结构的材料的缓冲/过渡。形成缓冲层214可以包括在NMOS区域202和PMOS区域204中在衬底210上方且在隔离部件212之间外延生长半导体材料。
缓冲层214的半导体材料可以包括任何合适的半导体材料。在本实施例中,缓冲层214的半导体材料包括硅锗(SiGe)。作为实例,锗的浓度可以介于约45%到约55%的范围内,介于约25%到约75%的范围内,或者可以是任何合适的浓度。在本实施例中,缓冲层214的半导体材料包括浓度为约50%的锗(即Si.5Ge.5)。缓冲层214的半导体材料(例如SiGe)具有不同于衬底210的材料(例如Si)的晶格常数的第一晶格常数。
在本实施例中,当通过在缓冲层214的半导体材料的晶体结构内形成位错216释放应力(该应力是由于衬底210和缓冲层214之间的晶格不匹配引起的)时,松弛缓冲层210的半导体材料。作为实例,可以通过在外延生长工艺期间调节诸如温度和压力的参数或者通过经由包括退火工艺的工艺再结晶外延生长的半导体材料来形成位错216。用于沉积缓冲层214的半导体材料的外延生长工艺导致在缓冲层214的顶面上形成小面(facet)(在[111]方向上具有约50度到约60度的角度的角部)。
仍参照图4,在缓冲层214上方形成鳍结构218。可以通过任何合适的工艺形成鳍结构218。作为实例,形成鳍结构218包括在NMOS区域202和PMOS区域204中在缓冲层214上方且在隔离部件212之间外延生长半导体材料。鳍结构218的半导体材料可以包括任何合适的半导体材料。作为实例,在本实施例中,鳍结构218的半导体材料包括锗(Ge)。
鳍结构218的半导体材料具有不同于缓冲层214的半导体材料的第一晶格常数的第二晶格常数。在本实施例中,鳍结构218的半导体材料(例如Ge)的第二晶格常数大于缓冲层214的半导体材料(例如SiGe)的第一晶格常数。由于晶格不匹配,鳍结构218遭受由晶格不匹配产生的压缩应力。
参照图5,在CMOS FinFET器件200上实施平坦化工艺以去除鳍结构218的半导体材料的多余部分。作为实例,平坦化工艺包括化学机械抛光(CMP)工艺。
参照图6,在PMOS区域204上方形成掩模220。掩模220可以包括硬掩模和/或光刻胶。作为实例,可以通过任何合适的工艺形成达到任何合适厚度的掩模220。在本实施例中,掩模220是通过CVD工艺形成的硬掩模。在各个实例中,可以通过原子层沉积(ALD)、高密度等离子体CVD(HDPCVD)、其它合适的方法和/或它们的组合形成掩模220。CVD工艺例如可以使用包括诸如六氯乙硅烷(HCD或Si2Cl6)、二氯甲硅烷(DCS或SiH2Cl2)、双(叔丁基氨基)硅烷(BTBAS或C8H22N2Si)和乙硅烷(DS或Si2H6)的化学物质。可以通过诸如光刻和蚀刻工艺的任何合适工艺图案化掩模220,从而使得掩模220只位于PMOS区域204的上方。光刻工艺例如可以包括沉积光刻胶层、使光刻胶层暴露于图案、实施曝光后烘焙工艺、使光刻胶层显影以及用图案化的光刻胶层蚀刻掩模220。
在形成掩模220后,从NMOS区域202去除鳍结构218的半导体材料和缓冲层214的顶部(包括缓冲层214的所有或部分的小面)。作为实例,去除鳍结构218的半导体材料和部分缓冲层214包括蚀刻工艺。蚀刻工艺可以包括湿蚀刻工艺、干蚀刻工艺或它们的组合。在一个实例中,用于蚀刻鳍结构218的半导体材料和缓冲层214的顶部的干蚀刻工艺包括含氟气体。如下面更详细描述的,缓冲层214的部分顶部的去除导致NMOS区域202的缓冲层214与PMOS区域204的缓冲层214不对称。
参照图7,在NMOS区域202中的缓冲层214上方形成鳍结构222。可以通过任何合适的工艺形成鳍结构222。作为实例,形成鳍结构222包括在NMOS区域202中在缓冲层214上方且在隔离部件212之间外延生长半导体材料。鳍结构222的半导体材料可以包括任何合适的半导体材料。作为实例,在本实施例中,鳍结构222的半导体材料包括硅(Si)。
鳍结构222的半导体材料具有第三晶格常数,第三晶格常数不同于缓冲层214的半导体材料的第一晶格常数以及PMOS区域204的鳍结构218的半导体材料的第二晶格常数。在本实施例中,鳍结构222的半导体材料(例如Si)的第三晶格常数小于缓冲层214的半导体材料(例如SiGe)的第一晶格常数并且小于鳍结构218(例如Ge)的第二晶格常数。由于晶格不匹配,鳍结构222遭受拉伸应力。
参照图8,在CMOS FinFET器件200上实施平坦化工艺以去除鳍结构222的半导体材料的多余部分和掩模220。作为实例,平坦化工艺包括化学机械抛光(CMP)工艺。在可选的实施例中,通过分离工艺去除掩模220。
参照图9,使隔离部件212凹陷从而分别在NMOS区域202和PMOS区域204中限定鳍结构。可以通过深蚀刻工艺使隔离部件凹陷。深蚀刻工艺可以包括湿蚀刻工艺、干蚀刻工艺或它们的组合。
参照图10A和图10B,分别示出图9的区域A和区域B的示意性截面侧视图。参照图10A,区域A包括NMOS器件,该NMOS器件包括缓冲层214,缓冲层214包括可通过操作用来缓解缓冲层214中的应力的位错216。缓冲层214具有宽度W1、包括具有水平尺寸L1和垂直尺寸V1的两个小面(在[111]方向上)的顶面。在本实施例中,L1的值小于宽度W1值的约25%,并且V1的值小于宽度W1值的约20%。作为实例,宽度W1可以是约15nm,水平尺寸L1可以小于约3.75nm,垂直尺寸V1可以小于约3nm。在本实施例中,宽度W1是约10nm,水平尺寸L1小于约2.5nm,以及垂直尺寸V1小于约2nm。在可选的实施例中,缓冲层214具有没有小面的基本上平坦的顶面。应该理解,以上尺寸仅是示例性的,并且随着器件尺寸增大或减小,相应的尺寸(例如,W1、L1和V1)也将会分别成比例地增大或减小。例如,如果器件的尺寸加倍,本实施例中的缓冲层214的宽度W1加倍,并且NMOS器件的缓冲层214的小面的水平尺寸L1和垂直尺寸V1的相应范围值也将同样地加倍。
参照图10B,区域B包括PMOS器件,该PMOS器件包括缓冲层214,该缓冲层214包括可通过操作用来缓解缓冲层214中的应力的位错216。缓冲层214具有宽度W2、包括具有水平尺寸L2和垂直尺寸V2的两个小面(在[111]方向上)的顶面。在本实施例中,垂直尺寸V2的值可以小于宽度W2值的约60%,并且水平尺寸L2的值可以小于宽度W2值的约50%。作为实例,宽度W2可以是约15nm,水平尺寸L2可以小于约9nm,垂直尺寸V2小于约7.5nm。在本实施例中,宽度W2是约10nm,水平尺寸L2介于约4.5nm到约2.5nm的范围内,垂直尺寸V2小于约5nm。在某些实施例中,缓冲层214具有包含两个小面且无平直顶部的基本上非常尖的顶面。应该理解,上述尺寸仅是示例性的,并且随着器件尺寸的增大或减小,相应的尺寸(例如W2、L2和V2)也将分别成比例地增大或减小。例如,如果器件的尺寸加倍,本实施例中的缓冲层214的宽度W2加倍,并且PMOS器件的缓冲层214的小面的水平尺寸L2和垂直尺寸V2的相应范围值也将同样地加倍。
如图10A和10B所示,由方法100的上述工艺步骤得到的NMOS器件和PMOS器件的缓冲层214的顶部(包括小面)存在不对称性。而且,NMOS器件的缓冲层214包括总高度H1,该总高度H1小于PMOS器件的缓冲层214的总高度H2。
应该理解,虽然为简明起见本实施例仅示出一个NMOS器件和一个PMOS器件,但是在CMOS FinFET器件200内可以形成任意数目的这些器件。而且,应该理解,虽然上述方法100首先加工PMOS区域204(例如,首先形成PMOS器件的鳍218,遮蔽PMOS区域204,然后蚀刻并形成NMOS器件的鳍222),但是本发明的实施例可以同样地适用于其中首先加工NMOS区域202(例如,首先形成NMOS器件的鳍222,遮蔽NMOS区域204,然后蚀刻并形成PMOS器件的鳍218)的可选实施例。在这些可选实施例中,如图10A和10B所示,PMOS器件将具有区域A的尺寸而NMOS器件将具有区域B的尺寸。
CMOS FinFET器件200可以包括可以通过后续加工形成的其他部件。例如,后续加工可以进一步在衬底上形成各种接触件/通孔/线和多层互连部件(例如,金属层和层间电介质),配置成连接器件的各种部件或结构。这些其他部件可以提供与器件的电互连。例如,多层互连包括诸如常规通孔或接触件的垂直互连件以及诸如金属线的水平互连件。各种互连部件可以应用包括铜、钨和/或硅化物的各种导电材料。在一个实例中,镶嵌和/或双镶嵌工艺用于形成铜相关多层互连结构。在另一实施例中,钨用于形成接触孔中的钨插塞。
所公开的半导体器件200可以用于各种应用中,诸如数字电路、图像传感器器件、异质半导体器件、动态随机存取存储器(DRAM)单元、单电子晶体管(SET)和/或其它微电子器件(在此统称为微电子器件)。当然,本发明的各个方面也适用于和/或容易地适应于其它类型的晶体管,包括单栅极晶体管、双栅极晶体管和其它多栅极晶体管,并且可以应用于多种不同的应用,包括传感器单元、存储器单元、逻辑单元和其它。
上述方法100提供一种独特的CMOS FinFET器件200,并且与传统的制造方法相比显著减少制造步骤而对器件性能无不利影响。例如,因为方法100提供了同时适用于CMOS FinFET器件200的NMOS区域202和PMOS区域204的工艺步骤,所以避免了其他图案化步骤和多个分开的蚀刻步骤。因此,所公开的实施例提供了一种独特的CMOS FinFET器件200和在制造工艺期间减小循环时间并且节省大量成本的方法100。应该理解,不同的实施例可以具有不同的优点,并且没有特定的优点是任何实施例所必需的。
因此,提供一种半导体器件。示例性半导体器件包括衬底,该衬底包括第一区域和第二区域。半导体器件还包括在位于第一区域中的衬底上方以及在第一隔离区域与第二隔离区域之间形成的第一缓冲层,以及在位于第二区域中的衬底上方以及在第一隔离区域与第二隔离区域之间形成的第二缓冲层。半导体器件还包括在位于第一区域中的第一缓冲层上方以及在第一隔离区域与第二隔离区域之间形成的第一鳍结构,以及在位于第二区域中的第二缓冲层上方以及在第一隔离区域和第二隔离区域之间形成的第二鳍结构。第一缓冲层的顶面不同于第二缓冲层的顶面。
在一些实施例中,半导体器件还包括在第一缓冲层内形成的可通过操作用于松弛第一缓冲层的第一位错部件,以及在第二缓冲层内形成的可通过操作用于松弛第二缓冲层的第二位错部件。
在一些实施例中,第一缓冲层包括第一晶格常数,第二缓冲层包括第二晶格常数,第一晶格常数和第二晶格常数基本相同;第一鳍结构包括小于第一晶格常数和第二晶格常数的第三晶格常数;以及第二鳍结构包括大于第一晶格常数和第二晶格常数的第四晶格常数。在各个实施例中,第一缓冲层包括垂直尺寸小于第一鳍结构的宽度的约20%且水平尺寸小于第一鳍结构的宽度的约25%的第一小面;以及第二缓冲层包括垂直尺寸小于第二鳍结构的宽度的约50%且水平尺寸介于第二鳍结构的宽度的约45%到约25%范围内的第二小面。在某些实施例中,第一缓冲层基本上不包含小面,第二缓冲层包括垂直尺寸小于约5nm且水平尺寸小于约4.5nm的小面。在另外的实施例中,第一区域是NMOS区域,第二区域是PMOS区域。在又一些实施例中,第一区域是PMOS区域,第二区域是NMOS区域。
还提供了另一种半导体器件。该半导体器件包括衬底,该衬底包括第一区域和第二区域。该半导体器件还包括位于第一区域和第二区域中的在衬底内形成的隔离部件。该半导体器件还包括在位于第一区域的衬底上方和隔离部件之间以及在位于第二区域中的衬底上方和隔离部件之间形成的缓冲层。该半导体器件还包括在位于第一区域中的缓冲层上方和隔离部件之间形成的第一半导体材料,以及在位于第二区域中的缓冲层上方和隔离部件之间形成的第二半导体材料,第二半导体材料不同于第一半导体材料。位于第一区域中的缓冲层的总高度不同于位于第二区域中的缓冲层的总高度。
在一些实施例中,半导体器件还包括在缓冲层内形成的可通过操作用于松弛第一区域和第二区域中的缓冲层的位错部件。
在一些实施例中,第一半导体材料处在拉伸应力中,而第二半导体材料处在压缩应力中。在各个实施例中,衬底包括第一晶格常数,缓冲层包括大于第一晶格常数的第二晶格常数,第一半导体材料包括小于第二晶格常数的第三晶格常数,以及第二半导体材料包括大于第二晶格常数的第四晶格常数。在某些实施例中,衬底包括硅(Si),缓冲层包括硅锗(SiGe),第一半导体材料包括硅(Si),以及第二半导体材料包括锗(Ge)。在另外的实施例中,位于第一区域中的缓冲层的总高度小于位于第二区域中的缓冲层的总高度。在一些实施例中,位于第一区域中的缓冲层的总高度大于位于第二区域中的缓冲层的总高度。在各个实施例中,位于第一区域中的缓冲层包括垂直尺寸小于约2nm且水平尺寸小于约2.5nm的小面,以及位于第二区域中的缓冲层包括垂直尺寸介于约5nm到约2nm范围内且水平尺寸介于约4.5nm到约2.5nm范围内的小面。
还提供一种方法。该方法包括提供包括NMOS区域和PMOS区域的衬底。方法还包括在位于NMOS区域和PMOS区域中的衬底内形成隔离部件。该方法还包括使位于NMOS区域中的隔离部件之间和位于PMOS区域中的隔离部件之间的衬底凹陷。方法还包括在NMOS区域和PMOS区域中在凹陷的衬底上方且在隔离部件之间形成缓冲层。该方法还包括在位于NMOS区域和PMOS区域中的缓冲层上方形成第一半导体材料。该方法还包括去除位于NMOS区域中的第一半导体材料和缓冲层的顶部。该方法还包括在位于NMOS区域中的缓冲层上方形成第二半导体材料。该方法还包括使隔离部件凹陷从而在NMOS区域和PMOS区域中限定鳍结构。
在一些实施例中,该方法还包括在缓冲层内形成可通过操作用于减小缓冲层内应力的位错。
在一些实施例中,去除位于NMOS区域中的第一半导体材料和缓冲层的顶部包括:在半导体器件上方形成掩模;以及使用掩模蚀刻位于NMOS区域中的第一半导体材料和缓冲层的顶部。在各个实施例中,蚀刻位于NMOS区域中的缓冲层的顶部将NMOS区域中的小面的垂直尺寸减小到小于约2nm。在另外的实施例中,位于PMOS区域中的缓冲层包括垂直尺寸小于约5nm的小面,并且PMOS区域中的小面的垂直尺寸大于位于NMOS区域中的小面的垂直尺寸。
上面论述了若干实施例的部件,使得本领域技术人员可以更好地理解本发明的各个方面。本领域技术人员应该理解,他们可以很容易地使用本发明作为基础来设计或更改其他用于达到与本文所介绍实施例相同的目的和/或实现相同优点的工艺和结构。本领域技术人员还应该意识到,这些等效构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,可以对其进行多种变化、替换以及改变。
Claims (10)
1.一种半导体器件,包括:
衬底,包括第一区域和第二区域;
第一缓冲层,形成在位于所述第一区域中的所述衬底上方和第一隔离部件与第二隔离部件之间;
第二缓冲层,形成在位于所述第二区域中的所述衬底上方和第一隔离部件与第二隔离部件之间;
第一鳍结构,形成在位于所述第一区域中的所述第一缓冲层上方和所述第一隔离部件与所述第二隔离部件之间;以及
第二鳍结构,形成在位于所述第二区域中的所述第二缓冲层上方和所述第一隔离部件与所述第二隔离部件之间,
其中,所述第一缓冲层的顶面不同于所述第二缓冲层的顶面。
2.根据权利要求1所述的半导体器件,还包括:
第一位错部件,形成在所述第一缓冲层内,所述第一位错部件可通过操作用于松弛所述第一缓冲层;以及
第二位错部件,形成在所述第二缓冲层内,所述第二位错部件可通过操作用于松弛所述第二缓冲层。
3.根据权利要求1所述的半导体器件,其中:
所述第一缓冲层包括第一晶格常数,
所述第二缓冲层包括第二晶格常数,
所述第一晶格常数和所述第二晶格常数基本相同,
所述第一鳍结构包括小于所述第一晶格常数和所述第二晶格常数的第三晶格常数,以及
所述第二鳍结构包括大于所述第一晶格常数和所述第二晶格常数的第四晶格常数。
4.根据权利要求1所述的半导体器件,其中,所述第一缓冲层包括第一小面,所述第一小面的垂直尺寸小于所述第一鳍结构的宽度的约20%且水平尺寸小于所述第一鳍结构的宽度的约25%,以及
所述第二缓冲层包括第二小面,所述第二小面的垂直尺寸小于所述第二鳍结构的宽度的约50%且水平尺寸介于所述第二鳍结构的宽度的约45%到约25%范围内。
5.根据权利要求1所述的半导体器件,其中:
所述第一缓冲层基本上不包含小面,以及
所述第二缓冲层包括垂直尺寸小于约5nm且水平尺寸小于约4.5nm的小面。
6.一种半导体器件,包括:
衬底,包括第一区域和第二区域;
隔离部件,形成在位于所述第一区域和所述第二区域中的衬底内;
缓冲层,形成在位于所述第一区域中的所述衬底上方和所述隔离部件之间以及位于所述第二区域中的所述衬底上方和所述隔离部件之间;
第一半导体材料,形成在位于所述第一区域中的所述缓冲层上方和所述隔离部件之间;
第二半导体材料,形成在位于所述第二区域中的所述缓冲层上方和所述隔离部件之间,所述第二半导体材料不同于所述第一半导体材料,
其中,位于所述第一区域中的缓冲层的总高度不同于位于所述第二区域中的缓冲层的总高度。
7.根据权利要求6所述的半导体器件,还包括:
在所述缓冲层内形成的可通过操作用于松弛所述第一区域和所述第二区域中的缓冲层的位错部件。
8.根据权利要求7所述的半导体器件,其中:
所述第一半导体材料处于拉伸应力中,以及
所述第二半导体材料处于压缩应力中。
9.根据权利要求7所述的半导体器件,其中:
所述衬底包括第一晶格常数,
所述缓冲层包括大于所述第一晶格常数的第二晶格常数,
所述第一半导体材料包括小于所述第二晶格常数的第三晶格常数,以及
所述第二半导体材料包括大于所述第二晶格常数的第四晶格常数。
10.一种形成半导体器件的方法,包括:
提供包括NMOS区域和PMOS区域的衬底;
在位于所述NMOS区域和所述PMOS区域中的衬底内形成隔离部件;
使位于所述NMOS区域中的隔离部件之间和位于所述PMOS区域中的隔离部件之间的衬底凹陷;
在所述NMOS区域和所述PMOS区域中在凹陷的所述衬底上方且在所述隔离部件之间形成缓冲层;
在位于所述NMOS区域和所述PMOS区域中的缓冲层上方形成第一半导体材料;
去除位于所述NMOS区域中的所述第一半导体材料和所述缓冲层的顶部;
在位于所述NMOS区域中的缓冲层上方形成第二半导体材料;以及
使所述隔离部件凹陷从而在NMOS区域和所述PMOS区域中限定鳍结构。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/473,149 US8680576B2 (en) | 2012-05-16 | 2012-05-16 | CMOS device and method of forming the same |
US13/473,149 | 2012-05-16 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN103426882A true CN103426882A (zh) | 2013-12-04 |
CN103426882B CN103426882B (zh) | 2016-05-04 |
Family
ID=48915447
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201310002693.3A Active CN103426882B (zh) | 2012-05-16 | 2013-01-05 | Cmos器件及其形成方法 |
Country Status (4)
Country | Link |
---|---|
US (2) | US8680576B2 (zh) |
KR (1) | KR101393917B1 (zh) |
CN (1) | CN103426882B (zh) |
DE (1) | DE102012108015B3 (zh) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105304490A (zh) * | 2014-07-23 | 2016-02-03 | 联华电子股份有限公司 | 半导体结构的制作方法 |
CN105849905A (zh) * | 2013-12-28 | 2016-08-10 | 德克萨斯仪器股份有限公司 | 高迁移率晶体管 |
CN105990255A (zh) * | 2015-03-16 | 2016-10-05 | 台湾积体电路制造股份有限公司 | 半导体装置的制造方法 |
CN109671675A (zh) * | 2017-10-16 | 2019-04-23 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
Families Citing this family (96)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9064892B2 (en) | 2011-08-30 | 2015-06-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices utilizing partially doped stressor film portions and methods for forming the same |
US9142400B1 (en) | 2012-07-17 | 2015-09-22 | Stc.Unm | Method of making a heteroepitaxial layer on a seed area |
US20140054646A1 (en) * | 2012-08-24 | 2014-02-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and Method for Multiple Gate Transistors |
US9362406B2 (en) * | 2012-12-12 | 2016-06-07 | Taiwan Semiconductor Manufacturing Company Limited | Faceted finFET |
US9368619B2 (en) | 2013-02-08 | 2016-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for inducing strain in vertical semiconductor columns |
US9466668B2 (en) | 2013-02-08 | 2016-10-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Inducing localized strain in vertical nanowire transistors |
US9184233B2 (en) * | 2013-02-27 | 2015-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for defect passivation to reduce junction leakage for finFET device |
JP6251604B2 (ja) * | 2013-03-11 | 2017-12-20 | ルネサスエレクトロニクス株式会社 | フィンfet構造を有する半導体装置及びその製造方法 |
US9209247B2 (en) | 2013-05-10 | 2015-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned wrapped-around structure |
US9472652B2 (en) | 2013-12-20 | 2016-10-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin structure of semiconductor device |
US9590090B2 (en) | 2014-01-08 | 2017-03-07 | Taiwan Semiconductor Manufacturing Company Limited | Method of forming channel of gate structure |
US9548303B2 (en) | 2014-03-13 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices with unique fin shape and the fabrication thereof |
US9461170B2 (en) | 2014-04-23 | 2016-10-04 | Taiwan Semiconductor Manufacturing Company Ltd. | FinFET with ESD protection |
US20150380258A1 (en) * | 2014-06-25 | 2015-12-31 | Stmicroelectronics, Inc. | Method for controlling height of a fin structure |
US9299803B2 (en) | 2014-07-16 | 2016-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for semiconductor device fabrication |
DE102015100860A1 (de) | 2014-08-22 | 2016-02-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metallunempfindliche Epitaxiebildung |
US10263108B2 (en) | 2014-08-22 | 2019-04-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal-insensitive epitaxy formation |
US9450093B2 (en) | 2014-10-15 | 2016-09-20 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device structure and manufacturing method thereof |
EP3018715B1 (en) | 2014-11-05 | 2024-10-23 | IMEC vzw | Method for manufacturing a transistor device comprising a germanium channel material on a silicon based substrate |
US9780214B2 (en) | 2014-12-22 | 2017-10-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including Fin- FET and manufacturing method thereof |
US9515071B2 (en) | 2014-12-24 | 2016-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Asymmetric source/drain depths |
US9876114B2 (en) | 2014-12-30 | 2018-01-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for 3D FinFET metal gate |
US9991384B2 (en) | 2015-01-15 | 2018-06-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including fin structures and manufacturing method thereof |
US9391078B1 (en) | 2015-01-16 | 2016-07-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for finFET devices |
US9349859B1 (en) | 2015-01-29 | 2016-05-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Top metal pads as local interconnectors of vertical transistors |
US9406680B1 (en) | 2015-02-13 | 2016-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including fin structures and manufacturing method thereof |
US9564493B2 (en) | 2015-03-13 | 2017-02-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Devices having a semiconductor material that is semimetal in bulk and methods of forming the same |
US9406675B1 (en) | 2015-03-16 | 2016-08-02 | Taiwan Semiconductor Manufacturing Company Ltd. | FinFET structure and method of manufacturing the same |
US9570557B2 (en) | 2015-04-29 | 2017-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Tilt implantation for STI formation in FinFET structures |
US10483262B2 (en) | 2015-05-15 | 2019-11-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual nitride stressor for semiconductor device and method of manufacturing |
US9530889B2 (en) | 2015-05-21 | 2016-12-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9449975B1 (en) | 2015-06-15 | 2016-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET devices and methods of forming |
US9647071B2 (en) | 2015-06-15 | 2017-05-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | FINFET structures and methods of forming the same |
US9685368B2 (en) | 2015-06-26 | 2017-06-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure having an etch stop layer over conductive lines |
US9818872B2 (en) | 2015-06-30 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate device and method of fabrication thereof |
US9559120B2 (en) | 2015-07-02 | 2017-01-31 | International Business Machines Corporation | Porous silicon relaxation medium for dislocation free CMOS devices |
US9583623B2 (en) | 2015-07-31 | 2017-02-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including fin structures disposed over buffer structures and manufacturing method thereof |
US9666581B2 (en) | 2015-08-21 | 2017-05-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with source/drain structure and method of fabrication thereof |
US10164096B2 (en) | 2015-08-21 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10032873B2 (en) | 2015-09-15 | 2018-07-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming the same |
US9647122B2 (en) | 2015-09-15 | 2017-05-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming the same |
US9680017B2 (en) | 2015-09-16 | 2017-06-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including Fin FET and manufacturing method thereof |
CN106611787A (zh) * | 2015-10-26 | 2017-05-03 | 联华电子股份有限公司 | 半导体结构及其制作方法 |
US10121858B2 (en) | 2015-10-30 | 2018-11-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Elongated semiconductor structure planarization |
US9960273B2 (en) | 2015-11-16 | 2018-05-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit structure with substrate isolation and un-doped channel |
US11264452B2 (en) | 2015-12-29 | 2022-03-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hetero-tunnel field-effect transistor (TFET) having a tunnel barrier formed directly above channel region, directly below first source/drain region and adjacent gate electrode |
US10490552B2 (en) | 2015-12-29 | 2019-11-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device having flat-top epitaxial features and method of making the same |
DE102016119024B4 (de) | 2015-12-29 | 2023-12-21 | Taiwan Semiconductor Manufacturing Co. Ltd. | Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite |
US9825036B2 (en) | 2016-02-23 | 2017-11-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for semiconductor device |
TWI699885B (zh) | 2016-03-22 | 2020-07-21 | 聯華電子股份有限公司 | 半導體結構與其製作方法 |
US9748389B1 (en) | 2016-03-25 | 2017-08-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for semiconductor device fabrication with improved source drain epitaxy |
US10340383B2 (en) | 2016-03-25 | 2019-07-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having stressor layer |
US10163898B2 (en) | 2016-04-25 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs and methods of forming FinFETs |
US9899382B2 (en) | 2016-06-01 | 2018-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with different gate profile and method for forming the same |
US10008414B2 (en) | 2016-06-28 | 2018-06-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method for widening Fin widths for small pitch FinFET devices |
US10115624B2 (en) | 2016-06-30 | 2018-10-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of semiconductor integrated circuit fabrication |
US10164098B2 (en) | 2016-06-30 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing semiconductor device |
US9640540B1 (en) | 2016-07-19 | 2017-05-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and method for an SRAM circuit |
US9870926B1 (en) | 2016-07-28 | 2018-01-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10008418B2 (en) | 2016-09-30 | 2018-06-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of semiconductor integrated circuit fabrication |
US10026840B2 (en) | 2016-10-13 | 2018-07-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure of semiconductor device with source/drain structures |
US10510618B2 (en) | 2016-10-24 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET EPI channels having different heights on a stepped substrate |
US9865589B1 (en) | 2016-10-31 | 2018-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method of fabricating ESD FinFET with improved metal landing in the drain |
US10872889B2 (en) | 2016-11-17 | 2020-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor component and fabricating method thereof |
US10529861B2 (en) | 2016-11-18 | 2020-01-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
US11437516B2 (en) | 2016-11-28 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mechanisms for growing epitaxy structure of finFET device |
US10490661B2 (en) | 2016-11-29 | 2019-11-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dopant concentration boost in epitaxially formed material |
US9935173B1 (en) | 2016-11-29 | 2018-04-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of semiconductor device structure |
US9812363B1 (en) | 2016-11-29 | 2017-11-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of forming same |
US10290546B2 (en) | 2016-11-29 | 2019-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Threshold voltage adjustment for a gate-all-around semiconductor structure |
US9865595B1 (en) | 2016-12-14 | 2018-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same |
US10049936B2 (en) | 2016-12-15 | 2018-08-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same |
US10431670B2 (en) | 2016-12-15 | 2019-10-01 | Taiwan Semiconductor Manufacturing Co., Ltd | Source and drain formation technique for fin-like field effect transistor |
US10381468B2 (en) | 2017-03-21 | 2019-08-13 | International Business Machines Corporation | Method and structure for forming improved single electron transistor with gap tunnel barriers |
US10522643B2 (en) | 2017-04-26 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate |
US10522417B2 (en) | 2017-04-27 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device with different liners for PFET and NFET and method of fabricating thereof |
US10453753B2 (en) | 2017-08-31 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET |
CN109671628A (zh) * | 2017-10-16 | 2019-04-23 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US10276697B1 (en) | 2017-10-27 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Negative capacitance FET with improved reliability performance |
US10522557B2 (en) | 2017-10-30 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Surface topography by forming spacer-like components |
US10366915B2 (en) | 2017-11-15 | 2019-07-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET devices with embedded air gaps and the fabrication thereof |
US10510894B2 (en) | 2017-11-30 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Isolation structure having different distances to adjacent FinFET devices |
US10854615B2 (en) | 2018-03-30 | 2020-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET having non-merging epitaxially grown source/drains |
US10861973B2 (en) | 2018-06-27 | 2020-12-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Negative capacitance transistor with a diffusion blocking layer |
US11302535B2 (en) | 2018-06-27 | 2022-04-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Performing annealing process to improve fin quality of a FinFET semiconductor |
US10388771B1 (en) | 2018-06-28 | 2019-08-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and device for forming cut-metal-gate feature |
US10790352B2 (en) | 2018-06-28 | 2020-09-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | High density capacitor implemented using FinFET |
US10886226B2 (en) | 2018-07-31 | 2021-01-05 | Taiwan Semiconductor Manufacturing Co, Ltd. | Conductive contact having staircase barrier layers |
US10998241B2 (en) | 2018-09-19 | 2021-05-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selective dual silicide formation using a maskless fabrication process flow |
US11222958B2 (en) | 2018-09-28 | 2022-01-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Negative capacitance transistor with external ferroelectric structure |
US10971605B2 (en) | 2018-10-22 | 2021-04-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dummy dielectric fin design for parasitic capacitance reduction |
US11101347B2 (en) | 2018-11-29 | 2021-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Confined source/drain epitaxy regions and method forming same |
US11282934B2 (en) | 2019-07-26 | 2022-03-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure for metal gate electrode and method of fabrication |
US11443980B2 (en) | 2019-09-27 | 2022-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating semiconductor device with metal pad extending into top metal layer |
US11557590B2 (en) | 2020-02-19 | 2023-01-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Transistor gate profile optimization |
US12046479B2 (en) | 2020-08-13 | 2024-07-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Nitride-containing STI liner for SiGe channel |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1667794A (zh) * | 2003-12-12 | 2005-09-14 | 国际商业机器公司 | 应变finFET及其制造方法 |
US20070212879A1 (en) * | 2004-09-22 | 2007-09-13 | Grasby Timothy J | Formation of lattice-tuning semiconductor substrates |
CN101106159A (zh) * | 2006-07-10 | 2008-01-16 | 台湾积体电路制造股份有限公司 | 多栅极电晶体及其制造方法 |
CN101268547A (zh) * | 2005-07-26 | 2008-09-17 | 琥珀波系统公司 | 交替有源区材料的集成电路集成的解决方案 |
US7700416B1 (en) * | 2008-04-25 | 2010-04-20 | Acorn Technologies, Inc. | Tensile strained semiconductor on insulator using elastic edge relaxation and a sacrificial stressor layer |
US7911028B2 (en) * | 2008-07-31 | 2011-03-22 | Nanya Technology Corp. | Semiconductor device and method of manufacturing the same |
CN102099902A (zh) * | 2008-07-21 | 2011-06-15 | 超威半导体公司 | 带有沟道分隔的鳍状半导体设备生产方法 |
Family Cites Families (37)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5479033A (en) * | 1994-05-27 | 1995-12-26 | Sandia Corporation | Complementary junction heterostructure field-effect transistor |
US6339232B1 (en) * | 1999-09-20 | 2002-01-15 | Kabushika Kaisha Toshiba | Semiconductor device |
WO2002052652A1 (fr) * | 2000-12-26 | 2002-07-04 | Matsushita Electric Industrial Co., Ltd. | Composant a semi-conducteur et son procede de fabrication |
US7138649B2 (en) * | 2001-08-09 | 2006-11-21 | Amberwave Systems Corporation | Dual-channel CMOS transistors with differentially strained channels |
JP2003249648A (ja) * | 2002-02-26 | 2003-09-05 | Hitachi Ltd | 半導体装置およびその製造方法 |
US6793731B2 (en) * | 2002-03-13 | 2004-09-21 | Sharp Laboratories Of America, Inc. | Method for recrystallizing an amorphized silicon germanium film overlying silicon |
DE10218381A1 (de) * | 2002-04-24 | 2004-02-26 | Forschungszentrum Jülich GmbH | Verfahren zur Herstellung einer oder mehrerer einkristalliner Schichten mit jeweils unterschiedlicher Gitterstruktur in einer Ebene einer Schichtenfolge |
WO2003105204A2 (en) * | 2002-06-07 | 2003-12-18 | Amberwave Systems Corporation | Semiconductor devices having strained dual channel layers |
US7594967B2 (en) * | 2002-08-30 | 2009-09-29 | Amberwave Systems Corporation | Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy |
US6955952B2 (en) * | 2003-03-07 | 2005-10-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement |
US6963078B2 (en) * | 2003-03-15 | 2005-11-08 | International Business Machines Corporation | Dual strain-state SiGe layers for microelectronics |
US6882025B2 (en) * | 2003-04-25 | 2005-04-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained-channel transistor and methods of manufacture |
US7247534B2 (en) * | 2003-11-19 | 2007-07-24 | International Business Machines Corporation | Silicon device on Si:C-OI and SGOI and method of manufacture |
US20050196925A1 (en) * | 2003-12-22 | 2005-09-08 | Kim Sang H. | Method of forming stress-relaxed SiGe buffer layer |
US7662689B2 (en) * | 2003-12-23 | 2010-02-16 | Intel Corporation | Strained transistor integration for CMOS |
JP2005209835A (ja) * | 2004-01-22 | 2005-08-04 | Sony Corp | 半導体装置の製造方法および半導体装置 |
JP4177775B2 (ja) * | 2004-03-16 | 2008-11-05 | 株式会社東芝 | 半導体基板及びその製造方法並びに半導体装置 |
KR100576361B1 (ko) * | 2004-03-23 | 2006-05-03 | 삼성전자주식회사 | 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법 |
US7223994B2 (en) * | 2004-06-03 | 2007-05-29 | International Business Machines Corporation | Strained Si on multiple materials for bulk or SOI substrates |
US7384829B2 (en) * | 2004-07-23 | 2008-06-10 | International Business Machines Corporation | Patterned strained semiconductor substrate and device |
US20060113603A1 (en) * | 2004-12-01 | 2006-06-01 | Amberwave Systems Corporation | Hybrid semiconductor-on-insulator structures and related methods |
US7405436B2 (en) * | 2005-01-05 | 2008-07-29 | International Business Machines Corporation | Stressed field effect transistors on hybrid orientation substrate |
US7220626B2 (en) * | 2005-01-28 | 2007-05-22 | International Business Machines Corporation | Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels |
US7801406B2 (en) * | 2005-08-01 | 2010-09-21 | Massachusetts Institute Of Technology | Method of fabricating Ge or SiGe/Si waveguide or photonic crystal structures by selective growth |
DE102005051994B4 (de) * | 2005-10-31 | 2011-12-01 | Globalfoundries Inc. | Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius |
US7691698B2 (en) * | 2006-02-21 | 2010-04-06 | International Business Machines Corporation | Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain |
US7901968B2 (en) * | 2006-03-23 | 2011-03-08 | Asm America, Inc. | Heteroepitaxial deposition over an oxidized surface |
US7777250B2 (en) * | 2006-03-24 | 2010-08-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lattice-mismatched semiconductor structures and related methods for device fabrication |
EP2062290B1 (en) * | 2006-09-07 | 2019-08-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Defect reduction using aspect ratio trapping |
US7799592B2 (en) * | 2006-09-27 | 2010-09-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Tri-gate field-effect transistors formed by aspect ratio trapping |
DE112008002387B4 (de) * | 2007-09-07 | 2022-04-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Struktur einer Mehrfachübergangs-Solarzelle, Verfahren zur Bildung einer photonischenVorrichtung, Photovoltaische Mehrfachübergangs-Zelle und Photovoltaische Mehrfachübergangs-Zellenvorrichtung, |
US7968910B2 (en) * | 2008-04-15 | 2011-06-28 | International Business Machines Corporation | Complementary field effect transistors having embedded silicon source and drain regions |
US8232186B2 (en) * | 2008-05-29 | 2012-07-31 | International Business Machines Corporation | Methods of integrating reverse eSiGe on NFET and SiGe channel on PFET, and related structure |
US8183667B2 (en) * | 2008-06-03 | 2012-05-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial growth of crystalline material |
JP5166458B2 (ja) * | 2010-01-22 | 2013-03-21 | 株式会社東芝 | 半導体装置及びその製造方法 |
US8722482B2 (en) * | 2010-03-18 | 2014-05-13 | Globalfoundries Inc. | Strained silicon carbide channel for electron mobility of NMOS |
US8062963B1 (en) * | 2010-10-08 | 2011-11-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a semiconductor device having an epitaxy region |
-
2012
- 2012-05-16 US US13/473,149 patent/US8680576B2/en active Active
- 2012-08-30 DE DE201210108015 patent/DE102012108015B3/de active Active
- 2012-09-24 KR KR1020120105820A patent/KR101393917B1/ko active IP Right Grant
-
2013
- 2013-01-05 CN CN201310002693.3A patent/CN103426882B/zh active Active
-
2014
- 2014-01-31 US US14/170,102 patent/US8927362B2/en active Active
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1667794A (zh) * | 2003-12-12 | 2005-09-14 | 国际商业机器公司 | 应变finFET及其制造方法 |
US20070212879A1 (en) * | 2004-09-22 | 2007-09-13 | Grasby Timothy J | Formation of lattice-tuning semiconductor substrates |
CN101268547A (zh) * | 2005-07-26 | 2008-09-17 | 琥珀波系统公司 | 交替有源区材料的集成电路集成的解决方案 |
CN101106159A (zh) * | 2006-07-10 | 2008-01-16 | 台湾积体电路制造股份有限公司 | 多栅极电晶体及其制造方法 |
US7700416B1 (en) * | 2008-04-25 | 2010-04-20 | Acorn Technologies, Inc. | Tensile strained semiconductor on insulator using elastic edge relaxation and a sacrificial stressor layer |
CN102099902A (zh) * | 2008-07-21 | 2011-06-15 | 超威半导体公司 | 带有沟道分隔的鳍状半导体设备生产方法 |
US7911028B2 (en) * | 2008-07-31 | 2011-03-22 | Nanya Technology Corp. | Semiconductor device and method of manufacturing the same |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105849905A (zh) * | 2013-12-28 | 2016-08-10 | 德克萨斯仪器股份有限公司 | 高迁移率晶体管 |
CN105849905B (zh) * | 2013-12-28 | 2019-10-25 | 德克萨斯仪器股份有限公司 | 高迁移率晶体管 |
CN105304490A (zh) * | 2014-07-23 | 2016-02-03 | 联华电子股份有限公司 | 半导体结构的制作方法 |
CN105990255A (zh) * | 2015-03-16 | 2016-10-05 | 台湾积体电路制造股份有限公司 | 半导体装置的制造方法 |
CN105990255B (zh) * | 2015-03-16 | 2018-10-26 | 台湾积体电路制造股份有限公司 | 半导体装置的制造方法 |
CN109671675A (zh) * | 2017-10-16 | 2019-04-23 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN109671675B (zh) * | 2017-10-16 | 2020-10-09 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20130128298A (ko) | 2013-11-26 |
KR101393917B1 (ko) | 2014-05-12 |
CN103426882B (zh) | 2016-05-04 |
US8680576B2 (en) | 2014-03-25 |
US8927362B2 (en) | 2015-01-06 |
DE102012108015B3 (de) | 2013-08-22 |
US20130307021A1 (en) | 2013-11-21 |
US20140141582A1 (en) | 2014-05-22 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN103426882A (zh) | Cmos器件及其形成方法 | |
US10727301B2 (en) | Semiconductor liner of semiconductor device | |
CN103474397B (zh) | 制造finfet器件的方法 | |
KR101629085B1 (ko) | 패시베이팅되고 각진 핀 전계 효과 트랜지스터 형성방법 | |
CN103247537B (zh) | 制造鳍片器件的方法和鳍片器件 | |
US9196543B2 (en) | Structure and method for finFET device | |
KR101435712B1 (ko) | 커패시터가 집적된 FinFET를 위한 구조 및 방법 | |
CN103187418B (zh) | 一种CMOS FinFET器件及其形成方法 | |
US9530710B2 (en) | Passivation structure of fin field effect transistor | |
US9159630B1 (en) | Fin field-effect transistor (FinFET) device formed using a single spacer, double hardmask scheme | |
US10157797B2 (en) | FinFET devices | |
CN103715258A (zh) | 用于半导体器件的源极/漏极堆叠件压力源 | |
CN104934472A (zh) | Finfet结构及其制造方法 | |
CN103035713A (zh) | FinFET器件及其制造方法 | |
TWI688989B (zh) | 用於nfet和pfet裝置的間隙壁的半導體結構及其製造方法 | |
KR101576203B1 (ko) | 최적화된 채널 영역을 갖는 모스 트랜지스터들을 구비하는 반도체 소자들 및 그 제조방법들 | |
CN107919368B (zh) | 一种半导体器件及其制造方法、电子装置 | |
KR20050087541A (ko) | 핀형 전계 효과 트랜지스터 및 이의 제조 방법 | |
TWI723420B (zh) | 在finfet中具有大epi之裝置及製造方法 | |
KR100960925B1 (ko) | 반도체 소자의 제조방법 | |
CN107968071B (zh) | 一种半导体器件及其制造方法和电子装置 | |
KR20060042361A (ko) | 듀얼 게이트 트랜지스터 제조 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant |