DE102012108015B3 - CMOS-Bauteil und Verfahren zur Herstellung desselben - Google Patents

CMOS-Bauteil und Verfahren zur Herstellung desselben Download PDF

Info

Publication number
DE102012108015B3
DE102012108015B3 DE201210108015 DE102012108015A DE102012108015B3 DE 102012108015 B3 DE102012108015 B3 DE 102012108015B3 DE 201210108015 DE201210108015 DE 201210108015 DE 102012108015 A DE102012108015 A DE 102012108015A DE 102012108015 B3 DE102012108015 B3 DE 102012108015B3
Authority
DE
Germany
Prior art keywords
buffer layer
region
nmos
semiconductor material
pmos
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE201210108015
Other languages
English (en)
Inventor
Kuo-Cheng Ching
Shi Ning Ju
Cary Chia-Chiung Lo
Huicheng Chang
Chun Chung Su
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Application granted granted Critical
Publication of DE102012108015B3 publication Critical patent/DE102012108015B3/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Es wird ein Halbleiterbauteil und ein Verfahren zur Herstellung eines Halbleiterbauteils offenbart. Ein beispielhaftes Halbleiterbauteil umfasst ein Substrat, welches einen ersten und einen zweiten Bereich umfasst. Das Halbleiterbauteil umfasst weiterhin eine erste Pufferschicht, die über dem Substrat und zwischen einem ersten und einem zweiten Isolationsbereich in dem ersten Bereich ausgebildet ist, und eine zweite Pufferschicht, die über dem Substrat und zwischen einem ersten und einem zweiten Isolationsbereich in dem zweiten Bereich ausgebildet ist. Das Halbleiterbauteil umfasst weiterhin eine erste Rippenstruktur, die über der ersten Pufferschicht und zwischen dem ersten und dem zweiten Isolationsbereich in dem ersten Bereich ausgebildet ist, und eine zweite Rippenstruktur, die über der zweiten Pufferschicht und zwischen dem ersten und dem zweiten Isolationsbereich in dem zweiten Bereich ausgebildet ist. Die erste Pufferschicht weist eine Oberseite, die sich von einer Oberseite der zweiten Pufferschicht unterscheidet.

Description

  • HINTERGRUND
  • Die Halbleiterindustrie für integrierte Schaltkreise (IC) hat ein rasches Wachstum erfahren. Über den Verlauf der IC-Entwicklung hat sich die Funktionsdichte (d. h. die Anzahl der miteinander verbundenen Bauteile pro Chipbereich) grundsätzlich vergrößert, während sich die Geometrieabmessung (d. h. die kleinste Komponente (oder Linie), die mithilfe eines Fabrikationsprozesses hergestellt werden kann) verkleinert hat. Dieser Verkleinerungsprozess bietet grundsätzlich Vorteile durch die Steigerung der Produktionseffizienz und Verringerung der damit verbundenen Kosten. Diese Verkleinerung hat ebenfalls die Komplexität bei der Verarbeitung und der Herstellung der ICs gesteigert, so dass zur Umsetzung derartiger Fortschritte ähnliche Weiterentwicklungen bei der IC-Herstellung benötigt werden.
  • Als sich die Halbleiterindustrie beispielsweise in Nanometertechnologieprozessknoten weiterentwickelt hat, um eine höhere Bauteildichte, höhere Leistungsfähigkeit und niedrigere Kosten zu erreichen, hat sich aus den Herausforderungen sowohl bei der Herstellung als auch beim Design die Entwicklung von Fin-Like-Feldeffekttransistor(FinFET)-Bauteilen ergeben. Das FinFET-Bauteil kann zum Beispiel ein komplementäres Metalloxidhalbleiter(CMOS)-Bauteil sein, welches ein P-Typ-Metalloxidhalbleiter(PMOS)-FinFET-Bauteil und ein N-Typ Metalloxidhalbleiter(NMOS)-FinFET-Bauteil aufweist. Obwohl bestehende FinFET-Bauteile und Verfahren für die Herstellung von FinFET-Bauteilen grundsätzlich für ihre beabsichtigten Zwecke geeignet sind, haben sie sich als nicht in jeder Hinsicht zufriedenstellend herausgestellt.
  • Die JP 2005-209835 A beschreibt ein Verfahren zur Herstellung eines CMOS-Transistors auf der Grundlage eines Halbleitersubstrats mit einem NMOS-Bereich und einem PMOS-Bereich. In den Bereichen werden nacheinander zwei Grabenstrukturen ausgebildet, die nacheinander mit einer SiGe-Schicht eine Neigungs-SiGe-Schicht, eine Relaxations-SiGe-Schicht und eine weitere SiGe-Schicht gefüllt werden, wobei die Dicken der Schichten in dem NMOS-Bereich und dem PMOS-Bereich variieren.
  • Die US 2008/0073667 A1 beschreibt die Herstellung von CMOS-FinFETs mit verspannten Kanalbereichen und deren Herstellung.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Die Erfindung sieht ein Verfahren gemäß Patentanspruch 1 und ein CMOS-Halbleiterbauteil gemäß Patentanspruch 3 oder Patentanspruch 5 vor.
  • Gemäß einem Aspekt der Erfindung weist das Halbleiterbauteil auf: ein Substrat, das einen ersten Bereich und einen zweiten Bereich umfasst; Isolationselemente, die innerhalb des Substrats in dem ersten und dem zweiten Bereich ausgebildet sind; eine Pufferschicht, die über dem Substrat und zwischen den Isolationselementen in dem ersten und dem zweiten Bereich ausgebildet ist; ein erstes Halbleitermaterial, das über der Pufferschicht und zwischen den Isolationselementen in dem ersten Bereich ausgebildet ist; und ein zweites Halbleitermaterial, das über der Pufferschicht und zwischen den Isolationselementen in dem zweiten Bereich ausgebildet ist, wobei sich das zweite Halbleitermaterial von dem ersten Halbleitermaterial unterscheidet, und wobei die Pufferschicht in dem ersten Bereich eine Gesamthöhe aufweist, die sich von der Gesamthöhe der Pufferschicht in dem zweiten Bereich unterscheidet. Der erste Bereich kann ein NMOS-Bereich sein und der zweite Bereich kann ein PMOS-Bereich sein; oder der erste Bereich kann ein PMOS-Bereich sein und der zweite Bereich kann ein NMOS-Bereich sein.
  • Darüber hinaus weist das Halbleiterbauteil in einem Aspekt der Erfindung Versetzungselemente auf, die innerhalb der Pufferschicht ausgebildet sind und dazu eingesetzt werden können, die Pufferschicht in dem ersten und dem zweiten Bereich zu relaxieren.
  • Das erste Halbleitermaterial kann unter Zugspannung und das zweite Halbleitermaterial kann unter Druckspannung stehen.
  • In einem anderen Aspekt der Erfindung weist das Substrat über die oben genannten Merkmale hinaus eine erste Gitterkonstante auf, wobei die Pufferschicht eine zweite Gitterkonstante aufweist, die größer als die erste Gitterkonstante ist, wobei der erste Halbleiter eine dritte Gitterkonstante aufweist, die kleiner als die zweite Gitterkonstante ist, und wobei das zweite Halbleitermaterial eine vierte Gitterkonstante aufweist, die größer als die zweite Gitterkonstante ist. Das Substrat kann Silizium (Si) umfassen, und die Pufferschicht kann Silizium-Germanium (SiGe) umfassen, wobei das erste Halbleitermaterial Silizium (Si) umfasst und wobei das zweite Halbleitermaterial Germanium (Ge) umfasst.
  • Die Gesamthöhe der Pufferschicht in dem ersten Bereich kann kleiner als die Gesamthöhe der Pufferschicht in dem zweiten Bereich sein.
  • Die Gesamthöhe der Pufferschicht in dem ersten Bereich kann größer als die Gesamthöhe der Pufferschicht in dem zweiten Bereich sein.
  • Die Pufferschicht in dem ersten Bereich kann Facetten aufweisen, die eine vertikale Abmessung aufweisen, die weniger als ungefähr 2 nm beträgt, und eine Abmessung in Längserstreckung, die weniger als ungefähr 2,5 nm beträgt, und wobei die Pufferschicht in dem zweiten Bereich Facetten aufweisen kann, die eine vertikale Abmessung aufweisen, die im Bereich zwischen ungefähr 5 nm und ungefähr 2 nm liegt, und eine Abmessung in Längserstreckung aufweisen, die im Bereich zwischen 4,5 nm und ungefähr 2,5 nm liegt.
  • Die Erfindung stellt darüber hinaus ein Verfahren zur Ausbildung eines Halbleiterbauteils bereit, das aufweist: Bereitstellen eines Substrats, das einen NMOS-Bereich und einen PMOS-Bereich umfasst; Ausbilden von Isolationselementen innerhalb des Substrats in den NMOS- und den PMOS-Bereichen; Aussparen des Substrats zwischen den Isolationselementen in dem NMOS- und dem PMOS-Bereich; Ausbilden einer Pufferschicht über dem ausgesparten Substrat und zwischen den Isolationselementen in dem NMOS- und dem PMOS-Bereich; Ausbilden eines ersten Halbleitermaterials über der Pufferschicht in dem NMOS- und dem PMOS-Bereich; Entfernen des ersten Halbleitermaterials und eines Deckabschnitts der Pufferschicht in dem NMOS-Bereich; Ausbilden eines zweiten Halbleitermaterials über der Pufferschicht in dem NMOS-Bereich; und Aussparen der Isolationselemente, so dass Rippenstrukturen in dem NMOS- und dem PMOS-Bereich festgelegt werden.
  • Das Verfahren kann darüber hinaus aufweisen: Ausbilden von Versetzungen innerhalb der Pufferschicht in dem NMOS- und dem PMOS-Bereich, wobei die Versetzungen dazu eingesetzt werden können, die Spannung innerhalb der Pufferschicht zu reduzieren.
  • Das Entfernen des ersten Halbleitermaterials und des Deckabschnitts der Pufferschicht in den NMOS-Bereich kann umfassen: Ausbilden einer Maske über dem Halbleiterbauteil; und Ätzen des ersten Halbleitermaterials und des Deckabschnitts der Pufferschicht in dem NMOS-Bereich unter Verwendung der Maske. Durch das Ätzen des Deckbereichs der Pufferschicht in dem NMOS-Bereich kann eine vertikale Abmessung einer Facette in dem NMOS-Bereich auf weniger als ungefähr 2 nm verringert werden.
  • Die Pufferschicht in dem PMOS-Bereich kann eine Facette umfassen, die eine vertikale Abmessung von weniger als ungefähr 5 nm umfasst, wobei die vertikale Abmessung der Facette in dem PMOS-Bereich größer als die vertikale Abmessung der Facette in dem NMOS-Bereich ist.
  • Die vorgenannten Merkmale können für sich genommen oder in beliebiger Kombination zur Umsetzung von Ausführungsformen der Erfindung verwendet werden.
  • KURZE BESCHREIBUNG DER FIGUREN
  • Die vorliegende Erfindung lässt sich am besten anhand der nachstehenden genauen Beschreibung verstehen, wenn diese in Verbindung mit den begleitenden Figuren gelesen wird. Es wird betont, dass gemäß der üblichen Praxis in der Industrie mancherlei Merkmale nicht maßstabsgetreu gezeichnet sind und lediglich zur Veranschaulichung verwendet werden. Tatsächlich können die Dimensionen der verschiedenen Merkmale zur Verdeutlichung der Diskussion willkürlich vergrößert oder verringert sein.
  • 1 zeigt ein Flussdiagramm, welches ein Verfahren für die Herstellung eines Halbleiterbauteils gemäß verschiedenen Aspekten der vorliegenden Offenbarung veranschaulicht. Die 29 veranschaulichen schematische Querschnittsseitenansichten einer Ausführungsform eines Halbleiterbauteils bei verschiedenen Herstellungsstufen gemäß dem Verfahren nach 1.
  • Die 10A und 10B veranschaulichen schematische Querschnittsseitenansichten der Bereiche A bzw. B gemäß 9.
  • DETAILLIERTE BESCHREIBUNG
  • Die Erfindung bezieht sich auf CMOS(komplementäre Metalloxidhalbleiter)-Halbleiterbauteile. Das CMOS-Bauteil kann ein FinFET-Bauteil (Fin-Like-Feldeffekttransistor) sein, welches ein P-Typ-Metalloxidhalbleiter(PMOS)-FinFET-Bauteil und ein N-Typ-Metalloxidhalbleiter(NMOS)-FinFET-Bauteil aufweist. Die nachstehende Offenbarung wird mit einem Beispiel anhand eines CMOS-FinFET fortsetzen, um die verschiedenen Ausführungsformen der vorliegenden Anmeldung zu veranschaulichen.
  • Mit Bezug auf die 1 und 29 werden ein Verfahren 100 und ein Halbleiterbauteil 200 nachstehend gemeinsam beschrieben. Die 1 zeigt ein Flussdiagramm eines Verfahrens 100 für die Herstellung eines integrierten Schaltkreisbauteils gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Bei der vorliegenden Ausführungsform ist das Verfahren 100 für die Herstellung eines integrierten Schaltkreisbauteils vorgesehen, das ein CMOS-FinFET-Bauteil umfasst. Das Verfahren 100 startet mit dem Block 102, in dem ein Substrat bereitgestellt wird. Das Substrat umfasst einen NMOS-Bereich und einen PMOS-Bereich. Das Verfahren setzt mit dem Block 104 fort, in dem Isolationselemente in dem NMOS- und in dem PMOS-Bereich ausgebildet werden. Das Verfahren setzt mit dem Block 106 fort, in dem das Substrat runtergeätzt wird, um die Seitenwände der Isolationsteile innerhalb des NMOS- und des PMOS-Bereichs freizulegen. Das Verfahren setzt mit dem Block 108 fort, in dem ein erstes Halbleitermaterial über dem Substrat und auf den Seitenwänden der Isolationselemente in dem NMOS- und dem PMOS-Bereich ausgebildet wird. Das Verfahren setzt mit dem Block 110 fort, in dem ein zweites Halbleitermaterial über dem ersten Halbleitermaterial und auf den Seitenwänden der Isolationselemente in dem NMOS- und dem PMOS-Bereich ausgebildet wird. Das Verfahren setzt mit dem Block 112 fort, in dem auf dem Substrat ein Planarisierungsprozess ausgeführt wird, um überschüssiges zweites Halbleitermaterial zu entfernen, wobei weiterhin eine Maske über dem Substrat in dem PMOS-Bereich ausgebildet wird. Das Verfahren 100 wird mit dem Block 114 fortgesetzt, in dem das zweite Halbleitermaterial von dem NMOS-Bereich entfernt wird, wodurch eine Oberseite des ersten Halbleitermaterials freigelegt wird, und wobei ein drittes Halbleitermaterial über dem ersten Halbleitermaterial und auf den Seitenwänden der Isolationselemente in dem NMOS-Bereich ausgebildet wird. Das Entfernen des zweiten Halbleitermaterials von dem NMOS-Bereich umfasst das Entfernen eines Teils des Substrats in dem NMOS-Bereich. Das Verfahren setzt mit dem Block 116 fort, in dem ein Planarisierungsprozess auf dem Substrat durchgeführt wird, um überschüssiges Material des dritten Halbleiters sowie die Maske zu entfernen, und wobei die Isolationsteile in dem NMOS- und in dem PMOS-Bereich runtergeätzt werden, wodurch Rippenstrukturen in dem NMOS- und dem PMOS-Bereich festgelegt werden. Das Verfahren 100 setzt mit dem Block 118 fort, in dem die Herstellung des integrierten Schaltkreises abgeschlossen wird.
  • Das Abschließen des Herstellungsprozesses kann neben anderen Dingen das Ausbilden eines Gate-Stapels über einem Kanalbereich der Rippenstruktur und das Ausbilden eines Source- und eines Drain-(S/D)-Elements in einem S/D-Bereich des Halbleiterbauteils umfassen. Das Ausbilden des Gate-Stapels kann einen Gate-First- oder einen Gate-Last-Prozess umfassen. Beispielsweise kann bei einem Gate-First-Prozess das Ausbilden des Gate-Stapels das Abscheiden einer dielektrischen Schicht über der Rippenstruktur, das Ausbilden einer Gate-Struktur (beispielsweise einer Gate-Elektrode) über der dielektrischen Schicht und das Ausbilden eines Gate-Abstandhalters auf den Wänden der Gate-Struktur und angrenzend an den S/D-Bereich des Halbleiterbauteils umfassen. Danach kann ein S/D-Element in dem S/D-Bereich durch Aussparen des Halbleitermaterials in dem S/D-Bereich und Abscheiden eines dotierten Halbleitermaterials in dem S/D-Bereich ausgebildet werden. Das Abscheiden des dotierten Halbleitermaterials kann epitaktisches Aufwachsen des Halbleitermaterials umfassen. Zusätzliche Schritte können vor, während oder nach dem Verfahren 100 durchgeführt werden und einige der beschriebenen Schritte können ersetzt oder für andere Ausführungsformen des Verfahrens entfallen. Die nachstehende Diskussion veranschaulicht verschiedene Ausführungsformen eines Halbleiterbauteils, welches gemäß dem Verfahren 100 gemäß 1 hergestellt werden kann.
  • Die 29 veranschaulichen schematische Querschnittsseitenansichten einer Ausführungsform eines Halbleiterbauteils in verschiedenen Herstellungsstadien entsprechend dem Verfahren gemäß 1; und die 10A und 10B illustrieren schematische Querschnittsseitenansichten der Bereiche A bzw. B gemäß 9. In der vorliegenden Offenbarung ist das Halbleiterbauteil ein CMOS-FinFET-Bauteil 200. Das CMOS-FinFET-Bauteil 200 umfasst einen NMOS-Bereich 202 und einen PMOS-Bereich 204. Das CMOS-FinFET-Bauteil 200 kann einen Mikroprozessor, eine Speicherzelle und/oder andere integrierte Schaltkreisbauteile umfassen. Die 210 wurden zum Zwecke der Klarheit vereinfacht, damit die erfindungsgemäßen Konzepte der vorliegenden. Offenbarung leichter verstanden werden. Zusätzliche Merkmale können in das CMOS-FinFET-Bauteil 200 aufgenommen werden und einige der nachstehend beschriebenen Merkmale können ersetzt werden oder in anderen Ausführungsformen des CMOS-FinFET-Bauteils 200 entfallen.
  • Mit Bezug auf 2 umfasst das CMOS-FinFET-Bauteil 200 ein Substrat 210. Das Substrat 210 ist ein massives Siliziumsubstrat. Alternativ weist das Substrat 210 einen elementaren Halbleiter wie Silizium oder Germanium in einer kristallinen Struktur auf; einen Verbindungshalbleiter wie Silizium-Germanium, Silizium-Karbid, Gallium-Arsenid, Gallium-Phosphid, Indium-Phosphid, Indium-Arsenid und/oder Indium-Antimonid; oder Kombinationen dieser. Alternativ umfasst das Substrat 210 ein Silizium-auf-Nichtleiter(SOI)-Substrat. Das SOI-Substrat kann unter Verwendung von Separation by Implementation of Oxygen (SIMOX), Wafer-Bonding und/oder anderer geeigneter Verfahren hergestellt werden. Das Substrat 210 kann verschiedene dotierte Bereiche und andere geeignete Merkmale aufweisen.
  • Weiter mit Bezug auf 2 sind innerhalb des Substrats 210 Isolationselemente 212 ausgebildet, um verschiedene Bereiche des Substrats 210 zu isolieren und um in der vorliegenden Ausführungsform den NMOS- und den PMOS-Bereich 202 und 204 zu isolieren. Die Isolationselemente 212 verwenden Isolationstechnologien, wie Local Oxidation of Silicon (LOCOS), Shallow Trench Isolation (STI) oder irgendeine geeignete Isolationstechnologie, um verschiedene Bereiche festzulegen und elektrisch zu isolieren. In der vorliegenden Ausführungsform sind die Isolationselemente 212 STI-Elemente.
  • Die Isolationselemente 212 können durch irgendeinen geeigneten Prozess ausgebildet werden. Das Ausbilden der Isolationselemente 212 umfasst zum Beispiel das Ausbilden einer Vielzahl von Öffnungen (oder Furchen) innerhalb des Substrats 210. Das Ausbilden der Öffnungen (oder Furchen) kann das Belichten einer Fotolackschicht mit einem Muster, das Durchführen eines dem Belichten nachgestellten Härtprozesses und das Entwickeln der Fotolackschicht zur Ausbildung eines Maskenelements umfassen. Bei manchen Ausführungsformen kann die Strukturierung der Fotolackschicht Bearbeitungsschritte wie das Fotolackbeschichten, das Weichbacken, das Maskenausrichten, das Belichten des Musters, das dem Belichten nachgestellte Härten, das Entwickeln des Fotolacks und das hochfeste Härten umfassen. Bei manchen Ausführungsformen kann das Strukturieren auch durch andere geeignete Verfahren implementiert oder ersetzt werden, wie die maskenlose Fotolithografie, das Elektronenstrahlschreiben, das Ionenstrahlschreiben und das molekulare Drucken.
  • Nachdem die Fotolackschicht strukturiert worden ist, wird das Substrat 210 unter Verwendung des strukturieren Fotolackes geätzt, um eine Vielzahl Öffnungen (oder Furchen) auszubilden. Der Ätzprozess kann einen Nassätzprozess, einen Trockenätzprozess oder eine Kombination dieser umfassen. Der Ätzprozess kann einen reaktiven Ionenätzprozess (RIE) und/oder einen anderen geeigneten Prozess umfassen. Gemäß einem Beispiel umfasst ein für das Ätzen des Substrats 210 verwendeter Trockenätzprozess eine Fluor enthaltende Gaschemikalie wie CF4, SF6, NF3 oder ein anderes geeignetes Gas. Nach dem Ausbilden der Vielzahl der Öffnungen (oder Furchen) innerhalb des Substrats 210 wird die Fotolackschicht mithilfe irgendeines geeigneten Prozesses entfernt. Beispielsweise kann die Fotolacksschicht mithilfe eines flüssigen „Lackhobels”, welcher den Lack derart chemikalisch verändert, dass er nicht mehr an der darunterliegenden festen Maske haftet, entfernt werden. Alternativ kann die Fotolackschicht mithilfe eines Plasma aufweisenden Sauerstoffs, der sie oxidiert, entfernt werden. Daraufhin wird ein Isolatormaterial innerhalb der Vielzahl Öffnungen (oder Furchen) innerhalb des Substrats 210 abgeschieden, wodurch die Isolationselemente 212 gebildet werden. Beispielsweise umfasst das Isolatormaterial ein dielektrisches Material. Das Isolatormaterial umfasst ein Material wie Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Materialien mit niedrigem k-Wert, einen Luftspalt, andere geeignete Materialien oder irgendwelche Kombinationen dieser. Das Isolatormaterial kann mithilfe eines CVD-Prozesses abgeschieden werden.
  • In verschiedenen Beispielen kann das Isolatormaterial mithilfe von Atomic Layer Deposition (ALD), High Density Plasma CVD (HDPCVD), anderer geeigneter Verfahren oder Kombinationen dieser ausgebildet werden. Das Isolatormaterial kann alternativ mithilfe eines High Aspect Ratio Process (HARP) ausgebildet werden. Der CVD-Prozess kann beispielsweise Chemikalien verwenden, welche Hexachlordisilan (HCD oder Si2Cl6), Dichlorsilan (DCS oder SiH2Cl2), Bis(tertiärbutylamino)silan (BTBAS oder S8H22N2Si) und Disilan (DS oder Si2H6) umfassen. Bei manchen Ausführungsformen kann das Isolatormaterial eine Mehrschichtstruktur aufweisen, beispielsweise eine thermische Oxiddeckschicht mit Siliziumnitrid oder Siliziumoxid, welche über der Deckschicht ausgebildet ist. Nach der Ausbildung der Isolationselemente 212 wird ein Planarisierungsprozess auf dem CMOS-FinFET-Bauteil 200 ausgeführt, um überschüssige Anteile des Isolatormaterials zu entfernen. Bei einer Ausführungsform umfasst der Planarisierungsprozess einen chemisch-mechanischen Polierprozess (CMB).
  • Mit Bezug auf 3 wird das Substrat 210 zwischen den Isolationselementen 212 in dem NMOS-Bereich und dem PMOS-Bereich 204 ausspart, wodurch Seitenwände der Isolationselemente 212 freigelegt werden. Das Aussparen des Substrats 210 kann irgendeinen geeigneten Prozess umfassen. Bei der vorliegenden Ausführungsform umfasst das Aussparen des Substrats 210 beispielsweise einen Ätzprozess. Der Ätzprozess kann einen Nassätzprozess, einen Trockenätzprozess oder eine Kombination dieser umfassen. Gemäß einem Beispiel weist ein für das Ätzen des Substrats 210 verwendeter Trockenätzprozess ein fluorhaltiges Gas auf.
  • Mit Bezug auf 4 wird eine Pufferschicht 214 ausgebildet. Die Pufferschicht 214 stellt einen Puffer/einen Übergang von dem Material des Substrats 210 zu dem Material der Rippenstruktur, die anschließend ausgebildet werden wird, bereit. Das Ausbilden der Pufferschicht 214 kann epitaktisches Aufwachsen (epi) eines Halbleitermaterials über dem Substrat 210 und zwischen den Isolationselementen 212 in dem NMOS-Bereich 202 und in dem PMOS-Bereich 204 umfassen.
  • Das Halbleitermaterial der Pufferschicht 214 kann irgendein geeignetes Halbleitermaterial umfassen. Bei der vorliegenden Ausführungsform umfasst das Halbleitermaterial der Pufferschicht 214 Silizium-Germanium (SiGe). Beispielsweise kann die Konzentration des Germaniums in einem Bereich zwischen ungefähr 45% und ungefähr 55%, in einem Bereich zwischen ungefähr 25% und ungefähr 75% schwanken, oder sie kann irgendeine geeignete Konzentration aufweisen. Bei der vorliegenden Ausführungsform umfasst das Halbleitermaterial der Pufferschicht 214 eine Germanium-Konzentration von ungefähr 50% (zum Beispiel Si5Ge5). Das Halbleitermaterial der Pufferschicht 214 (zum Beispiel SiGe) weist eine erste Gitterkonstante auf, welche sich von der Gitterkonstante des Materials des Substrats 210 (zum. Beispiel Si) unterscheidet.
  • Bei der vorliegenden Ausführungsform ist das Halbleitermaterial der Pufferschicht 214 relaxiert, da die Spannungen, welche aus dem Gitterversatz zwischen dem Substrat 210 und der Pufferschicht 214 resultieren, durch die Ausbildung von Versetzungen 216 innerhalb der Kristallstruktur des Halbleitermaterials der Pufferschicht 214 gelöst sind. Beispielsweise können die Versetzungen 216 durch die Feinabstimmung von Parametern wie der Temperatur und dem Druck während des Epi-Wachstumsprozesses oder durch Rekristallisation des epitaktisch aufgewachsenen Halbleitermaterials mithilfe eines Prozesses, der einen Temperprozess umfasst, ausgebildet werden. Der Epi-Wachstumsprozess, welcher zur Abscheidung des Halbleitermaterials der Pufferschicht 214 verwendet wird, resultiert in der Ausbildung von Facetten (angewinkelte Abschnitte in der [111]-Richtung, die einen Winkel zwischen ungefähr 50° und ungefähr 60° aufweisen) auf der Oberseite der Pufferschicht 214.
  • Weiter mit Bezug auf 4 wird eine Rippenstruktur 218 über der Pufferschicht 214 ausgebildet. Die Rippenstruktur 218 kann mithilfe irgendeines geeigneten Prozesses ausgebildet werden. Beispielsweise umfasst das Ausbilden der Rippenstruktur 218 das epitaktische Wachstum (epi) eines Halbleitermaterials über der Pufferschicht 214 und zwischen den Isolationselementen 212 in dem NMOS-Bereich 202 und in dem PMOS-Bereich 204. Das Halbleitermaterial der Rippenstruktur 218 kann irgendein geeignetes Halbleitermaterial umfassen. Beispielsweise umfasst bei der vorliegenden Ausführungsform das Halbleitermaterial der Rippenstruktur 218 Germanium (Ge).
  • Das Halbleitermaterial der Rippenstruktur 218 weist eine zweite Gitterkonstante auf, welche sich von der ersten Gitterkonstante des Halbleitermaterials der Pufferschicht 214 unterscheidet. Bei der vorliegenden Ausführungsform ist die zweite Gitterkonstante des Halbleitermaterials der Rippenstruktur 218 (zum Beispiel Ge) größer als die erste Gitterkonstante des Halbleitermaterials der Pufferschicht 214 (zum Beispiel SiGe). Aufgrund des Gitterversatzes erfährt die Rippenstruktur 218 Druckspannung, welche aus dem Gitterversatz resultiert.
  • Mit Bezug auf 5 wird ein Planarisierungsprozess auf dem CMOS-FinFET-Bauteil 200 ausgeübt, um überschüssige Anteile des Halbleitermaterials der Rippenstruktur 218 zu entfernen. Beispielswiese umfasst der Planarisierungsprozess einen chemisch-mechanischen Polierprozess (CMP).
  • Mit Bezug auf 6 wird eine Maske 220 über dem PMOS-Bereich 204 ausgebildet. Die Maske 220 kann eine harte Maske und/oder einen Fotolack umfassen. Beispielsweise kann die Maske 204 mithilfe irgendeines geeigneten Prozesses mit irgendeiner geeigneten Dicke ausgebildet werden. Bei der vorliegenden Ausführungsform ist die Maske 220 eine harte Maske, die mithilfe eines CVD-Prozesses ausgebildet ist. Gemäß verschiedenen Beispielen kann die Maske 220 mithilfe von Atomic Layer Deposition (ALD), High Density Plasma CVD (HDPCVD), anderer geeigneter Verfahren und/oder Kombinationen dieser ausgebildet werden. Der CVD-Prozess kann zum Beispiel Chemikalien, die Hexachlordisilan (CCD oder Si2Cl6), Dichlorsilan (DCS oder SiH2Cl2), Bis(tertiärbutylamino)silan (BTBAS oder C8H22N2Si) und Disilan (DS oder Si2H6) umfassen, verwenden. Die Maske 220 kann mithilfe irgendeines geeigneten Prozesses wie Fotolithografie oder einem Ätzprozess strukturiert werden, so dass sie lediglich über dem PMOS-Bereich 204 ausgebildet ist. Beispielsweise kann der Fotolithografieprozess das Abscheiden einer Fotolackschicht, das Belichten der Fotolackschicht mit einem Muster, das Durchführen eines der Belichtung nachgestellten Härtprozesses, das Entwickeln der Fotolackschicht und das Ätzen der Maske 220 mit der strukturierten Fotolackschicht umfassen.
  • Nach dem Ausbilden der Maske 220 werden das Halbleitermaterial der Rippenstruktur 218 und ein oberer Abschnitt der Pufferschicht 214 (einschließlich sämtlicher oder eines Teils der Facetten der Pufferschicht 214) aus dem NMOS-Bereich 202 entfernt. Beispielsweise umfasst das Entfernen des Halbleitermaterials der Rippenstruktur 218 und eines Teils der Pufferschicht 214 einen Ätzprozess. Der Ätzprozess kann einen Nassätzprozess, einen Trockenätzprozess oder eine Kombination dieser umfassen. Gemäß einem Beispiel wird ein Trockenätzprozess verwendet, um das Halbleitermaterial der Rippenstruktur 218 zu ätzen. Wie es nachfolgend noch im Detail beschrieben wird, resultiert die Entfernung eines Teils des oberen Abschnitts der Pufferschicht 214 in einer Asymmetrie der Pufferschicht 214 des NMOS-Bereichs 202 und der Pufferschicht 214 des PMOS-Bereichs 204.
  • Mit Bezug auf 7 wird eine Rippenstruktur 222 über der Pufferschicht 214 in dem NMOS-Bereich 202 ausgebildet. Die Rippenstruktur 222 kann mithilfe eines geeigneten Prozesses ausgebildet werden. Beispielsweise umfasst das Ausbilden der Rippenstruktur 220 ein epitaktisches Aufwachsen (epi) eines Halbleitermaterials über der Pufferschicht 214 und zwischen den Isolationselementen 212 in dem NMOS-Bereich 202. Das Halbleitermaterial der Rippenstruktur 222 kann irgendein geeignetes Halbleitermaterial umfassen. Beispielsweise umfasst bei der vorliegenden Ausführungsform das Halbleitermaterial der Rippenstruktur 218 Silizium (Si).
  • Das Halbleitermaterial der Rippenstruktur 222 weist eine dritte Gitterkonstante auf, welche sich von der ersten Gitterkonstante des Halbleitermaterials der Pufferschicht 214 und von der zweiten Gitterkonstante des Halbleitermaterials der Rippenstruktur 218 des PMOS-Bereichs 204 unterscheidet. Bei der vorliegenden Ausführungsform ist die dritte Gitterkonstante des Halbleitermaterials der Rippenstruktur 222 (zum Beispiel Si) kleiner als die erste Gitterkonstante des Halbleitermaterials der Pufferschicht 214 (zum Beispiel SiGe) und kleiner als die zweite Gitterkonstante der Rippenstruktur 218 (zum Beispiel Ge). Aufgrund des Gitterversatzes erfährt die Rippenstruktur 222 Zugspannung.
  • Mit Bezug auf 8 wird ein Planarisierungsprozess auf das CMOS-FinFET-Bauteil 200 ausgeübt, um überschüssige Anteile des Halbleitermaterials der Rippenstruktur 222 und die Maske 220 zu entfernen. Beispielsweise umfasst der Planarisierungsprozess einen chemisch-mechanischen Polierprozess (CMP). Bei einer alternativen Ausführungsform wird die Maske 220 mithilfe eines gesonderten Prozesses entfernt.
  • Mit Bezug auf 9 werden die Isolationselemente 212 ausgespart, wodurch Rippenstrukturen in dem NMOS-Bereich 202 bzw. in dem PMOS-Bereich 204 festgelegt werden. Die Isolationselemente können mithilfe eines Rückätzprozesses ausgespart werden. Der Rückätzprozess kann einen Nassätzprozess, einen Trockenätzprozess oder eine Kombination dieser umfassen.
  • Mit Bezug auf die 10A und 10B werden schematisch Querschnittsseitenansichten des Bereichs A bzw. des Bereichs B gemäß 9 veranschaulicht. Mit Bezug auf 10A umfasst der Bereich A ein NMOS-Bauteil, das eine Pufferschicht 214 umfasst, die wiederum Versetzungen 216 umfasst, welche dazu dienen, Spannungen in der Pufferschicht 214 zu relaxieren. Die Pufferschicht 214 weist eine Breite W1, eine Oberseite, die zwei Facetten (in der [111]-Richtung) mit einer Erstreckung in Längsrichtung L1 umfasst, und eine vertikale Abmessung V1 auf. Bei der vorliegenden Ausführungsform ist der Wert für L1 kleiner als ungefähr 25% des Werts für die Breite W1 und der Wert von V1 ist kleiner als ungefähr 20% des Werts für die Breite W1. Beispielsweise kann die Breite W1 ungefähr 15 nm sein, die Abmessung in Längserstreckung L1 kann kleiner als ungefähr 3,75 nm sein und die vertikale Abmessung V1 kann kleiner als ungefähr 3 nm sein. Bei der vorliegenden Ausführungsform beträgt die Breite W1 ungefähr 10 nm, die Abmessung in Längsrichtung L1 ist kleiner als ungefähr 2,5 nm und die vertikale Abmessung V1 ist kleiner als ungefähr 2 nm. Bei alternativen Ausführungsformen weist die Pufferschicht 214 eine im Wesentlichen flache Oberseite auf, die keine Facetten aufweist. Es sollte verstanden werden, dass die vorgenannten Abmessungen lediglich beispielhaft sind und dass mit steigender oder sinkender Größe des Bauteils die entsprechenden Abmessungen (zum Beispiel W1, L1 und V1) entsprechend und proportional steigen oder sinken werden. Wenn sich beispielsweise die Größe des Bauteils verdoppelt, verdoppelt sich die Breite W1 der Pufferschicht 214 bei der vorliegenden Ausführungsform und ebenso verdoppeln sich die entsprechenden Bereichswerte der Abmessung in Längsrichtung L1 und die vertikale Abmessung V1 der Facetten der Pufferschicht 214 des NMOS-Bauteils.
  • Mit Bezug auf die 10B umfasst der Bereich B ein PMOS-Bauteil, welches wiederum eine Pufferschicht 214 mit Versetzungen 216 umfasst, um Spannung in der Pufferschicht 214 zu relaxieren. Die Pufferschicht 214 weist eine Breite W2 auf, eine Oberseite mit zwei Facetten (in der [111]-Richtung), die eine Abmessung in Längsrichtung L2 und eine vertikale Abmessung V2 aufweisen. Bei der vorliegenden Ausführungsform kann der Wert für die vertikale Abmessung V2 kleiner als ungefähr 60% des Werts der Breite W2 sein und der Wert der Abmessung in Längsrichtung L2 kann kleiner als ungefähr 50% des Werts der Breite W2 sein. Beispielsweise kann die Breite W2 ungefähr 15 nm sein, die Abmessung in Längsrichtung L2 kann kleiner als ungefähr 9 nm sein, und die vertikale Abmessung V2 ist kleiner als ungefähr 7,5 nm. Bei der vorliegenden Ausführungsform beträgt die Breite W2 ungefähr 10 nm, die Abmessung in Längsrichtung L2 liegt zwischen ungefähr 4,5 nm und ungefähr 2,5 nm und die vertikale Abmessung V2 ist kleiner als ungefähr 5 nm. Bei bestimmten Ausführungsformen besitzt die Pufferschicht 214 eine im Wesentlichen punktförmige Oberseite, die zwei Facetten aufweist und die keinen flachen oberen Abschnitt aufweist. Es sollte verstanden werden, dass die vorgenannten Abmessungen lediglich beispielhaft sind und dass, wenn die Größe des Bauteils steigt oder sinkt, die entsprechenden Abmessungen (beispielsweise W2, L2 und V2) entsprechend und proportional steigen oder sinken werden. Wenn sich beispielsweise die Größe des Bauteils verdoppelt, verdoppelt sich die Breite W2 der Pufferschicht 214 in der vorliegenden Ausführungsform und die entsprechenden Wertebereiche der Abmessung in Längsrichtung L2 und der vertikalen Abmessung V2 der Facetten der Pufferschicht 214 des PMOS-Bauteils werden sich dementsprechend verdoppeln.
  • Wie in den 10A und 10B veranschaulicht ist, besteht eine Asymmetrie, die in den oberen Abschnitten (einschließlich der Facetten) der Pufferschicht 214 des NMOS- und des PMOS-Bauteils vorliegt und welche aus den vorgenannten Verarbeitungsschritten des Verfahrens 100 resultiert. Weiterhin umfasst die Pufferschicht 214 des NMOS-Bauteils eine Gesamthöhe H1, die kleiner als eine Gesamthöhe H2 der Pufferschicht 214 des PMOS-Bauteils ist.
  • Es sollte verstanden werden, dass, obwohl die vorliegenden Ausführungsformen zur Vereinfachung lediglich ein NMOS-Bauteil und lediglich ein PMOS-Bauteil veranschaulichen, jede Anzahl derartiger Bauteile innerhalb des CMOS-FinFET-Bauteils 200 ausgebildet sein kann. Es sollte darüber hinaus verstanden werden, dass, obwohl bei dem zuvor beschriebenen Verfahren 100 der PMOS-Bereich 204 als erstes verarbeitet wird (zum Beispiel indem die Rippe 218 des PMOS-Bauteils zuerst ausgebildet wird, der PMOS-Bereich 204 maskiert wird und daraufhin die Rippe 222 des NMOS-Bauteils geätzt und ausgebildet wird), die Ausführungsformen der vorliegenden Erfindung genauso auf alternative Ausführungsformen anwendbar sind, bei denen der NMOS-Bereich 202 als erstes verarbeitet wird (zum Beispiel indem als erstes die Rippe 222 des NMOS-Bereichs ausgebildet wird, der NMOS-Bereich 204 maskiert wird, und daraufhin die Rippe 218 des PMOS-Bereichs geätzt und ausgebildet wird). Bei derartigen alternativen Ausführungsformen weist das PMOS-Bauteil die Abmessungen des Bereichs A und das NMOS-Bauteil die Abmessungen des Bereichs B auf, wie es in den 10A und 10B veranschaulicht ist.
  • Das CMOS-FinFET-Bauteil 200 kann zusätzliche Elemente aufweisen, welche durch Nachbearbeitungsschritte ausgebildet werden können. Beispielsweise können über die Nachbearbeitung weitere verschiedene Kontakte/Durchkontaktierungen/Leiterbahnen und vielschichtige Verbindungsstrukturen (beispielsweise Metallschichten und Zwischenschichtdielektrika) auf dem Substrat ausgebildet werden, die darauf ausgelegt sind, die verschiedenen Bauelemente oder Strukturen des Bauteils zu kontaktieren. Die zusätzlichen Bauelemente können elektrische Verbindungen mit dem Bauteil bereitstellen. Beispielsweise umfasst eine Vielschichtverbindung vertikale Verbindungen wie konventionelle Durchkontaktierungen oder Kontakte und horizontale Verbindungen wie Metallleiterbahnen. Die verschiedenen Verbindungselemente können verschiedene leitfähige Materialien einschließlich Kupfer, Wolfram und/oder Silizid verwenden. Gemäß einem Beispiel wird ein Damaszierungsprozess und/oder ein zweifacher Damaszierungsprozess verwendet, um eine kupferähnliche Vielschichtverbindungsstruktur auszubilden. Gemäß einer anderen Ausführungsform wird Wolfram verwendet, um Wolframstecker in den Kontaktlöchern auszubilden.
  • Das offenbarte Halbleiterbauteil 200 kann in verschiedenen Anwendungen, wie digitalen Schaltkreisen, Bildsensorbauteilen, als ein Heterohalbleiterbauteil, als Dynamic Random Access Memory(DRAM)-Zelle, als ein Einzelelektronentransistor (SET) und/oder als mikroelektronische Bauteile (welche hier zusammenfassend als mikroelektronische Bauteile bezeichnet werden) verwendet werden. Selbstverständlich können Aspekte der vorliegenden Offenbarung ebenfalls auf andere Arten von Transistoren, einschließlich Single-Gate-Transistoren, Double-Gate-Transistoren und andere Mehrfach-Gate-Transistoren angewendet und/oder ohne weiteres angepasst werden, und sie können in vielen verschiedenen Anwendungen einschließlich Sensorzellen, Speicherzellen, logischen Zellen und dergleichen verwendet werden.
  • Das zuvor beschriebene Verfahren 100 stellt ein einzigartiges CMOS-FinFET-Bauteil 200 zur Verfügung und verringert auf beachtliche Weise die Herstellungsschritte, wenn es mit herkömmlichen Herstellungsverfahren verglichen wird, ohne dabei die Bauteilleistungsfähigkeit nachteilig zu beeinflussen. Beispielsweise können zusätzliche Schritte für das Strukturieren und eine Vielzahl getrennter Ätzschritte vermieden werden, da das Verfahren 100 Prozessschritte zur Verfügung stellt, die gleichzeitig sowohl auf den NMOS-Bereich 202 als auch auf den PMOS-Bereich 204 des CMOS-FinFET-Bauteils 200 angewendet werden können. Die offenbarten Ausführungsformen stellen daher ein einzigartiges CMOS-FinFET-Bauteil 200 und ein Verfahren 100 zur Verfügung, welches die Durchlaufzeit minimiert und beachtliche Kostenersparnisse bei der Herstellung ermöglicht. Es sollte verstanden werden, dass unterschiedliche Ausführungsformen unterschiedliche Vorteile aufweisen können und dass irgendeine Ausführungsform keinen bestimmten Vorteil zwingend aufweisen muss.
  • Es wird ein CMOS-Halbleiterbauteil zur Verfügung gestellt. Dieses Halbleiterbauteil umfasst ein Substrat, das einen ersten Bereich und einen zweiten Bereich umfasst. Das Halbleiterbauteil umfasst weiterhin Isolationselemente, die innerhalb des Substrats in dem ersten und dem zweiten Bereich ausgebildet sind. Das Halbleiterbauteil umfasst weiterhin eine Pufferschicht, die über dem Substrat und zwischen den Isolationselementen in dem ersten und dem zweiten Bereich ausgebildet ist. Das Halbleiterbauteil umfasst weiterhin ein erstes Halbleitermaterial, das über der ersten Pufferschicht und zwischen den Isolationselementen in dem ersten Bereich ausgebildet ist, und ein zweites Halbleitermaterial, das über der Pufferschicht und zwischen den Isolationselementen in dem zweiten Bereich ausgebildet ist, wobei sich das zweite Halbleitermaterial von dem ersten Halbleitermaterial unterscheidet. Die Pufferschicht in dem ersten Bereich umfasst eine Gesamthöhe, die sich von einer Gesamthöhe der Pufferschicht in dem zweiten Bereich unterscheidet.
  • In einem Aspekt der Erfindung umfasst das Halbleiterbauteil weiterhin Versetzungselemente, die innerhalb der Pufferschicht ausgebildet sind und dazu dienen, die Pufferschicht in dem ersten und dem zweiten Bereich zu relaxieren.
  • Bei manchen Ausführungsformen weist das erste Halbleitermaterial eine Zugspannung und das zweite Halbleitermaterial eine Druckspannung auf. Bei verschiedenen Ausführungsformen umfasst das Substrat eine erste Gitterkonstante und die Pufferschicht eine zweite Gitterkonstante, die größer als die erste Gitterkonstante ist, wobei der erste Halbleiter eine dritte Gitterkonstante aufweist, die kleiner als die zweite Gitterkonstante ist, wobei das zweite Halbleitermaterial eine vierte Gitterkonstante aufweist, die größer als die zweite Gitterkonstante ist. Bei bestimmen Ausführungsformen umfasst das Substrat Silizium (Si), die Pufferschicht Silizium-Germanium (SiGe), wobei das erste Halbleitermaterial Silizium (Si) umfasst und das zweite Halbleitermaterial Germanium (Ge) umfasst. Bei weiteren Ausführungsformen ist die Gesamthöhe der Pufferschicht in dem ersten Bereich kleiner als die Gesamthöhe der Pufferschicht in dem zweiten Bereich. Bei manchen Ausführungsformen ist die Gesamthöhe der Pufferschicht in dem ersten Bereich größer als die Gesamthöhe der Pufferschicht in dem zweiten Bereich. Bei verschiedenen Ausführungsformen umfasst die Pufferschicht in dem ersten Bereich Facetten, die eine vertikale Abmessung aufweisen, die kleiner als ungefähr 2 nm beträgt und eine Abmessung in Längsrichtung, die kleiner als ungefähr 2,5 nm ist, wobei die Pufferschicht in dem zweiten Bereich Facetten aufweist, die eine vertikale Abmessung aufweisen, die zwischen ungefähr 5 nm und ungefähr 2 nm beträgt, und eine Abmessung in Längsrichtung aufweisen, die zwischen ungefähr 4,5 nm und ungefähr 2,5 nm beträgt.
  • Es wird ebenfalls ein Verfahren bereitgestellt. Das Verfahren umfasst das Bereitstellen eines Substrats, das einen NMOS-Bereich und einen PMOS-Bereich aufweist. Das Verfahren umfasst weiterhin das Ausbilden von Isolationselementen innerhalb des Substrats in dem NMOS- und in dem PMOS-Bereich. Das Verfahren umfasst weiterhin das Aussparen des Substrats zwischen den Isolationselementen in dem NMOS- und in dem PMOS-Bereich. Das Verfahren umfasst weiterhin das Ausbilden einer Pufferschicht über dem ausgesparten Substrat und zwischen den Isolationselementen in dem NMOS- und in dem PMOS-Bereich. Das Verfahren umfasst weiterhin das Ausbilden eines ersten Halbleitermaterials über der Pufferschicht in dem NMOS- und in dem PMOS-Bereich. Das Verfahren umfasst weiterhin das Entfernen des ersten Halbleitermaterials und eines oberen Abschnitts der Pufferschicht in dem NMOS-Bereich. Das Verfahren umfasst weiterhin das Ausbilden eines zweiten Halbleitermaterials über der Pufferschicht in dem NMOS-Bereich. Das Verfahren umfasst weiterhin das Aussparen der Isolationselemente, wodurch Rippenstrukturen in dem NMOS- und in dem PMOS-Bereich festgelegt werden.
  • Bei manchen Ausführungsformen umfasst das Verfahren weiterhin das Ausbilden von Versetzungen innerhalb der Pufferschicht, welche dazu dienen, Spannungen innerhalb der Pufferschicht zu verringern.
  • Bei manchen Ausführungsformen umfasst das Entfernen des ersten Halbleitermaterials und eines oberen Abschnitts der Pufferschicht in dem NMOS-Bereich: Ausbilden einer Maske über dem Halbleiterbauteil; und Ätzen des ersten Halbleitermaterials und des oberen Abschnitts der Pufferschicht in dem NMOS-Bereich unter Verwendung der Maske. Bei verschiedenen Ausführungsformen verringert das Ätzen des oberen Bereichs der Pufferschicht in dem NMOS-Bereich eine vertikale Abmessung einer Facette in dem NMOS-Bereich auf weniger als ungefähr 2 nm. Bei weiteren Ausführungsformen umfasst die Pufferschicht in dem PMOS-Bereich eine Facette, die eine vertikale Abmessung von weniger als ungefähr 5 nm aufweist, wobei die vertikale Abmessung der Facette in dem PMOS-Bereich größer als die vertikale Abmessung der Facette in dem NMOS-Bereich ist.

Claims (7)

  1. Verfahren zur Ausbildung eines CMOS-Halbleiterbauteils, das aufweist: Bereitstellen eines Substrats (210), das einen NMOS-Bereich (202) und einen PMOS-Bereich (204) umfasst; Ausbilden von Isolationselementen (212) innerhalb des Substrats in dem NMOS- und in dem PMOS-Bereich; Aussparen des Substrats zwischen den Isolationselementen in dem NMOS- und in dem PMOS-Bereich (202, 204); Ausbilden einer Pufferschicht (214) über dem ausgesparten Substrat und zwischen den Isolationselementen in dem NMOS- und in dem PMOS-Bereich (202, 204); Ausbilden eines ersten Halbleitermaterials (218) über der Pufferschicht (214) in dem NMOS- und dem PMOS-Bereich (202, 204); Entfernen des ersten Halbleitermaterials (218) und eines oberen Abschnitts der Pufferschicht (214) in entweder dem NMOS-Bereich (202) oder dem PMOS-Bereich (204); Ausbilden eines zweiten Halbleitermaterials über der Pufferschicht (214) in dem NMOS-Bereich (202) oder dem PMOS-Bereich (204), in dem das erste Halbleitermaterial entfernt wurde; Aussparen der Isolationselemente, so dass Rippenstrukturen in dem NMOS- und in dem PMOS-Bereich (202, 204) festgelegt werden.
  2. Verfahren nach Anspruch 1, wobei das Ausbilden der Pufferschicht umfasst: Ausbilden von Versetzungen (216) innerhalb der Pufferschicht (214) in dem NMOS- und in dem PMOS-Bereich (202, 204), wobei die Versetzungen dazu dienen, Spannungen innerhalb der Pufferschicht (214) zu reduzieren.
  3. CMOS-Halbleiterbauteil, das aufweist. ein Substrat (210), das einen ersten Bereich (202) und einen zweiten Bereich (204) umfasst, Isolationselemente (212), die innerhalb des Substrats in dem NMOS- und dem PMOS-Bereich (202, 204) ausgebildet sind; eine Pufferschicht (214), die über dem Substrat (210) und zwischen den Isolationselementen (212) in dem ersten und dem zweiten Bereich (202, 204) ausgebildet ist; ein erstes Halbleitermaterial (218), das über der Pufferschicht (214) und zwischen den Isolationselementen (212) in dem ersten Bereich (202) ausgebildet ist; und ein zweites Halbleitermaterial (222), das über der Pufferschicht (214) und zwischen den Isolationselementen (212) in dem zweiten Bereich (204) ausgebildet ist, wobei sich das zweite Halbleitermaterial (222) von dem ersten Halbleitermaterial (218) unterscheidet, wobei die Pufferschicht (214) in dem ersten Bereich (202) eine Gesamthöhe aufweist, die sich von einer Gesamthöhe der Pufferschicht (214) in dem zweiten Bereich (204) unterscheidet; wobei der erste Bereich ein NMOS-Bereich ist und der zweite Bereich ein PMOS-Bereich ist; oder wobei der erste Bereich ein PMOS-Bereich ist und der zweite Bereich ein NMOS-Bereich ist, und wobei das CMOS-Halbleiterbauteil Versetzungselemente aufweist, die innerhalb der Pufferschicht ausgebildet sind und dazu dienen, die Pufferschicht in dem ersten und dem zweiten Bereich zu relaxieren.
  4. CMOS-Halbleiterbauteil nach Anspruch 3, bei dem das Substrat (210) eine erste Gitterkonstante aufweist, bei dem die Pufferschicht (214) eine zweite Gitterkonstante aufweist, die größer als die erste Gitterkonstante ist, wobei das erste Halbleitermaterial (218) eine dritte Gitterkonstante aufweist, die kleiner als die zweite Gitterkonstante ist, und wobei das zweite Halbleitermaterial eine vierte Gitterkonstante aufweist, die größer als die zweite Gitterkonstante ist.
  5. CMOS-Halbleiterbauteil, das aufweist. ein Substrat, das einen ersten Bereich und einen zweiten Bereich umfasst, Isolationselemente, die innerhalb des Substrats in dem ersten und dem zweiten Bereich ausgebildet sind; eine Pufferschicht, die über dem Substrat und zwischen den Isolationselementen in dem ersten und dem zweiten Bereich ausgebildet ist; ein erstes Halbleitermaterial, das über der Pufferschicht und zwischen den Isolationselementen in dem ersten Bereich ausgebildet ist; und ein zweites Halbleitermaterial, das über der Pufferschicht und zwischen den Isolationselementen in dem zweiten Bereich ausgebildet ist, wobei sich das zweite Halbleitermaterial von dem ersten Halbleitermaterial unterscheidet, wobei die Pufferschicht in dem ersten Bereich eine Gesamthöhe aufweist, die sich von einer Gesamthöhe der Pufferschicht in dem zweiten Bereich unterscheidet; wobei der erste Bereich ein NMOS-Bereich ist undder zweite Bereich ein PMOS-Bereich ist; oder wobei der erste Bereich ein PMOS-Bereich ist und der zweite Bereich ein NMOS-Bereich ist, und wobei das Substrat eine erste Gitterkonstante aufweist, die Pufferschicht eine zweite Gitterkonstante aufweist, die größer als die erste Gitterkonstante ist, das erste Halbleitermaterial eine dritte Gitterkonstante aufweist, die kleiner als die zweite Gitterkonstante ist, und das zweite Halbleitermaterial eine vierte Gitterkonstante aufweist, die größer als die zweite Gitterkonstante ist.
  6. CMOS-Halbleiterbauteil nach Anspruch 5, das weiterhin aufweist: Versetzungselemente, die innerhalb der Pufferschicht (214) ausgebildet sind und dazu dienen, die Pufferschicht in dem NMOS- und dem PMOS-Bereich zu relaxieren.
  7. CMOS-Halbleiterbauteil nach einem der Ansprüche 3 bis 6, bei dem das erste Halbleitermaterial (218) unter Zugspannung steht, und bei dem das zweite Halbleitermaterial (222) unter Druckspannung steht.
DE201210108015 2012-05-16 2012-08-30 CMOS-Bauteil und Verfahren zur Herstellung desselben Active DE102012108015B3 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/473,149 US8680576B2 (en) 2012-05-16 2012-05-16 CMOS device and method of forming the same
US13/473,149 2012-05-16

Publications (1)

Publication Number Publication Date
DE102012108015B3 true DE102012108015B3 (de) 2013-08-22

Family

ID=48915447

Family Applications (1)

Application Number Title Priority Date Filing Date
DE201210108015 Active DE102012108015B3 (de) 2012-05-16 2012-08-30 CMOS-Bauteil und Verfahren zur Herstellung desselben

Country Status (4)

Country Link
US (2) US8680576B2 (de)
KR (1) KR101393917B1 (de)
CN (1) CN103426882B (de)
DE (1) DE102012108015B3 (de)

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9064892B2 (en) 2011-08-30 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices utilizing partially doped stressor film portions and methods for forming the same
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US20140054646A1 (en) * 2012-08-24 2014-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and Method for Multiple Gate Transistors
US9362406B2 (en) * 2012-12-12 2016-06-07 Taiwan Semiconductor Manufacturing Company Limited Faceted finFET
US9368619B2 (en) 2013-02-08 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for inducing strain in vertical semiconductor columns
US9466668B2 (en) 2013-02-08 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Inducing localized strain in vertical nanowire transistors
US9184233B2 (en) * 2013-02-27 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for defect passivation to reduce junction leakage for finFET device
JP6251604B2 (ja) * 2013-03-11 2017-12-20 ルネサスエレクトロニクス株式会社 フィンfet構造を有する半導体装置及びその製造方法
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9472652B2 (en) 2013-12-20 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9324717B2 (en) * 2013-12-28 2016-04-26 Texas Instruments Incorporated High mobility transistors
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9461170B2 (en) 2014-04-23 2016-10-04 Taiwan Semiconductor Manufacturing Company Ltd. FinFET with ESD protection
US20150380258A1 (en) * 2014-06-25 2015-12-31 Stmicroelectronics, Inc. Method for controlling height of a fin structure
US9299803B2 (en) 2014-07-16 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
CN105304490B (zh) * 2014-07-23 2020-09-15 联华电子股份有限公司 半导体结构的制作方法
DE102015100860A1 (de) 2014-08-22 2016-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metallunempfindliche Epitaxiebildung
US10263108B2 (en) 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
US9450093B2 (en) 2014-10-15 2016-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
EP3018715A1 (de) 2014-11-05 2016-05-11 IMEC vzw Verfahren zur Herstellung einer Transistorvorrichtung mit einem Germanium-Kanalmaterial auf einem siliziumbasierten Substrat und zugehörige Transistorvorrichtung
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9515071B2 (en) 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9391078B1 (en) 2015-01-16 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for finFET devices
US9349859B1 (en) 2015-01-29 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Top metal pads as local interconnectors of vertical transistors
US9406680B1 (en) 2015-02-13 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9564493B2 (en) 2015-03-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices having a semiconductor material that is semimetal in bulk and methods of forming the same
US9406675B1 (en) 2015-03-16 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method of manufacturing the same
US9368604B1 (en) * 2015-03-16 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing threading dislocation defect from a fin feature of III-V group semiconductor material
US9570557B2 (en) 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
US10483262B2 (en) 2015-05-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
US9530889B2 (en) 2015-05-21 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9559120B2 (en) 2015-07-02 2017-01-31 International Business Machines Corporation Porous silicon relaxation medium for dislocation free CMOS devices
US9583623B2 (en) 2015-07-31 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures disposed over buffer structures and manufacturing method thereof
US10164096B2 (en) 2015-08-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9666581B2 (en) 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US9647122B2 (en) 2015-09-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US10032873B2 (en) 2015-09-15 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9680017B2 (en) 2015-09-16 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin FET and manufacturing method thereof
CN106611787A (zh) * 2015-10-26 2017-05-03 联华电子股份有限公司 半导体结构及其制作方法
US10121858B2 (en) 2015-10-30 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elongated semiconductor structure planarization
US9960273B2 (en) 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
DE102016119024B4 (de) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum Herstellen einer FinFET-Vorrichtung mit epitaktischen Elementen mit flacher Oberseite
US11264452B2 (en) 2015-12-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Hetero-tunnel field-effect transistor (TFET) having a tunnel barrier formed directly above channel region, directly below first source/drain region and adjacent gate electrode
US10490552B2 (en) 2015-12-29 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device having flat-top epitaxial features and method of making the same
US9825036B2 (en) 2016-02-23 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor device
TWI699885B (zh) * 2016-03-22 2020-07-21 聯華電子股份有限公司 半導體結構與其製作方法
US9748389B1 (en) 2016-03-25 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain epitaxy
US10340383B2 (en) 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
US10163898B2 (en) 2016-04-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9899382B2 (en) 2016-06-01 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with different gate profile and method for forming the same
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10115624B2 (en) 2016-06-30 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication
US10164098B2 (en) 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US9870926B1 (en) 2016-07-28 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10008418B2 (en) 2016-09-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication
US10026840B2 (en) 2016-10-13 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of semiconductor device with source/drain structures
US10510618B2 (en) 2016-10-24 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET EPI channels having different heights on a stepped substrate
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10872889B2 (en) 2016-11-17 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and fabricating method thereof
US10529861B2 (en) 2016-11-18 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11437516B2 (en) 2016-11-28 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for growing epitaxy structure of finFET device
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10490661B2 (en) 2016-11-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dopant concentration boost in epitaxially formed material
US9935173B1 (en) 2016-11-29 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10431670B2 (en) 2016-12-15 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd Source and drain formation technique for fin-like field effect transistor
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10381468B2 (en) 2017-03-21 2019-08-13 International Business Machines Corporation Method and structure for forming improved single electron transistor with gap tunnel barriers
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
CN109671675B (zh) * 2017-10-16 2020-10-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109671628A (zh) * 2017-10-16 2019-04-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10861973B2 (en) 2018-06-27 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with a diffusion blocking layer
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11222958B2 (en) 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11101347B2 (en) 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Confined source/drain epitaxy regions and method forming same
US11282934B2 (en) 2019-07-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for metal gate electrode and method of fabrication
US11443980B2 (en) 2019-09-27 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with metal pad extending into top metal layer
US11557590B2 (en) 2020-02-19 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate profile optimization

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005209835A (ja) * 2004-01-22 2005-08-04 Sony Corp 半導体装置の製造方法および半導体装置
US20080073667A1 (en) * 2006-09-27 2008-03-27 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US20110227094A1 (en) * 2010-03-18 2011-09-22 Globalfoundries Inc. Strained silicon carbide channel for electron mobility of nmos

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5479033A (en) * 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
WO2002052652A1 (fr) * 2000-12-26 2002-07-04 Matsushita Electric Industrial Co., Ltd. Composant a semi-conducteur et son procede de fabrication
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
JP2003249648A (ja) * 2002-02-26 2003-09-05 Hitachi Ltd 半導体装置およびその製造方法
US6793731B2 (en) * 2002-03-13 2004-09-21 Sharp Laboratories Of America, Inc. Method for recrystallizing an amorphized silicon germanium film overlying silicon
DE10218381A1 (de) * 2002-04-24 2004-02-26 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer oder mehrerer einkristalliner Schichten mit jeweils unterschiedlicher Gitterstruktur in einer Ebene einer Schichtenfolge
US7138310B2 (en) * 2002-06-07 2006-11-21 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US7594967B2 (en) * 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
US6955952B2 (en) * 2003-03-07 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement
US6963078B2 (en) * 2003-03-15 2005-11-08 International Business Machines Corporation Dual strain-state SiGe layers for microelectronics
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US20050196925A1 (en) * 2003-12-22 2005-09-08 Kim Sang H. Method of forming stress-relaxed SiGe buffer layer
US7662689B2 (en) * 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
JP4177775B2 (ja) * 2004-03-16 2008-11-05 株式会社東芝 半導体基板及びその製造方法並びに半導体装置
KR100576361B1 (ko) * 2004-03-23 2006-05-03 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
GB2418531A (en) * 2004-09-22 2006-03-29 Univ Warwick Formation of lattice-tuning semiconductor substrates
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7405436B2 (en) * 2005-01-05 2008-07-29 International Business Machines Corporation Stressed field effect transistors on hybrid orientation substrate
US7220626B2 (en) * 2005-01-28 2007-05-22 International Business Machines Corporation Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US7626246B2 (en) * 2005-07-26 2009-12-01 Amberwave Systems Corporation Solutions for integrated circuit integration of alternative active area materials
US7801406B2 (en) * 2005-08-01 2010-09-21 Massachusetts Institute Of Technology Method of fabricating Ge or SiGe/Si waveguide or photonic crystal structures by selective growth
DE102005051994B4 (de) * 2005-10-31 2011-12-01 Globalfoundries Inc. Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
US7691698B2 (en) * 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US7901968B2 (en) * 2006-03-23 2011-03-08 Asm America, Inc. Heteroepitaxial deposition over an oxidized surface
WO2007112066A2 (en) * 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US8946811B2 (en) * 2006-07-10 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Body-tied, strained-channel multi-gate device and methods of manufacturing same
WO2008030574A1 (en) * 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
DE112008002387B4 (de) * 2007-09-07 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur einer Mehrfachübergangs-Solarzelle, Verfahren zur Bildung einer photonischenVorrichtung, Photovoltaische Mehrfachübergangs-Zelle und Photovoltaische Mehrfachübergangs-Zellenvorrichtung,
US7968910B2 (en) * 2008-04-15 2011-06-28 International Business Machines Corporation Complementary field effect transistors having embedded silicon source and drain regions
US7700416B1 (en) * 2008-04-25 2010-04-20 Acorn Technologies, Inc. Tensile strained semiconductor on insulator using elastic edge relaxation and a sacrificial stressor layer
US8232186B2 (en) * 2008-05-29 2012-07-31 International Business Machines Corporation Methods of integrating reverse eSiGe on NFET and SiGe channel on PFET, and related structure
US8183667B2 (en) * 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US7994020B2 (en) * 2008-07-21 2011-08-09 Advanced Micro Devices, Inc. Method of forming finned semiconductor devices with trench isolation
US7911028B2 (en) * 2008-07-31 2011-03-22 Nanya Technology Corp. Semiconductor device and method of manufacturing the same
JP5166458B2 (ja) * 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
US8062963B1 (en) * 2010-10-08 2011-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device having an epitaxy region

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005209835A (ja) * 2004-01-22 2005-08-04 Sony Corp 半導体装置の製造方法および半導体装置
US20080073667A1 (en) * 2006-09-27 2008-03-27 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US20110227094A1 (en) * 2010-03-18 2011-09-22 Globalfoundries Inc. Strained silicon carbide channel for electron mobility of nmos

Also Published As

Publication number Publication date
US20140141582A1 (en) 2014-05-22
CN103426882A (zh) 2013-12-04
US20130307021A1 (en) 2013-11-21
US8680576B2 (en) 2014-03-25
KR20130128298A (ko) 2013-11-26
KR101393917B1 (ko) 2014-05-12
CN103426882B (zh) 2016-05-04
US8927362B2 (en) 2015-01-06

Similar Documents

Publication Publication Date Title
DE102012108015B3 (de) CMOS-Bauteil und Verfahren zur Herstellung desselben
DE102019126237B4 (de) Dielektrische finnen mit unterschiedlichen dielektrizitätskonstanten und grössen in unterschiedlichen zonen einer halbleitervorrichtung
DE102012204516B4 (de) FinFET-Vorrichtung und Herstellungsverfahren für dieselbe
DE102014119642B4 (de) Finfets mit einem source-/drainüberzug
DE102015113081B4 (de) Feldeffekttransistorstruktur mit mehreren Schwellenspannungen und Herstellungsverfahren dafür
DE102014203524B4 (de) Verfahren zum Ausbilden von defektarmen Ersatzflossen für ein FinFET-Halbleiterbauelement sowie daraus resultierende Bauelemente
DE102014201625B4 (de) Verfahren zum Bilden einer Vorrichtung (Fin-FET)
DE10393687B4 (de) Doppelgatehalbleiterbauelement mit separaten Gates und Verfahren zur Herstellung des Doppelgatehalbleiterbauelements
DE102014119221B4 (de) FinFET-Vorrichtung und Verfahren zu ihrer Herstellung
DE112005000704B4 (de) Nicht-planarer Bulk-Transistor mit verspanntem Kanal mit erhöhter Mobilität und Verfahren zur Herstellung
DE102018202897A1 (de) Austauschmetallgatestrukturierung für Nanosheet-Vorrichtungen
DE112020000199B4 (de) Herstellungsverfahren für einen Transistorkanal mit vertikal gestapelten Nanoschichten, die durch finnenförmige Brückenzonen verbunden sind
DE102015120488A1 (de) Integrierter zugverspannter silizium-nfet und druckverspannter silizium-germanium-pfet, welche in finfet-technologie implementiert sind
DE102017114981A1 (de) Verfahren zum Herstellen einer Halbleitervorrichtung und Halbleitervorrichtung
DE102012102781B4 (de) Verfahren zur Herstellung eines 3D-Halbleiterbauelements
DE102013103812B4 (de) Halbleiterbauteil mit Verbindungen über mehrere Ebenen sowie Verfahren zur Ausbildung desselben
DE102017103419A1 (de) Verfahren zum herstellen einer halbleitervorrichtung mit getrennter fusionierter struktur
DE102015110636A1 (de) ET-Strukturen und Bildungsverfahren
DE102012214077A1 (de) Integrierte Schaltungen mit abstehenden Source- und Drainbereichen und Verfahren zum Bilden integrierter Schaltungen
DE102014211026A1 (de) Verfahren zum Bilden von Austauschfins für eine FinFET-Halbleitervorrichtung unter Durchführung eines Austauschaufwachsprozesses
DE112020000212B4 (de) Verfahren zur herstellung eines transistorkanals mit vertikal gestapelten nanoschichten, die durch finnenförmige brückenzonen verbunden sind
DE102014019360A1 (de) Halbleiterstruktur und ihr herstellungsverfahren
DE102018119795B4 (de) Spannungsmodulation für dielektrische Schichten
DE102017123948B4 (de) Umschlossene epitaxiale struktur und verfahren
DE102019130454A1 (de) Gate-strukturen mit neutralen zonen zur minimierung von metallischen gate-grenzeffekten und verfahren zu deren herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20131123