CN104008962B - 用于缺陷钝化以减少finfet器件的结泄漏的结构和方法 - Google Patents

用于缺陷钝化以减少finfet器件的结泄漏的结构和方法 Download PDF

Info

Publication number
CN104008962B
CN104008962B CN201310201539.9A CN201310201539A CN104008962B CN 104008962 B CN104008962 B CN 104008962B CN 201310201539 A CN201310201539 A CN 201310201539A CN 104008962 B CN104008962 B CN 104008962B
Authority
CN
China
Prior art keywords
semi
conducting material
active region
fin active
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310201539.9A
Other languages
English (en)
Other versions
CN104008962A (zh
Inventor
马克·范·达尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN104008962A publication Critical patent/CN104008962A/zh
Application granted granted Critical
Publication of CN104008962B publication Critical patent/CN104008962B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2011Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate the substrate being of crystalline insulating material, e.g. sapphire
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0688Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions characterised by the particular shape of a junction between semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明提供半导体结构的一个实施例。半导体结构包括:第一半导体材料的半导体衬底;在半导体衬底中形成的浅沟槽隔离(STI)部件;以及在半导体衬底上外延生长的第二半导体材料的鳍式有源区。第一半导体材料具有第一晶格常数,而第二半导体材料具有不同于第一晶格常数的第二晶格常数。鳍式有源区包括氟物质。本发明还提供了用于缺陷钝化以减少FINFET器件的结泄漏的结构和方法。

Description

用于缺陷钝化以减少FINFET器件的结泄漏的结构和方法
技术领域
本发明一般地涉及半导体技术领域,更具体地,涉及一种半导体结构及其形成方法。
背景技术
由于锗(Ge)在所有IV和III-V族半导体中本质上具有最高空穴迁移率并且具有比硅(Si)高两倍的电子迁移率,考虑Ge代替Si作为用于未来互补金属氧化物半导体场效应晶体管(CMOS)节点的p-沟道材料。可以预见,高迁移率沟道材料可与诸如输入/输出(I/O)、静电放电(ESD)的基于Si的外围设备集成在一起。因此,有必要在块状Si晶圆上结合硅沟道。
由于Ge和Si的大晶格失配,在Si上外延生长低缺陷Ge具有挑战性。尤其是,在外延生长期间会引入多种缺陷。例如,在外延生长的Ge层中形成穿透位错缺陷。使用多种方法来减少诸如穿透位错缺陷、叠层缺陷、点缺陷等的异质外延相关的缺陷。然而,现有方法具有与器件质量和可靠性相关的多种问题和缺点。例如,在现有方法中,限制穿透位错缺陷(threading dislocation defect)但是没有消除穿透位错缺陷。由于这些缺陷可能具有电活性,所以形成的晶体管还可能经受增加的结泄漏(junction leakage)。
因此,需要一种解决这些问题的结构和方法,以增强性能和减少结泄漏。
发明内容
为了解决现有技术中所存在的缺陷,根据本发明的一方面,提供了一种半导体结构,包括:半导体衬底,具有第一半导体材料;浅沟槽隔离(STI)部件,形成在所述半导体衬底中;以及鳍式有源区,具有第二半导体材料且在所述半导体衬底上外延生长,其中所述第一半导体材料具有第一晶格常数,而所述第二半导体材料具有不同于所述第一晶格常数的第二晶格常数,并且所述鳍式有源区进一步包括氟物质。
在该半导体结构中,所述STI部件具有第一顶面,而所述鳍式有源区具有与所述第一顶面不共面并且相对于所述STI部件突出的第二顶面,以及所述STI部件具有第一底面,而所述鳍式有源区具有与所述第一底面不共面的第二底面。
在该半导体结构中,所述第二半导体材料的所述鳍式有源区具有在两个相邻STI部件之间横跨的宽度W和作为从所述第二顶面至所述第二底面的竖直距离所测量的高度H,以及比率H/W大于1.4。
在该半导体结构中,所述氟物质具有从所述第二顶面至所述第二底面的浓度分布曲线,以及所述浓度分布曲线在基本接近所述第二底面处具有峰值。
该半导体结构进一步包括:分布在所述鳍式有源区中的穿透位错缺陷,所述穿透位错缺陷通过所述氟物质去活性。
在该半导体结构中,所述穿透位错缺陷分布在所述鳍式有源区的下部并且从所述第二底面向上延伸。
在该半导体结构中,所述第一半导体材料是硅,并且所述第二半导体材料包括锗。
在该半导体结构中,所述第一半导体材料是硅,并且所述第二半导体材料是选自由硅锗(SiGe)、磷化铟(InP)、砷化镓铟(InGaAs)以及砷化铟(InAs)所组成的组中的化合物半导体材料。
该半导体结构进一步包括:栅叠层,设置在所述鳍式有源区上方;源极部件和漏极部件,形成在所述鳍式有源区中并且夹置所述栅叠层;以及沟道,限定在所述栅叠层下面的所述鳍式有源区中并且介于所述源极部件和所述漏极部件之间,其中,所述栅叠层、所述源极部件、所述漏极部件以及所述沟道被配置为形成鳍式场效应晶体管(FinFET)。
在该半导体结构中,所述氟物质具有约1×1017/cm3和约1×1021/cm3之间的峰值浓度。
根据本发明的另一方面,提供了一种半导体结构,包括:浅沟槽隔离(STI)部件,形成在硅衬底中,在两个相邻STI部件之间限定所述半导体衬底的硅区域;有源区,具有在所述硅区域上外延生长的半导体材料并且从相邻的STI部件突出,其中所述硅衬底具有第一晶格常数,而所述半导体材料具有不同于所述第一晶格常数的第二晶格常数,并且所述有源区进一步包括惰性掺杂物质。
在该FET结构中,所述惰性掺杂物质是氟。
在该FET结构中,所述半导体材料是锗,并且所述惰性掺杂物质具有小于锗的尺寸。
在该FET结构中,所述STI部件具有第一底面,而所述鳍式有源区具有与所述第一底面不共面的第二底面,所述第二半导体材料的所述有源区具有在所述两个相邻STI部件之间横跨的宽度W和作为从所述有源区的顶面至所述有源区的底面的竖直距离所测量的高度H,并且比率H/W大于1.4。
在该FET结构中,所述有源区包括顶面和底面,所述惰性掺杂物质具有从所述顶面至所述底面的掺杂浓度分布曲线,以及所述浓度分布曲线在基本接近所述底面处具有峰值。
该半导体结构进一步包括:从所述有源区的底面向上延伸并且分布在所述有源区的下部的穿透位错缺陷,其中,所述穿透位错缺陷通过所述惰性掺杂物质去活性。
在该FET结构中,所述半导体材料是选自由锗(Ge)、硅锗(SiGe)、磷化铟(InP)、砷化镓铟(InGaAs)以及砷化铟(InAs)所组成的组中的半导体材料。
根据本发明的又一方面,提供了一种形成鳍式场效应晶体管(FinFET)结构的方法,所述方法包括:在第一半导体材料的半导体衬底中形成多个浅沟槽隔离(STI)部件,由此限定通过所述STI部件相互间隔开的多个半导体部件;使所述半导体部件凹进;在凹进的半导体部件上外延生长第二半导体材料,以形成所述第二半导体材料的多个鳍式有源区,其中,所述第二半导体材料相对于所述第一半导体材料具有晶格失配,从而在所述鳍式有源区中生成穿透位错缺陷;以及对所述鳍式有源区实施氟注入,以使形成在所述鳍式有源区中的所述穿透位错缺陷去活性。
该方法进一步包括:在外延生长所述第二半导体材料之后,实施抛光工艺以去除多余的第二半导体材料;以及此后,使所述STI部件凹进。
该方法进一步包括:在实施用于缺陷去活性的氟注入之后,对所述鳍式有源区中的氟掺杂物质实施退火工艺。
在该方法中,所述第一半导体材料是硅,外延生长所述第二半导体材料包括外延生长硅锗,以及所述退火工艺的退火温度在约500℃和约900℃之间。
在该FET结构中,实施所述氟注入包括:实施剂量在约1×1012/cm2和约1×1016/cm2之间的氟注入。
附图说明
当结合附图进行阅读时,通过以下详细说明可以最好地理解本发明。应该强调的是,根据工业中的标准实践,各种部件没有按比例绘制。事实上,为了论述的清楚起见,多种部件的尺寸可以任意地增加或减小。
图1至图6是根据一个或多个实施例构建的处于各个制造阶段的具有鳍结构的半导体结构的截面图。
图7是根据一个实施例构建的形成图6的半导体结构的方法的流程图。
图8是根据一个实施例构建的图6的外延生长的半导体材料的一部分的示意图。
图9是根据一个实施例构建的具有鳍结构的半导体结构的一部分的俯视图。
图10是根据一个实施例构建的图9的半导体结构的截面图。
具体实施方式
应该理解,以下发明内容提供用于实现多个实施例的不同特征的多个不同实施例或实例。为了简化本发明,以下描述组件和布置的特定实例。当然,它们仅为实例并且不旨在进行限定。另外,在多个实例中,本发明可以重复参考数字和/或字母。该重复用于简单和清楚的目的并且其本身并没有规定所论述的多个实施例和/或配置之间的关系。而且,在以下说明中,在第一部件形成在第二部件上方或上可以包括第一部件和第二部件以直接接触的方式形成的实施例,并且还可以包括可以形成介于第一部件和第二部件之间的附加部件,使得第一部件和第二部件可以不直接接触的实施例。
图1至图6是根据一个或多个实施例构建的处于各个制造阶段的半导体结构100的截面图。在一个实施例中,半导体结构100包括一个或多个场效应晶体管(FET)。图7是根据一个实施例构建的制造半导体结构100的方法200的流程图。参考图1至图7共同描述半导体结构100和方法200。
参考图1,半导体结构100包括第一半导体材料的半导体衬底110。在本实施例中,第一半导体材料是硅。可选地,第一半导体材料可以包括其他合适半导体材料。在一个实施例中,半导体衬底110包括用于通过诸如被称为注氧隔离(SIMOX)技术的合适技术所形成的隔离的隐埋介电材料层。在一些实施例中,衬底110可以为绝缘体上半导体,诸如,绝缘体上硅(SOI)。
参考图1和图7,方法200开始于操作202,其中,在半导体衬底110中形成多个隔离部件112。在本实施例中,隔离部件112为浅沟槽隔离(STI)部件。
STI部件112形成在半导体衬底110中并且限定多个半导体区域114。半导体区域114通过STI部件112相互间隔开并且隔离。而且,半导体衬底110的顶面和STI部件112的顶面在本制造阶段是共面的。在一个实施例中,STI部件114的形成包括:形成具有限定STI部件的区域的开口的硬掩模;通过硬掩模的开口蚀刻半导体衬底110以形成沟道;沉积介电材料以填充沟道;以及实施化学机械抛光(CMP)工艺。在一个实施例中,STI部件112的深度在约30nm和约250nm之间的范围内。
在一个实施例中,STI部件112的形成进一步包括:在CMP之后去除硬掩模。在另一个实施例中,硬掩模包括经过热氧化的二氧化硅层以及在二氧化硅层上经过化学汽相沉积(CVD)的氮化硅。在又一个实施例中,在CMP工艺之后,去除硬掩模。
在另一个实施例中,介电材料的沉积进一步包括:沟道的热氧化以及通过CVD用诸如二氧化硅的介电材料填充沟道。在一个实例中,填充沟道的CVD工艺包括高密度等离子体CVD(HDPCVD)。
尤其是,半导体区域114被设计成具有在随后外延生长期间实现纵横比捕获(ART,aspect ratio trapping)的尺寸。在稍后的制造阶段进一步描述和解释ART技术和半导体区域114的尺寸。在本实例中,STI部件112的高度“Hs”在约100nm和300nm之间的范围内。在另一个实例中,每个半导体区域114的宽度“W”都在约5nm和约50nm之间的范围内。半导体区域114的宽度W为横跨两个相邻STI部件112之间的尺寸。
可以在半导体衬底110上形成其他部件。在一个实例中,通过一次或多次注入或其他合适掺杂技术在半导体衬底110中的半导体区域114内形成诸如n阱和p阱的多个掺杂区域。
参考图2和图7,方法200包括操作204,其中,选择性地使衬底110的第一半导体材料相对于STI部件112凹进,从而生成STI部件112中的多个凹槽116。在本实施例中,凹进工艺包括:蚀刻,以去除在STI部件112之间的半导体区域114的顶部。蚀刻以使半导体区域114凹进包括干蚀刻、湿蚀刻或其他合适蚀刻技术。在一个实例中,使半导体区域114凹进的蚀刻包括气态盐酸(气态HCI)。
凹进的半导体区域114的顶面118充分低于STI部件112的表面。在一个实例中,凹进的半导体区域114的顶面118充分低于STI部件112的顶面而高于STI部件112的底面。在本实例中,凹槽深度在约100nm和约300nm的范围内。
如图2所示,每个凹槽116的尺寸都包括宽度W和高度H。凹槽116的纵横比被限定为H/W。在本实施例中,为了实现纵横比捕获,凹槽被设计成使得纵横比H/W大于1.4。
参考图3和图7,方法200包括操作206,其中,在凹进的半导体区域114上外延生长第二半导体材料120。第二半导体材料的组分不同于第一半导体材料。因此,外延生长是异质外延生长。尤其是,第一半导体材料具有第一晶格常数,而第二半导体材料具有不同于第一晶格常数的第二晶格常数。因此,在界面处存在第一半导体材料和第二半导体材料之间的失配。在一个实例中,失配为4%或更大。晶格常数的失配导致在第二半导体材料120中所生成的缺陷。在该情况下,缺陷包括穿透位错缺陷和点缺陷,缺陷位于第一半导体材料和第二半导体材料之间的界面处并且向上延伸。
在一个实施例中,第二半导体材料通过晶格常数失配不同于第一半导体材料,以产生应变效应和增强迁移率。在其他实施例中,第一半导体材料包括硅,而第二半导体材料包括III-V族化合物半导体,以使用成熟硅制造技术使III-V族化合物半导体有源区集成在硅衬底上,以用于高性能(高速或高频)器件。
在一个实施例中,半导体衬底110包括硅,而第二半导体材料120包括锗(Ge)或诸如Si50Ge50的硅锗(SiGe)。
在另一个实施例中,在用于p型FET的半导体区域中外延生长的第二半导体材料120包括选自由硅锗、硅锗碳化物、锗、硅以及它们的组合所构成的组中的半导体材料。在另一个实施例中,在用于n-型FET的半导体区域中外延生长的第二半导体材料120包括选自由磷化硅、碳化硅、硅以及它们的组合所构成的组中的半导体材料。
在又一个实施例中,用于p型FET的第二半导体材料120和用于n-型FET的第二半导体材料都是现有的、不同的并且使用相应的半导体材料外延生长。作为用于说明的一个实例,在第一组半导体区域114中的第二半导体材料120用于p型FET,而用于第二组半导体区域114的第二半导体材料120用于n型FET。
在又一个实施例中,第二半导体材料120包括诸如磷化铟(InP)、砷化镓铟(InGaAs)或砷化铟(InAs)的III-V族化合物半导体材料。在又一个实施例中,在第二半导体材料中形成n型FET的沟道区。
外延生长在半导体区域114中的第一半导体材料上选择性地生长晶状半导体材料。第二半导体材料120填充凹槽116。为了确保凹槽116被完全填充,第二半导体材料120很大限度地过生长,从而生成第二半导体材料超出STI部件112的多余部分。在一个实例中,第二半导体材料在STI部件112上方的过生长部分的厚度在约100nm和约1000nm之间的范围内。在另一个实例中,过生长部分的厚度为约500nm。
当凹槽116具有特定纵横比H/W(在本实施例中,大于1.4)时,在第二半导体材料120的底部处通过STI部件112的侧壁捕获穿透位错,使得第二半导体材料120的顶部没有缺陷。因此,该技术被称为纵横比捕获(ART)。
参考图4和图7,方法200可以包括:实施抛光工艺,以去除第二半导体材料120在STI部件112的顶面上方的多余部分。在本实施例中,抛光工艺是应用于第二半导体材料120的化学机械抛光(CMP),以去除额外部分并且平坦化半导体结构100的顶面。
参考图5和图7,方法200包括:操作212,使STI部件112凹进,形成鳍式有源区(或鳍式有源区)122。施加蚀刻工艺,以选择性地蚀刻STI部件112,使得STI部件凹进。蚀刻工艺包括湿蚀刻或其他合适蚀刻技术,以选择性地蚀刻STI部件112。在STI包括氧化硅的一个实施例中,蚀刻工艺使用氟化氢(HF)溶液。在一个实例中,施加2%的HF溶液,以使STI部件112凹进约2分钟。
如上所述,穿透位错不能到达第二半导体材料120的顶部。由于FinFET本质上具有很大的高-宽纵横比,所以这更适合于鳍式场效应晶体管(FinFET)。
参考图6和图7,方法200包括:操作214,其中,实施离子注入工艺124,以将惰性(inactive)掺杂物质引入鳍式有源区122。在本实施例中,在惰性注入之后,实施退火工艺。尤其是,惰性掺杂物质尺寸小,以能够使诸如穿透位错缺陷的缺陷去活性(deactivate)。退火工艺有助于进一步分配惰性掺杂物质,以有效地使穿透缺陷去活性。应该注意,惰性掺杂不同于n型或p型掺杂,并且没有n型掺杂和p型掺杂的效果。不管是n型、p型还是中性的,惰性掺杂都不会改变第二半导体材料120的掺杂类型。离子注入和退火工艺被设计成具有基本分布在鳍式有源区122的底部的惰性掺杂。优选地,在竖直方向上惰性掺杂分布曲线的掺杂浓度峰值与在鳍式有源区122中的缺陷区域一致。在一个实例中,掺杂浓度峰值充分接近第一半导体材料和第二半导体材料之间的界面。
在本实施例中,惰性掺杂是氟(F)。在第二半导体材料是锗的一个实施例中,利用氟能量在约20KeV和约200KeV之间并且氟剂量在约1×1012/cm2和1×1016/cm2之间的注入工艺,将氟引入鳍式有源区122。在又一个实施例中,退火工艺的退火温度在约400℃和约700℃之间的范围内。因此,这样形成的鳍式有源区122具有掺杂浓度峰值在约1×1017/cm3和1×1021/cm3之间并且竖直位置(自顶面)在约100nm和500nm之间的惰性掺杂分布曲线。
在第二半导体材料是硅锗(Si50Ge50)的另一个实施例中,通过氟能量在约10KeV和约80KeV之间并且氟剂量在约1×1012/cm2和1×1016/cm2之间的注入工艺,将氟引入至鳍式有源区122。在又一个实施例中,退火工艺的退火温度在约500℃和约900℃之间。因此,这样形成的鳍式有源区122具有掺杂浓度峰值在约1×1017/cm3和1×1021/cm3之间并且竖直位置(自顶面)在约100nm和约500nm之间的惰性掺杂分布曲线。
在其他实施例中,可选地,具有小尺寸的其他惰性掺杂物质可以用于相同目的。例如,氯(CI)、硫(S)、或硒(Se)可以用于惰性掺杂。
如上所述,甚至通过纵横比捕获,穿透位错仍然存在。在第一半导体材料和第二半导体材料之间的界面处的鳍式有源区122的底部捕获缺陷,但是试验显示,这些缺陷是电活性的并且会导致沟道泄漏。惰性掺杂有效地减少这些缺陷的活性。在图8中示出了第二半导体材料120的鳍式有源区122的一部分的示意图。在本实例中,第二半导体材料120是锗(在图8中为大圆点)。鳍式有源区122是晶状结构并且包括示例性缺陷222。将惰性掺杂物质氟(在图8中为小圆点)分配给缺陷222并且使缺陷222去活性。
方法200包括其他操作216,形成多个器件部件,诸如被配置成形成多种鳍式场效应晶体管(FinFET)的栅叠层、源极和漏极部件。操作216还包括:形成被配置成耦合FET和其他器件的互连结构以形成功能电路。参考图9和10进一步描述操作216以用于说明。图9是在一个实施例中根据本发明的多个方面构建的半导体结构250的俯视图。图10是在一个实施例中根据本发明的多个方面构建的通过虚线AA’截取的半导体结构250的截面图。在一个实施例中,半导体结构250是半导体结构100的一部分的实例。
在衬底110和鳍式有源区122上方形成栅极252。栅极252包括具有介电层和在栅极介电层上的栅电极层的栅叠层254。栅极介电层包括介电材料,诸如氧化硅、氧化锗、高k介电材料层或它们的组合。在另一个实施例中,栅极介电层包括界面层(诸如,氧化硅或氧化锗层)和在界面层上的高k介电材料层。栅电极层包括导电材料层,诸如掺杂的多晶硅(多晶硅)、金属、金属合金或它们的组合。
可以通过包括形成栅极介电层,在栅极介电层上形成栅电极层,以及图案化栅电极层和栅极介电层的步骤来形成栅叠层254。栅叠层254的形成可以进一步包括:用高k电介质和金属替换先前形成的栅叠层的栅极替换步骤。栅极替换可以包括:在随后制造阶段,替换栅极介电层和栅电极的后栅极操作或后高k介电层操作。
栅极252还可以包括通过包括沉积和各向异性蚀刻的步骤在栅叠层254的侧壁上所形成的栅极间隔件256。
操作216还包括在鳍式有源区122上形成多个源极和漏极部件。源极和漏极部件形成在栅极252的两侧上,并且被配置成与栅极252形成FinFET。源极和漏极部件可以包括轻掺杂漏极(LDD)部件258和重掺杂源极和漏极(HDD)部件260。通过离子注入或其他合适技术形成源极和漏极部件。在栅叠层下方的鳍式有源区122中形成沟道,并且在源极和漏极部件之间限定该沟道。在一个实例中,通过包括形成栅叠层254,形成LDD部件258,形成栅极间隔件256和形成HDD部件260的步骤来形成栅极252以及源极和漏极部件。
在一个实施例中,操作216还包括在衬底110上形成互连结构以提供电布线并且连接多个器件,从而形成功能电路。
互连结构包括水平导电部件(金属线)和垂直导电部件(诸如,通孔和接触件)。互连结构包括被称为铝互连件的导电材料,诸如,铝、铝/硅/铜合金、钛、氮化钛、钨、多晶硅、金属硅化物或它们的组合。可以通过包括物理汽相沉积(或溅射)、化学汽相沉积(CVD)、或它们的组合的工艺来形成铝互连件。形成铝互连的其他制造技术可以包括光刻处理和蚀刻,以图案化用于垂直连接件(通孔和接触件)和水平连接件(导电线)的导电材料。可选地,可以使用铜多层互连件并且该铜多层互连件包括铜、铜合金、钛、氮化钛、钽、氮化钽、钨、多晶硅、金属硅化物或它们的组合。可以通过诸如CVD、溅射、喷镀或其他合适工艺的技术来形成铜多层互连件。在多层互连件中所使用的金属硅化物可以包括硅化镍、硅化钴、硅化钨、硅化钽、硅化钛、硅化铂、硅化铒、硅化钯或它们的组合。
互连结构进一步包括隔离多种导电部件(金属线、通孔和接触件)的层间介电层(层间介电层或ILD)。ILD可以是诸如介电常数小于约3.5的低介电常数(低k)的材料。ILD可以包括二氧化硅、氮化硅、氮氧化硅、聚酰亚胺、旋涂玻璃(SOG)、掺氟硅玻璃(FSG)、掺碳氧化硅、低k介电材料,和/或其他合适材料。可以通过包括旋涂、CVD、溅射、或其他合适工艺的技术来形成ILD。
在方法200的操作之前、期间和之后实现其他制造步骤。
因此,以上在多个实施例中描述方法200和由其所制成的半导体结构。在一些实施例中,可以具有不同优点。例如,减少或消除与缺陷相关的结泄漏。图10示出鳍式有源区122中的缺陷262(穿透位错)。在衬底110的第一半导体材料和鳍式有源区122的第二半导体材料之间的界面处生成穿透位错并且该穿透位错向上延伸。然而,由于鳍式有源区的特别设计的高-宽纵横比,通过STI部件的侧壁在鳍式有源区122的底部上捕获穿透位错。而且,对鳍式有源区122的惰性掺杂有效地使所捕获的穿透位错去活性(并且如果存在,使其他缺陷去活性)。因此,明显地减少或消除了漏极至体块的泄漏电流(诸如,区域264中的泄漏),由此改进相应器件的断开状态泄漏。
可以在集成不同半导体材料以用于增强性能的多种应用中使用本发明。在一个实例中,应用包括诸如用于n-型FinFET的硅上锗的应变FinFET。在另一个实例中,应用包括在III-V族化合物半导体层上形成的高速和高频器件。在其他实例中,所公开的结构和方法可以通过集成不同半导体材料结合在诸如逻辑电路、存储器件、感测器件、射频器件或其他器件中。
因此,本发明提供一种半导体结构。半导体结构包括:第一半导体材料的半导体衬底;浅沟槽隔离(STI)部件,形成在半导体衬底中;以及第二半导体材料的鳍式有源区,在半导体衬底上外延生长。第一半导体材料具有第一晶格常数,而第二半导体材料具有不同于第一晶格常数的第二晶格常数。鳍式有源区进一步包括氟物质(fluorine species)。
在半导体结构的一个实施例中,STI部件具有第一顶面,而鳍式有源区具有第二顶面,第二顶面与第一顶面不共面并且从STI部件中凸起,以及STI部件具有第一底面,而鳍式有源区具有与第一底面不共面的第二底面。
在另一个实施例中,第二半导体材料的鳍式有源区具有横跨两个相邻STI部件之间的宽度W和作为从第二顶面至第二底面的竖直距离所测量的高度H,并且比率H/W大于1.4。
在又一个实施例中,氟物质具有从第二顶面至第二底面的浓度分布曲线,并且浓度分布曲线基本在第二底面的缺陷区域中具有浓度峰值。
在又一个实施例中,半导体结构进一步包括:分布在鳍式有源区中的位错缺陷,其中,穿透位错缺陷通过氟物质去活性。
在又一个实施例中,穿透位错缺陷分布在鳍式有源区的下部并且从第二底面向上延伸。
在又一个实施例中,第一半导体材料是硅,而第二半导体材料包括锗。
在又一个实施例中,第一半导体材料是硅,而第二半导体材料是选自由硅锗(SiGe)、磷化铟(InP)、砷化镓铟(InGaAs)、以及砷化铟(InAs)构成的组中的化合物半导体材料。
在又一个实施例中,半导体结构进一步包括:栅叠层,设置在鳍式有源区上方;源极和漏极部件,形成在鳍式有源区中并且其间夹置栅叠层;以及沟道,限定在栅叠层下面的鳍式有源区中并且介于源极和漏极部件之间,其中,栅叠层、源极和漏极部件、以及沟道被配置成形成鳍式场效应晶体管(FinFET)。
在又一个实施例中,氟物质具有在约1×1017/cm3和约1×1021/cm3之间的峰值浓度。
本发明还提供半导体结构的另一个实施例。半导体结构包括:浅沟槽隔离(STI)特征,形成在硅衬底中;在两个相邻STI部件之间限定半导体衬底的硅区域;半导体材料的有源区,在硅区域上外延生长并且从相邻的STI部件中凸起。硅衬底具有第一晶格常数,而半导体材料具有不同于第一晶格常数的第二晶格常数。有源区进一步包括惰性掺杂物质。
在FET结构的一个实施例中,惰性掺杂物质是氟。在另一个实施例中,半导体材料是锗,而惰性掺杂物质具有小于锗的尺寸。
在又一个实施例中,STI部件具有第一底面,而鳍式有源区具有与第一底面不共面的第二底面,第二半导体材料的有源区具有横跨两个相邻STI部件之间的宽度W和作为从有源区的顶面至有源区的底面的竖直距离所测量的高度H,并且比率H/W大于1.4。
在又一个实施例中,有源区包括顶面和底面,惰性掺杂物质具有从顶面至底面的掺杂浓度分布曲线,并且浓度分布曲线在充分接近底面处具有峰值。
在又一个实施例中,半导体结构进一步包括:从有源区的底面向上延伸并且分布在有源区的下部的穿透位错缺陷,其中,穿透位错缺陷通过惰性掺杂物质去活性。
在又一个实施例中,半导体材料是选自由锗(Ge)、硅锗(SiGe)、磷化铟(InP)、砷化镓铟(InGaAs)和砷化铟(InAs)所构成的组中的半导体材料。
本发明还提供一种形成鳍式场效应晶体管(FinFET)结构的方法的一个实施例。该方法包括:在第一半导体材料的半导体衬底中形成多个浅沟槽隔离(STI)部件,由此限定通过STI部件相互间隔开的多个半导体部件;使半导体部件凹进;在凹进的半导体部件上外延生长第二半导体材料,以形成第二半导体材料的多个鳍式有源区,其中,第二半导体材料具有第一半导体材料的晶格失配,从而在鳍式有源区中生成穿透位错缺陷;以及对鳍式有源区实施氟注入,以使在鳍式有源区中所形成的穿透位错缺陷去活性。
在一个实施例中,方法进一步包括:在外延生长第二半导体材料之后,实施抛光工艺,以去除多余的第二半导体材料;以及此后,使STI部件凹进。
在另一个实施例中,方法进一步包括:在实施用于缺陷去活性的氟注入之后,对鳍式有源区中的氟掺杂物质实施退火工艺。
在又一个实施例中,第一半导体材料是硅,外延生长第二半导体材料包括外延生长硅锗,以及退火工艺的退火温度在约500℃和约900℃之间。在又一个实施例中,执行氟注入包括:实施剂量在约1×1012/cm2和约1×1016/cm2之间的氟注入。
以上概述了多个实施例的特征。应该理解,本领域普通技术人员可以容易地使用本发明作为基础来设计或修改用于实现与在此介绍的实施例相同的目的和/或实现与其相同的优点的其他工艺和结构。本领域普通技术人员还将认识到,这样的等同结构没有背离本发明的精神和范围,并且可以在不背离本发明的精神和范围的情况下,对本文作出多种改变、替换和更改。

Claims (19)

1.一种半导体结构,包括:
半导体衬底,具有第一半导体材料;
浅沟槽隔离(STI)部件,形成在所述半导体衬底中;以及
鳍式有源区,具有第二半导体材料且在所述半导体衬底上外延生长,其中
所述第一半导体材料具有第一晶格常数,而所述第二半导体材料具有不同于所述第一晶格常数的第二晶格常数,并且
所述鳍式有源区进一步包括氟物质;
所述浅沟槽隔离部件具有第一顶面,而所述鳍式有源区具有与所述第一顶面不共面并且相对于所述浅沟槽隔离部件突出的第二顶面,以及
所述浅沟槽隔离部件具有第一底面,而所述鳍式有源区具有与所述第一底面不共面的第二底面;
所述氟物质具有从所述第二顶面至所述第二底面的浓度分布曲线,以及
所述浓度分布曲线在接近所述第二底面处具有峰值。
2.根据权利要求1所述的半导体结构,其中,
所述第二半导体材料的所述鳍式有源区具有在两个相邻浅沟槽隔离部件之间横跨的宽度W和作为从所述第二顶面至所述第二底面的竖直距离所测量的高度H,以及
比率H/W大于1.4。
3.根据权利要求1所述的半导体结构,进一步包括:分布在所述鳍式有源区中的穿透位错缺陷,所述穿透位错缺陷通过所述氟物质去活性。
4.根据权利要求3所述的半导体结构,其中,所述穿透位错缺陷分布在所述鳍式有源区的下部并且从所述第二底面向上延伸。
5.根据权利要求1所述的半导体结构,其中,
所述第一半导体材料是硅,并且
所述第二半导体材料包括锗。
6.根据权利要求1所述的半导体结构,其中,
所述第一半导体材料是硅,并且
所述第二半导体材料是选自由硅锗(SiGe)、磷化铟(InP)、砷化镓铟(InGaAs)以及砷化铟(InAs)所组成的组中的化合物半导体材料。
7.根据权利要求1所述的半导体结构,进一步包括:
栅叠层,设置在所述鳍式有源区上方;
源极部件和漏极部件,形成在所述鳍式有源区中并且夹置所述栅叠层;以及
沟道,限定在所述栅叠层下面的所述鳍式有源区中并且介于所述源极部件和所述漏极部件之间,
其中,所述栅叠层、所述源极部件、所述漏极部件以及所述沟道被配置为形成鳍式场效应晶体管(FinFET)。
8.根据权利要求7所述的半导体结构,其中,所述氟物质具有1×1017/cm3和1×1021/cm3之间的峰值浓度。
9.一种半导体结构,包括:
浅沟槽隔离(STI)部件,形成在硅衬底中,在两个相邻浅沟槽隔离部件之间限定所述半导体衬底的硅区域;
有源区,具有在所述硅区域上外延生长的半导体材料并且从相邻的浅沟槽隔离部件突出,其中
所述硅衬底具有第一晶格常数,而所述半导体材料具有不同于所述第一晶格常数的第二晶格常数,并且
所述有源区进一步包括惰性掺杂物质;
所述有源区包括顶面和底面,
所述惰性掺杂物质具有从所述顶面至所述底面的掺杂浓度分布曲线,以及
所述浓度分布曲线在接近所述底面处具有峰值。
10.根据权利要求9所述的半导体结构,其中,所述惰性掺杂物质是氟。
11.根据权利要求9所述的半导体结构,其中,
所述半导体材料是锗,并且
所述惰性掺杂物质具有小于锗的尺寸。
12.根据权利要求9所述的半导体结构,其中,
所述浅沟槽隔离部件具有第一底面,而所述有源区具有与所述第一底面不共面的第二底面,
所述半导体材料的所述有源区具有在所述两个相邻浅沟槽隔离部件之间横跨的宽度W和作为从所述有源区的顶面至所述有源区的底面的竖直距离所测量的高度H,并且
比率H/W大于1.4。
13.根据权利要求9所述的半导体结构,进一步包括:从所述有源区的底面向上延伸并且分布在所述有源区的下部的穿透位错缺陷,其中,所述穿透位错缺陷通过所述惰性掺杂物质去活性。
14.根据权利要求9所述的半导体结构,其中,所述半导体材料是选自由锗(Ge)、硅锗(SiGe)、磷化铟(InP)、砷化镓铟(InGaAs)以及砷化铟(InAs)所组成的组中的半导体材料。
15.一种形成鳍式场效应晶体管(FinFET)结构的方法,所述方法包括:
在第一半导体材料的半导体衬底中形成多个浅沟槽隔离(STI)部件,由此限定通过所述浅沟槽隔离部件相互间隔开的多个半导体部件;
使所述半导体部件凹进;
在凹进的半导体部件上外延生长第二半导体材料,以形成所述第二半导体材料的多个鳍式有源区,其中,所述第二半导体材料相对于所述第一半导体材料具有晶格失配,从而在所述鳍式有源区中生成穿透位错缺陷;以及
对所述鳍式有源区实施氟注入,以使形成在所述鳍式有源区中的所述穿透位错缺陷去活性;
所述氟注入具有从所述鳍式有源区的顶面至所述鳍式有源区的底面的掺杂浓度分布曲线,以及
所述浓度分布曲线在接近所述底面处具有峰值。
16.根据权利要求15所述的方法,进一步包括:
在外延生长所述第二半导体材料之后,实施抛光工艺以去除多余的第二半导体材料;以及
此后,使所述浅沟槽隔离部件凹进。
17.根据权利要求15所述的方法,进一步包括:在实施用于缺陷去活性的氟注入之后,对所述鳍式有源区中的氟掺杂物质实施退火工艺。
18.根据权利要求17所述的方法,其中,
所述第一半导体材料是硅,
外延生长所述第二半导体材料包括外延生长硅锗,以及
所述退火工艺的退火温度在500℃和900℃之间。
19.根据权利要求15所述的方法,其中,实施所述氟注入包括:实施剂量在1×1012/cm2和1×1016/cm2之间的氟注入。
CN201310201539.9A 2013-02-27 2013-05-27 用于缺陷钝化以减少finfet器件的结泄漏的结构和方法 Active CN104008962B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/779,286 2013-02-27
US13/779,286 US9184233B2 (en) 2013-02-27 2013-02-27 Structure and method for defect passivation to reduce junction leakage for finFET device

Publications (2)

Publication Number Publication Date
CN104008962A CN104008962A (zh) 2014-08-27
CN104008962B true CN104008962B (zh) 2017-12-19

Family

ID=51369578

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310201539.9A Active CN104008962B (zh) 2013-02-27 2013-05-27 用于缺陷钝化以减少finfet器件的结泄漏的结构和方法

Country Status (4)

Country Link
US (2) US9184233B2 (zh)
KR (1) KR101489081B1 (zh)
CN (1) CN104008962B (zh)
TW (1) TWI520342B (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104425276B (zh) * 2013-09-04 2017-06-16 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
EP4224531A3 (en) 2013-09-25 2023-08-23 Tahoe Research, Ltd. Isolation well doping with solid-state diffusion sources for finfet architectures
US9184087B2 (en) * 2013-12-27 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming FinFETs with different fin heights
US9450096B2 (en) 2014-04-10 2016-09-20 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9214358B1 (en) * 2014-10-30 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Equal gate height control method for semiconductor device with different pattern densites
KR101587430B1 (ko) * 2014-12-05 2016-01-22 (재)한국나노기술원 실리콘(001) 기판 상에 반도체 에피층 성장방법
EP3238262A4 (en) * 2014-12-22 2018-12-19 Intel Corporation Prevention of subchannel leakage current
US9379243B1 (en) * 2015-02-19 2016-06-28 Intermational Business Machines Corporation Field-effect transistor with aggressively strained fins
US9443729B1 (en) * 2015-03-31 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming FinFET devices
KR102310082B1 (ko) * 2015-04-27 2021-10-08 삼성전자주식회사 핀 바디 및 에피택시얼 막을 포함하는 반도체 소자
DE112015006974T5 (de) * 2015-09-25 2019-01-24 Intel Corporation Verfahren zum Dotieren von Finnenstrukturen nicht planarer Transsistorenvorrichtungen
CN106601619B (zh) * 2015-10-16 2019-10-25 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
US9960053B2 (en) 2015-12-15 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET doping methods and structures thereof
CN106971977B (zh) * 2016-01-13 2020-01-31 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10522365B2 (en) * 2016-01-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for reducing scratch defects in chemical mechanical planarization
WO2018003001A1 (ja) * 2016-06-28 2018-01-04 株式会社ソシオネクスト 半導体装置及び半導体集積回路
KR102301850B1 (ko) * 2016-11-24 2021-09-14 삼성전자주식회사 액티브 패턴 구조물 및 액티브 패턴 구조물을 포함하는 반도체 소자
US10163628B1 (en) * 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Lattice-mismatched semiconductor substrates with defect reduction
US11462630B2 (en) 2017-09-03 2022-10-04 Applied Materials, Inc. Conformal halogen doping in 3D structures using conformal dopant film deposition
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
CN110970300B (zh) * 2018-09-29 2023-09-22 中芯国际集成电路制造(上海)有限公司 堆叠环栅鳍式场效应管及其形成方法
US11373870B2 (en) 2019-06-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device including performing thermal treatment on germanium layer
US11195724B1 (en) * 2020-07-01 2021-12-07 Nanya Technology Corporation Method of manufacturing semiconductor structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1667794A (zh) * 2003-12-12 2005-09-14 国际商业机器公司 应变finFET及其制造方法
US7466009B2 (en) * 2006-06-05 2008-12-16 Texas Instruments Incorporated Method for reducing dislocation threading using a suppression implant
CN101560693A (zh) * 2009-04-22 2009-10-21 浙江碧晶科技有限公司 一种含有掺杂元素的太阳能级硅晶体的制备方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4126732A (en) * 1977-08-16 1978-11-21 The United States Of America As Represented By The Secretary Of The Navy Surface passivation of IV-VI semiconductors with As2 S3
FR2650704B1 (fr) * 1989-08-01 1994-05-06 Thomson Csf Procede de fabrication par epitaxie de couches monocristallines de materiaux a parametres de mailles differents
CN1253929C (zh) * 2003-03-04 2006-04-26 松下电器产业株式会社 半导体装置及其制造方法
US6927106B2 (en) * 2003-10-29 2005-08-09 Texas Instruments Incorporated Methods for fabricating a triple-gate MOSFET transistor
KR20050089621A (ko) * 2004-03-05 2005-09-08 삼성전자주식회사 핀 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조방법
US20080121932A1 (en) * 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
US8338887B2 (en) * 2005-07-06 2012-12-25 Infineon Technologies Ag Buried gate transistor
US20080054361A1 (en) * 2006-08-30 2008-03-06 Infineon Technologies Ag Method and apparatus for reducing flicker noise in a semiconductor device
US7799592B2 (en) * 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US20080111185A1 (en) * 2006-11-13 2008-05-15 International Business Machines Corporation Asymmetric multi-gated transistor and method for forming
US8076228B2 (en) * 2007-01-29 2011-12-13 Infineon Technologies Ag Low noise transistor and method of making same
US8338884B2 (en) * 2009-05-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Selective epitaxial growth of semiconductor materials with reduced defects
US20110062492A1 (en) * 2009-09-15 2011-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. High-Quality Hetero-Epitaxy by Using Nano-Scale Epitaxy Technology
EP2315239A1 (en) * 2009-10-23 2011-04-27 Imec A method of forming monocrystalline germanium or silicon germanium
US8021949B2 (en) * 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US8455929B2 (en) * 2010-06-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of III-V based devices on semiconductor substrates
US8062963B1 (en) * 2010-10-08 2011-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device having an epitaxy region
US8349716B2 (en) * 2010-10-25 2013-01-08 International Business Machines Corporation Semiconductor device with reduced junction leakage and an associated method of forming such a semiconductor device
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US8871670B2 (en) * 2011-01-05 2014-10-28 The Board Of Trustees Of The University Of Illinois Defect engineering in metal oxides via surfaces
CA2829064A1 (en) * 2011-03-04 2012-09-13 Mosaic Crystals Ltd. Method for surfactant crystal growth of a metal-nonmetal compound
WO2012149111A1 (en) 2011-04-26 2012-11-01 The Regents Of The University Of California Methods of promoting cns neuronal repair by inhibiting lrp-1
US8624326B2 (en) * 2011-10-20 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8912606B2 (en) * 2012-04-24 2014-12-16 Globalfoundries Inc. Integrated circuits having protruding source and drain regions and methods for forming integrated circuits
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8828834B2 (en) * 2012-06-12 2014-09-09 Globalfoundries Inc. Methods of tailoring work function of semiconductor devices with high-k/metal layer gate structures by performing a fluorine implant process
US8674413B1 (en) * 2012-11-07 2014-03-18 Globalfoundries Inc. Methods of forming fins and isolation regions on a FinFET semiconductor device
US8772117B2 (en) * 2012-12-05 2014-07-08 Globalfoundries Inc. Combination FinFET and planar FET semiconductor device and methods of making such a device
US8815659B2 (en) * 2012-12-17 2014-08-26 Globalfoundries Inc. Methods of forming a FinFET semiconductor device by performing an epitaxial growth process
US8815685B2 (en) * 2013-01-31 2014-08-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits having confined epitaxial growth regions
US8853008B1 (en) * 2013-03-14 2014-10-07 Intermolecular, Inc. Counter-doped low-power FinFET

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1667794A (zh) * 2003-12-12 2005-09-14 国际商业机器公司 应变finFET及其制造方法
US7466009B2 (en) * 2006-06-05 2008-12-16 Texas Instruments Incorporated Method for reducing dislocation threading using a suppression implant
CN101560693A (zh) * 2009-04-22 2009-10-21 浙江碧晶科技有限公司 一种含有掺杂元素的太阳能级硅晶体的制备方法

Also Published As

Publication number Publication date
US20160056270A1 (en) 2016-02-25
US20140239347A1 (en) 2014-08-28
US9184233B2 (en) 2015-11-10
TWI520342B (zh) 2016-02-01
KR101489081B1 (ko) 2015-02-02
KR20140107080A (ko) 2014-09-04
US9806176B2 (en) 2017-10-31
CN104008962A (zh) 2014-08-27
TW201434156A (zh) 2014-09-01

Similar Documents

Publication Publication Date Title
CN104008962B (zh) 用于缺陷钝化以减少finfet器件的结泄漏的结构和方法
US11362000B2 (en) Wrap-around contact on FinFET
CN102456579B (zh) 具有局部的极薄绝缘体上硅沟道区的半导体器件
US9373697B2 (en) Spacer replacement for replacement metal gate semiconductor devices
US9385051B2 (en) Method for the formation of a FinFET device having partially dielectric isolated fin structure
CN104637916A (zh) 具有不同沟道材料的多层半导体器件结构
TWI420591B (zh) 半導體基板,半導體裝置及其製造方法
US10608121B2 (en) FinFET transistor gate and epitaxy formation
US10096689B2 (en) Low end parasitic capacitance FinFET
US8466500B2 (en) Semiconductor device and method for manufacturing the same
CN110034070B (zh) 具有嵌入式存储器件的结构、集成电路结构及其制造方法
JP6022781B2 (ja) 半導体装置及びその製造方法
US8975153B2 (en) Super junction trench metal oxide semiconductor device and method of making the same
JP2012039003A (ja) 半導体装置
JP5513157B2 (ja) 半導体装置及びその製造方法
JP5185061B2 (ja) Mis電界効果トランジスタ及び半導体基板の製造方法
US20230031993A1 (en) Semiconductor structure with composite oxide layer
CN111584630A (zh) 绝缘体上硅pmos器件的制造方法
CN115513136A (zh) 半导体装置的制造方法
JP2016119341A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant