JP2005175495A - 半導体構造およびその製造方法 - Google Patents

半導体構造およびその製造方法 Download PDF

Info

Publication number
JP2005175495A
JP2005175495A JP2004357623A JP2004357623A JP2005175495A JP 2005175495 A JP2005175495 A JP 2005175495A JP 2004357623 A JP2004357623 A JP 2004357623A JP 2004357623 A JP2004357623 A JP 2004357623A JP 2005175495 A JP2005175495 A JP 2005175495A
Authority
JP
Japan
Prior art keywords
island
finfet
nfet
sige
pfet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004357623A
Other languages
English (en)
Other versions
JP4130652B2 (ja
Inventor
Dureseti Chidambarrao
デュレセティ・チダンバラオ
Omer H Dokumaci
オマー・エイチ・ドクマチ
Oleg G Gluschenkov
オレグ・ジー・グラシェンコフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005175495A publication Critical patent/JP2005175495A/ja
Application granted granted Critical
Publication of JP4130652B2 publication Critical patent/JP4130652B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Element Separation (AREA)
  • Recrystallisation Techniques (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

【課題】 引張応力および圧縮応力を用いた半導体構造および製造方法を提供する。
【解決手段】 この製造方法は、基板にシャロー・トレンチ・アイソレーション(STI)を形成するステップと、基板上に第1の物質および第2の物質を設けるステップとを含む。第1の物質および第2の物質は、pFET領域およびnFET領域に、それぞれ第1のアイランドおよび第2のアイランドを形成する。finFETを形成する前に、第1および第2のアイランド層上に、伸張性ハードマスクを形成する。ハードマスクを有するfinFETの側壁に、Siエピタキシャル層を成長させる。ハードマスクは、キャッピング層となり、張力のもとにあって、nFETfinの横方向の湾曲を防ぐ。
【選択図】 図13

Description

本発明は、一般に、半導体構造(デバイス)およびその製造方法に関し、特に引張応力(tensile stress)および圧縮応力(compressivestress)を用いた半導体デバイスのfinFETの製造に関する。
半導体デバイス基板内の機械的応力は、デバイス性能を変える可能性がある。すなわち、半導体デバイス内の応力が半導体デバイス特性を向上させることが知られている。このため、半導体デバイスの特性を改善するために、n型デバイス(例えばnFET)および/またはp型デバイス(例えばpFET)のチャネルに、引張応力および/または圧縮応力を生成する。しかしながら、引張応力または圧縮応力のいずれかである同一の応力成分は、n型デバイスおよびp型デバイスの特性にそれぞれ異なる影響を与える。
集積回路(IC)チップ内のnFETおよびpFETの性能を最大化するために、nFETおよびpFETについて別個に応力成分を設計し適用しなければならない。すなわち、nFETの性能に有益である応力のタイプは、一般にpFETの性能には有害である。更に具体的には、(例えば、プレーナ・デバイスにおいて電流の流れる方向に)デバイスに張力がかかっている場合、nFETの性能特性は向上するが、pFETの性能特性は劣化する。nFETに引張応力を、pFETに圧縮応力を選択的に生成するため、独特のプロセスおよび物質の異なる組み合わせを用いる。
例えば、nFETおよびpFETに適切な応力をそれぞれ形成するために、トレンチ・アイソレーション(trench isolation)構造が提案されている。この方法を用いる場合、nFETデバイスのためのアイソレーション領域は、第1のアイソレーション物質を含み、これが、長手方向(例えば電流の流れの方向に平行)および横断方向(例えば電流の流れの方向に垂直)に、nFETデバイスに対して第1のタイプの機械的応力を加える。更に、pFETに、第1のアイソレーション領域および第2のアイソレーション領域を設ける。pFETデバイスのアイソレーション領域の各々は、横断方向および長手方向に、pFETデバイスに対して単一の機械的応力を加える。
あるいは、FETデバイスのチャネルに適切な応力を選択的に引き起こすため、ゲート側壁にライナを設けることが提案されている(例えば、オオツカ(Ootsuka)等のIEDM2000、p575を参照)。ライナを設けることによって、トレンチ・アイソレーション充填技法の結果として加えられた応力よりもデバイスの近くに、適切な応力が加えられる。
また、引張応力および圧縮応力をそれぞれ用いて、nFETおよびpFETデバイスの双方の性能を改善するための多くの提案が行われている。これらには、スペーサの固有応力を変更すること、および、マスクを用いて2つのMOSFETについて個別にSTI(shallow trench isolationシャロー・トレンチ・アイソレーション)物質を変えることが含まれる。また、この応力を加えるための手段として、緩和SiGe(relaxed SiGe)上に引張歪みSi(tensilelystrained Si)を設けることが提案されている。しかしながら、緩和SiGeの上の引張歪みSiは、スタック形態で用いられるSiキャップに対して二軸性の引張応力を加えることができるだけである。これは、応力に対するpFET感度の性質のために、有用なGe%領域を抑制する。nFET性能は、二軸性の張力によって単に向上する。しかしながら、pFETは、改善し始める約3GPaまでは、二軸性の張力によって劣化する。
オオツカ(Ootsuka)等のIEDM2000、p575 Ernst等のVLSI Symp.2002年、p92
pFETおよびnFETの双方を同時に改善させるため、Ge%は、約25〜30%を超えるほど高く(または、応力で3〜4GPaと同等か超えるくらい)なければならない。このGe%のレベルは、小数の例を挙げれば、表面の粗さ、プロセスの複雑さ、不良および歩留まりの制御を含む主な問題により、プロセス内に実施するのが難しく、製造することは容易でない。pFETのため高いGe%を用いることが(比較的低いレベルの張力のために有害であるので)難しいとすると、デバイス性能を高めるために他の方法を考案しなければならない。
更に、Si:Cが、本質的に伸張性である場合にSi上にエピタキシャル成長することが知られている。Si:C/Si物質スタックにおいてCの含有量が1%であると、500MPaのオーダーの引張応力レベルをSi:Cに生成することができる。これに比べて、SiGe/Si系では、500MPaの圧縮を生じるために約6%が必要である。Ernst等のVLSI Symp.、2002年、p92に示されるように、この1%レベルのCは、エピタキシャル成長の間にSi内に組み込むことができる。Ernstでは、nFETのための積層チャネルに、Si/Si:C/Siがある。しかしながら、構造のSi:C部分は緩和していない。代わりに、Earnstでは、極めて薄いSiキャップと共に、チャネル自体の一部として、非緩和Si:Cを用いる。この手法に伴う問題は、移動度が増大せず、C含有量に応じて拡散が阻害されることである。
これらの方法は、引張応力がnFETデバイスに加えられ、圧縮応力がpFETデバイスの長手方向に沿って加えられる構造を提供するが、それらは追加の物質および/または更に複雑な処理を必要とし、そのため結果としてコストが高くなる恐れがある。更に、これらの状況において加えることができる応力のレベルは、通常、中程度(すなわち、100MPaのオーダー)である。このため、nFETおよびpFETのチャネルにおいて、大きい引張応力および圧縮応力をそれぞれ生成するための、より費用対効果の大きい簡略化された方法を提供することが望ましい。
本発明の第1の態様において、構造を製造する方法は、第1の格子定数を有する物質の第1のアイランド(island)および第2の格子定数を有する物質の第2のアイランドを形成するステップを含む。第1のアイランドおよび第2のアイランドの上にマスクを設けて、後にフィン上に側壁を形成する際に湾曲を防ぐ。マスクは引張応力を受けている。第1のアイランドおよび第2のアイランドおよびマスクから、第1のfinFET(フィンFET)および第2のfinFETを形成する。
別の態様において、構造を製造する方法は、第1の物質によって基板にシャロー・トレンチ・アイソレーション(STI:shallow trench isolation)を形成するステップと、pFET領域に関連した第1のアイランドおよびnFET領域に関連した第2のアイランドを形成する第2の物質を形成するステップとを含む。pFET領域およびnFET領域上に張力のもとでハードマスクを形成する。これを用いて、pFET領域およびnFET領域においてそれぞれハードマスクのキャッピング層を用いてpFETfinおよびnFETfinを形成する。pFETfinおよびnFETfin上にエピタキシャル・シリコン側壁を成長させ、キャッピング層が側壁成長の間のnFETの湾曲を防ぐ。
本発明の別の態様において、半導体構造は、基板と、基板内の緩和シャロー・トレンチ・アイソレーション(STI)とを含む。第1の格子定数を有する第1の物質から成る第1のfinFETおよび高度に伸張性の物質のキャップを設ける。また、第2の格子定数を有する第2の物質から成る第2のfinFETおよび高度に伸張性の物質のキャップも設ける。第1のfinFETおよび第2のfinFET上にSiのエピタキシャル成長させた側壁を設ける。第2のfinFET上の高度に伸張性の物質のキャップは、Siエピタキシャル側壁が成長する際に第2のfinFETの横方向の湾曲を防ぐ。
本発明は、デバイス性能を改善するためにCMOSデバイスのnFETおよびpFETに関連した所望の応力を提供する半導体デバイスおよび製造方法を対象とする。1つの手法では、finFETを形成する前に、各nFETおよびpFETチャネルに、SiGeおよびSi:Cアイランドを形成する。その後、アイランド上に引張膜を形成する。この引張膜は、例えばハードマスクであり、横方向に著しい堅固さを与え、Si:Cフィンを適切な場所に保持する。すなわち、引張ハードマスクによって、高い圧縮応力のもとにあるフィンが、部分的に処理の間に形成されると予想されるフィンの非対称性のため横方向に湾曲することを防ぐ。次いで、緩和nFETおよびpFETの双方にエピタキシャルSi層を形成して、nFETおよびpFETの所望の応力条件を得る。
finFETは二重ゲート構造であり、シリコン・ボディをその側面で削って、ウエハ面に垂直に立ったシリコンの「フィン」を形成する。フィンの双方の側面にゲート電極を形成し、単一のマスク・レベルおよびエッチングによって双方のゲートを同時に規定することができる。本発明において実施されるフィンは、好ましくは二重ゲートを用いた対称的なものであるが、単一のゲートを用いて非対称とすることも可能である。更に、finFETは、単にフィンの寸法を調節することによって、ゲート酸化物の厚さの縮小およびそれに関連する漏れを必然的に伴うことなく、高い駆動電流密度を得ることが認識されよう。本発明において、finFETは、相対的な応力状態において得られ、これがデバイスの性能を改善する。
本発明以前は、異なる緩和結晶格子(原子間の異なる寸法)を有するnFETおよびpFETの製造のための少なくとも2つの結晶アイランドの配置は、アイランドが比較的大きいサイズを有するウエハ・ボンディグ技法によって実施可能であるのみであった。しかしながら、本発明では、この方法は、緩和されているが異なる結晶構造を有する小さい結晶アイランドを有する独特の基板を生成する。1つの実施において、アイランドと絶縁体上結晶構造との間に、高温安定アモルファス物質、例えばSiO2が用いられる。異なる(結晶)アイランドを有する独特の構造によって、任意選択的に異なる結晶から成る異なる方法で歪みを生じさせた層の配置が可能となる。第1の態様では、異なる方法で歪みを生じさせた層は、引張SiGe層または圧縮Si:C層であり、本発明のfinFETを形成するために用いられる。
本発明は、多数の結晶格子定数の絶縁体上アイランドを有する基板を生成する技術に、将来性のある重要な貢献をする。例えば、本発明では、第1のfinFET(結晶1)は、a−Si以上の結晶定数aを有し、第2のfinFET(結晶2)は、a−Si以下の結晶定数aを有する。本発明の1つの態様では、以下で更に詳しく論じるように、本発明のSiエピタキシャル側壁層を選択的に成長させることができ、これはSiGe finFETおよびSi:C finFET上でそれぞれ引張および圧縮によって歪む。
ここで図1を参照すると、シリコン・ウエハが示されている。かかるウエハは、様々な個別および集積回路(IC)半導体デバイス用途のための市販の初期基板である。1つの実施では、SIMOX(Separation by IMplanted OXygen)プロセスを用いて、シリコン・オン・グラス(SIO:silicon on glass)ウエハを製造することができ、これは、高用量の酸素のイオン注入および高温アニーリングを用いて、バルク・ウエハにBOX(buried oxide:埋め込み酸化物)層を形成する。別の例では、デバイス品質シリコン・ウエハを、表面上に酸化物層を有する別のシリコン・ウエハ(基板層)に接合することによって、ウエハを製造することができる。次いで、基板層上の酸化物層(ここではBOXとなっている)の上に、(初期ウエハの厚さに比べて)薄い単結晶シリコンのデバイス品質層を残すプロセスを用いて、この対を分割する。また、SOIウエハは、他のプロセスを用いて形成することも可能である。
更に図1を参照すると、パッド酸化、パッド窒化物堆積、リソグラフィに基づくパターニング、窒化物、酸化物、およびシリコンから成るスタックの埋め込み酸化物までの反応性イオン・エッチング(RIE:reactive ion etching)、エッジ酸化、ライナ堆積、充填物堆積、および化学機械研磨の標準的な技法を用いて、Si層20を形成しパターニングして、シャロー・トレンチ・アイソレーション(STI)25を形成する。STI形成プロセスは、当技術分野において周知である。1つの実施では、高温安定アモルファス物質、例えばSiO2をSTIに用いる。
図2を参照すると、化学的気相堆積(chemicalvapor deposition)方法等の従来の技法を用いて、構造の表面上にエピタキシャルGe物質(層)30が堆積されている。例えば、従来の方法で超高真空化学蒸着(UHVCVD:ultrahigh vacuum chemical vapor deposition)を用いて、Ge層30を堆積することができる。他の従来の技法には、急速熱化学的気相堆積(RTCVD:rapid thermal chemical vapor deposition)、限定反応処理CVD(LRPCVD:limited reaction processingCVD)、および分子ビーム・エピタキシ(molecularbeam epitaxy)が含まれる。1つの実施形態では、Ge物質の厚さは、5から50ナノメートルの範囲とすることができ、または、例えば30から100ナノメートルの範囲であり得る下部のSi層の厚さに応じて、他の寸法とすることができる。
Ge層30の一部(例えば後に形成されるnFETデバイスの位置)に、nFETハードマスク35を設ける。nFETハードマスク35は、スピン・オン・コーティング、CVD、プラズマを用いたCVD、超高真空化学的気相堆積(UHVCVD)、急速熱化学的気相堆積(RTCVD)、限定反応処理CVD(LRPCVD)、および他の同様の堆積プロセス等、従来の堆積プロセスを用いて形成した窒化物ハードマスクとすることができる。
図3では、当技術分野において既知の技法を用いて、露出したGe層30をエッチングし、nFETマスク35を剥離する。例えば、Ge層30は、RIE、ウエット・エッチング、またはドライ・エッチングを用いて、選択的にエッチングすることができる。
図4に示すように、構造上に、エピタキシャルで堆積したGe物質30の上を含めて、Si:C物質40(または任意選択としてC)を堆積する。例えば、超高化学的気相堆積(UHVCVD)を従来の方法で用いて、Si:C(または任意選択としてC)物質40を堆積することができる。他の従来の技法には、急速熱化学的気相堆積(RTCVD)、限定反応処理CVD(LRPCVD)、および他の同様のプロセスが含まれる。一実施形態では、Si:CまたはC物質の厚さは、5から50ナノメートルの範囲とすることができ、または、例えば30から100ナノメートルの範囲であり得る下部のSi層の厚さに応じて、他の寸法とすることができる。別の態様では、Cを用いる場合、厚さは1から30ナノメートルの範囲とすることができる。
Si:C物質40の一部の上の、後に形成されるpFETの位置に、pFETハードマスク45を設ける。pFETハードマスク45は、スピン・オン・コーティング、CVD、プラズマを用いたCVD、超高真空化学的気相堆積(UHVCVD)、急速熱化学的気相堆積(RTCVD)、限定反応処理CVD(LRPCVD)、および他の同様の堆積プロセス等、従来の堆積プロセスを用いて形成した窒化物ハードマスクとすることができる。
次いで、図5に示すように、当技術分野において既知の技法を用いて、露出したSi:C層40をエッチングし、pFETマスク45を剥離する。例えば、Si:CおよびpFETは、RIE、ウエット・エッチング、またはドライ・エッチング等の標準的なエッチング技法を用いてエッチングすることができる。
次いで、図6では、構造に熱アニーリング・プロセスを施す。このプロセスの間、nFETデバイスでは、堆積したGe物質30は、下部のSOI膜に混合して、実質的にSiGe物質のアイランド50を形成する。同様に、このプロセスにおいて、pFETでは、堆積したSi:Cまたは任意選択的なC物質は、下部のSOI膜に混合して、実質的にSi:C物質のアイランド55を形成する。熱アニーリング・プロセスは、例えば、約1200℃から1350℃で、1時間から10時間に渡って実行され、1つの実施では、1200℃で約5時間行われる。
本発明の方法を用いることによって、必要なGe%は、nFETでは大きくなく(例えば25%未満であり、1つの実施では10から20%である)、このため、不良の問題を生じない。また、高温熱混合ステップのため、例えば、STI25は、SiGeアイランド50およびSi:Cアイランド55を緩和させ、それらの緩和を容易にする。これは、部分的には、STIが酸化物質を含むからである。この酸化物質は、高温で粘性のある物質であり、例えば高温で低い粘性の物質になる。
また、ここで、SiGeアイランド50およびSi:Cアイランド55は異なる緩和結晶格子(原子間の異なる寸法)を有し、これによって小さい結晶アイランドを有する独特の基板が生成されることは理解されよう。SiGeアイランド50およびSi:Cアイランド55の緩和は、ブランケット(SiGeまたはSi:C)基板に比べ、性能の向上をもたらす。このため、ある実施では、本発明に従って、SiGeアイランド50とSi/Cアイラド55と絶縁体上結晶の構造との間に、高温安定アモルファス物質、例えばSiO2を用いる。
図7から10は、本発明の別の態様を示す。図7では、SOI等のシリコン・ウエハを示す。先に説明した構造と同様、SOIは、SIMOXプロセスまたは他の周知のプロセスを用いて製造することができる。パッド酸化、パッド窒化物堆積、リソグラフィに基づくパターニング、窒化物、酸化物、およびシリコンから成るスタックの埋め込み酸化物までの反応性イオン・エッチング(RIE)、エッジ酸化、ライナ堆積、充填物堆積、および化学機械研磨の標準的な技法を用いて、Si層20をパターニングして、シャロー・トレンチ・アイソレーション(STI)25を形成する。STI形成プロセスは、当技術分野において周知である。
図8を参照すると、構造の一部の上の、後に形成されるpFETデバイスの位置に、pFETマスク45が設けられている。pFETハードマスクは、化学的気相堆積方法等の従来の技法を用いて堆積することができる。例えば、かかる技法には、スピン・オン・コーティング、CVD、プラズマを用いたCVD、蒸発超高真空化学的気相堆積(UHVCVD)、急速熱化学的気相堆積(RTCVD)、限定反応処理CVD(LRPCVD)、および他の同様の堆積プロセスが含まれ得る。
従来の技法を用いて、後に形成されるnFETの露出表面上に、エピタキシャルGe層30を選択的に成長させる。一実施形態では、Ge物質の厚さは、5から50ナノメートルの範囲とすることができ、または、例えば30から100ナノメートルの範囲であり得る下部のSi層の厚さに応じて、他の寸法とすることができる。上述のように、周知のプロセスを用いて、ハードマスク45を剥ぐ。
図9では、構造の一部の上の、後に形成されるnFETの位置に、nFETマスク35が設けられている。nFETハードマスクは、当業者に既知の上述のような化学的気相堆積方法等の従来の技法を用いて堆積することができる。
上述のような化学的気相堆積方法等の従来の技法を用いて、構造の露出表面上の、後に形成されるpFETの位置に、Si:C層40を選択的に成長させる。一実施形態では、Si:C物質の厚さは、5から50ナノメートルの範囲とすることができ、または、例えば30から100ナノメートルの範囲であり得る下部のSi層の厚さに応じて、他の寸法とすることができる。Cは、1から50ナノメートルの範囲でより薄くすることも可能である。
図10に示すように、次いで、周知のプロセスを用いて、nFETハードマスク35を除去する。次いで、構造に熱アニーリング・プロセスを施す。アニーリング・プロセスの間、nFETデバイスでは、Ge物質30はSOI膜に混合して、実質的にSiGe物質のアイランド50を形成する。同様に、pFETでは、Si:Cまたは任意選択的にC物質はSOIに混合して、実質的にSi:C物質のアイランド55を形成する。また、このプロセスは、基板としてのBOX層を形成する。熱アニーリング・プロセスは、例えば約1200℃から1350℃で、1時間から10時間に渡って実行され、1つの実施では、1200℃で約5時間行われる。
上述のように、また、先の実施と同様に、本発明の方法を用いて、必要なGe%は大きくなく(例えば25%未満であり、1つの実施では10から20%である)、このため、不良の問題を生じない。また、高温熱混合ステップのため、例えば、STI25は緩和し、SiGeアイランド50およびSi:Cアイランド55の緩和を容易にする。前述のように、SiGeおよびSi:Cの緩和は、ブランケット(SiGeまたはSi:C)基板に比べ、性能の向上をもたらす。本発明のある実施では、かかる構造の基本は、アイランドと絶縁体上結晶構造との間で、高温安定アモルファス物質、例えばSiO2を用いることである。
本発明の別の態様では、Cを高用量でpFETに注入し、これによって、熱アニーリング時に、Si:Cにおいて1から4%よりもはるかに大きい濃度を得ることができる。用量は、5e16#/cm2等、約1e16#/cm2以上とすることができる。
ここで、図6または図10の中間構造のいずれかを用いて、図11に示すように、構造上に伸張性ハードマスクを堆積する。1つの実施では、ハードマスクは窒化物であり、構造上にいずれかの既知の従来の方法で堆積される。例えば、窒化物ハードマスクは、スピン・オン・コーティング、CVD、プラズマを用いたCVD、超高真空化学的気相堆積(UHVCVD)、急速熱化学的気相堆積(RTCVD)、限定反応処理CVD(LRPCVD)、および他の同様の堆積プロセス等、従来の堆積プロセスを用いて形成した窒化物ハードマスクとすることができる。1つの実施では、ハードマスクは、5から50ナノメートルの範囲、または下部の層の厚さに応じて他の寸法に堆積する。
その後、図12に示すように、従来の方法で側壁像転写およびエッチングを行って、フィン75および80を形成する。例えば、フィンは、側壁像転写リソグラフィによって規定する。これは、描いた矩形(主軸)の周囲にフィンを配置するものである。続いて、トリム・マスクを用いてループの不要な場所を除去し、従来のレジスト・マスクを用いてソースおよびドレイン領域(図示せず)を遮断してフィンを結合する。このプロセスの間、ハードマスク70は、nFETおよびpFET領域のキャップとして残る。
図13では、nFETおよびpFETの側壁に、Siエピ層85を選択的に成長させる。Siエピ層は非対称に成長する可能性があり、このため、以下で述べる高い圧縮状態のため、nFETに湾曲を含む可能性がある。しかしながら、高い伸張性のハードマスクが、Si成長の間にnFET領域上に作用する力を実質的に均一にすることによって、かかる湾曲を安全なものにし、更には防止する。
Siエピ側壁層の格子定数は、SiGeおよびSi:C「アイランド」またはエッチングしたフィンのものとは異なることは理解されよう。例えば、ある実施において、SiGeはa−Si以上の格子定数aを有し、Si:Cはa−Si以下の格子定数aを有する。すなわち、単独で、Siは通常、SiGe層よりも低い格子定数を有する。すなわち、Si物質の格子定数は、SiGe層の格子定数と一致しない。しかしながら、本発明の構造では、Si側壁層の格子構造は、SiGeの格子構造と一致する傾向がある。このため、SiのSiGe層に対する格子一致のため(通常はSiの方がSiGeより小さい)、Si層は引張応力のもとに置かれる。この領域は、nFETのための歪みチャネルとして作用する。一実施形態では、SiGe層のGe含有量は、Si含有量に対して比率で25%未満とすることができる。
また、単独で、Siは通常、Si:Cよりも大きい格子定数を有する。すなわち、Si物質の格子定数はSi:Cの格子定数に一致しない。しかしながら、本発明の構造では、Si層の格子構造は、SiGeの格子構造と一致する傾向がある。SiのSi:Cアイランドに対する格子一致のため(通常はSiの方がSiGeより大きい)、Si層は圧縮応力のもとに置かれる。すなわち、SiGeで起こるのと同様、Si:Cアイランドの周囲の領域は平衡状態を得ようとし、このため、結果としてSi:Cに形成したエピタキシャルSi側壁層の圧縮応力が生じる。この領域は、pFETのための歪みチャネルとして作用する。一実施形態では、堆積した場合、C含有量は、Si含有量に対して比率で4%までとすることができる。
図13に示すように、形成した構造は、本発明の原理に従ったpFETおよびnFET等の半導体デバイスの形成に対応する中間構造である。最終的なデバイスを形成するため、finFET技術において周知のように、CMOSプロセスを実行して、構造上にnおよびp finFETデバイスを形成することができる。例えば、デバイスは、歪みSiGeおよびSi:Cの半導体チャネルによって分離させたソースおよびドレイン領域の注入を含むことができる。すなわち、nFETを引張歪みチャネル上に形成し、pFETを圧縮歪みSiチャネル上に形成する。歪みチャネルの上にゲート誘電体を設け、ゲート誘電体の上にゲート導電体を設ける。
本発明について、実施形態に関連付けて説明したが、当業者は、本発明を、特許請求の範囲の精神および範囲内で変更して実施可能であることを認めよう。例えば、本発明は、バルク基板に容易に適用可能である。
本発明に従って中間構造を形成するための製造プロセスを示す。 本発明に従って中間構造を形成するための製造プロセスを示す。 本発明に従って中間構造を形成するための製造プロセスを示す。 本発明に従って中間構造を形成するための製造プロセスを示す。 本発明に従って中間構造を形成するための製造プロセスを示す。 本発明に従って中間構造を形成するための製造プロセスを示す。 本発明の別の態様に従って中間構造を形成するための製造プロセスを示す。 本発明の別の態様に従って中間構造を形成するための製造プロセスを示す。 本発明の別の態様に従って中間構造を形成するための製造プロセスを示す。 本発明の別の態様に従って中間構造を形成するための製造プロセスを示す。 本発明に従って図6または図10の構造のいずれかをベースとして用いて本発明の中間構造を形成するための製造プロセスを示す。 本発明に従って図6または図10の構造のいずれかをベースとして用いて本発明の中間構造を形成するための製造プロセスを示す。 本発明に従って図6または図10の構造のいずれかをベースとして用いて本発明の中間構造を形成するための製造プロセスを示す。

Claims (20)

  1. 半導体構造を製造する方法であって、
    第1の格子定数を有する物質の第1のアイランドを形成するステップと、
    第2の格子定数を有する物質の第2のアイランドを形成するステップと、
    前記第1のアイランドおよび前記第2のアイランドの上に、伸張性キャッピング層を形成するために用いるマスクを設けるステップと、
    前記第1のアイランドおよび前記第2のアイランドから少なくとも第1のfinFETおよび第2のfinFETを形成するステップと、
    を備え、前記伸張性キャッピング層は前記第1および第2のfinFETの一方の湾曲を防ぐことを特徴とする、方法。
  2. 前記第1のアイランドはSiGe物質から成り、前記第2のアイランドはSi:C物質から成り、前記マスクは窒化物ハードマスクであることを特徴とする、請求項1に記載の方法。
  3. 前記第1のおよび第2のfinFETは側壁像転送およびエッチングによって形成されることを特徴とする、請求項1に記載の方法。
  4. 前記第1のfinFETおよび前記第2のfinFETの側壁にSiエピタキシャル側壁層を選択的に成長させるステップを更に備え、前記伸張性キャッピング層は、前記Siエピタキシャル側壁層の成長の間、少なくとも前記第2のfinFETの湾曲を防ぐことを特徴とする、請求項1に記載の方法。
  5. エッチングによって、前記第1および第2のfinFET上の前記ハードマスクから前記伸張性キャッピング層を形成し、
    前記第1のfinFETはSiGeから成り、引張応力のもとに置かれ、
    前記第2のfinFETはSi:Cから成り、圧縮応力のもとに置かれることを特徴とする、請求項1に記載の方法。
  6. 前記伸張性キャッピング層は前記Si:CfinFETの崩壊または湾曲を防ぐことを特徴とする、請求項5に記載の方法。
  7. 基板にシャロー・トレンチ・アイソレーション(STI)を形成するステップと、
    pFET領域およびnFET領域においてそれぞれ熱アニーリング・プロセスによって前記第1のアイランドおよび前記第2のアイランドを形成するために前記基板内に物質を混合するステップと、
    を更に備え、前記STIは、前記第1のアイランドおよび前記第2のアイランドを緩和させ、それらの緩和を容易にすることを特徴とする、請求項1に記載の方法。
  8. 前記第1のアイランドは、Ge物質の堆積又は成長のいずれか一方によって形成され、前記第2のアイランドは、Si:CまたはC物質の堆積又は成長のいずれか一方によって形成され、前記第1のアイランドおよび前記第2のアイランドは、異なる緩和結晶格子を有することを特徴とする、請求項1に記載の方法。
  9. 前記Siエピタキシャル側壁層は前記第1の物質および前記第2の物質とは異なる格子定数を有し、前記選択的に成長させたSiエピタキシャル側壁層が前記第1のアイランドおよび前記第2のアイランドをそれぞれ引張および圧縮により歪ませるようになっていることを特徴とする、請求項4に記載の方法。
  10. 前記第1のfinFETはa−Si以上の格子定数を有し、前記第2のfinFETはa−Si以下の格子定数を有することを特徴とする、請求項4に記載の方法。
  11. 前記第1のアイランドは実質的にSiGeから成り、前記第2のアイランドはSi:Cから成り、前記SiGeアイランドおよび前記Si:Cアイランドからそれぞれ形成されたエッチングSiGefinFETおよびSi:CfinFET上に側壁層をエピタキシャル成長させ、前記SiGeおよびSi:CfinFETに対して前記エピタキシャル成長側壁層の格子を一致させるために、前記SiGefinFETおよび前記Si:CfinFETはそれぞれ引張応力および圧縮応力のもとに置かれることを特徴とする、請求項1に記載の方法。
  12. 半導体構造を製造する方法であって、
    第1の物質によって基板にシャロー・トレンチ・アイソレーション(STI)を形成するステップと、
    pFET領域に関連した第1のアイランドおよびnFET領域に関連した第2のアイランドを形成するステップと、
    前記pFET領域および前記nFET領域上に引張応力のもとでハードマスクを設けるステップと、
    前記pFET領域および前記nFET領域においてそれぞれ前記ハードマスクのキャッピング層を用いてpFETfinおよびnFETfinを形成するステップと、
    前記pFETfinおよび前記nFETfin上に側壁を成長させ、前記キャッピング層が側壁成長の間の前記nFETの湾曲を防ぐ、ステップと、
    を備えることを特徴とする方法。
  13. 前記pFETフィンはSiGeから成る物質から形成され、前記nFETはSi:CまたはCのいずれか一方から成る物質から形成されることを特徴とする、請求項12に記載の方法。
  14. 前記SiGeは引張により歪み、前記Si:Cは圧縮により歪み、前記ハードマスクは、側壁形成による圧縮応力に逆らうことによって前記nFETの湾曲を防ぐことを特徴とする、請求項13に記載の方法。
  15. 前記STIを緩和するステップを更に備え、前記STIは、熱アニーリング・ステップの間に前記第1のアイランドおよび前記第2のアイランドの緩和を容易にすることを特徴とする、請求項12に記載の方法。
  16. 前記側壁はSiから成り、該Siは、前記pFETおよび前記nFETとは異なる格子定数を有し、前記Si側壁が前記pFETおよび前記nFETにそれぞれ引張および圧縮により応力を加えるようになっていることを特徴とする、請求項12に記載の方法。
  17. 半導体構造であって、
    基板と、
    前記基板内の緩和シャロー・トレンチ・アイソレーション(STI)と、
    第1の格子定数を有する第1の物質から成る第1のfinFETおよび高い伸張性の物質のキャップと、
    第2の格子定数を有する第2の物質から成る第2のfinFETおよび高い伸張性の物質のキャップと、
    前記第1のfinFETおよび前記第2のfinFET上にSiのエピタキシャル成長させた側壁と、
    を備え、前記第2のfinFET上の前記高い伸張性の物質のキャップは、前記Siエピタキシャル側壁が成長する際に前記第2のfinFETの横方向の湾曲を防ぐことを特徴とする、半導体構造。
  18. 前記第1の物質は緩和SiGeであり、前記第2の物質は緩和Si:Cであることを特徴とする、請求項17に記載の半導体構造。
  19. 前記キャップは窒化物から成ることを特徴とする、請求項17に記載の半導体構造。
  20. 前記STIは緩和されており、前記第1のfinFETは引張応力のもとにあり、前記第2のfinFETは圧縮応力のもとにあることを特徴とする、請求項17に記載の半導体構造。
JP2004357623A 2003-12-12 2004-12-10 半導体構造およびその製造方法 Active JP4130652B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/733,378 US7198995B2 (en) 2003-12-12 2003-12-12 Strained finFETs and method of manufacture

Publications (2)

Publication Number Publication Date
JP2005175495A true JP2005175495A (ja) 2005-06-30
JP4130652B2 JP4130652B2 (ja) 2008-08-06

Family

ID=34653077

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004357623A Active JP4130652B2 (ja) 2003-12-12 2004-12-10 半導体構造およびその製造方法

Country Status (3)

Country Link
US (1) US7198995B2 (ja)
JP (1) JP4130652B2 (ja)
CN (1) CN100356525C (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101393917B1 (ko) * 2012-05-16 2014-05-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Cmos 디바이스 및 그 형성 방법
US9768304B2 (en) 2006-11-20 2017-09-19 Globalfoundries Inc. Method of fabricating a FINFET having a gate structure disposed at least partially at a bend region of the semiconductor fin
TWI713086B (zh) * 2018-07-16 2020-12-11 台灣積體電路製造股份有限公司 積體電路結構的形成方法和半導體結構

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7532501B2 (en) * 2005-06-02 2009-05-12 International Business Machines Corporation Semiconductor device including back-gated transistors and method of fabricating the device
US20070018239A1 (en) * 2005-07-20 2007-01-25 International Business Machines Corporation Sea-of-fins structure on a semiconductor substrate and method of fabrication
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7510939B2 (en) * 2006-01-31 2009-03-31 International Business Machines Corporation Microelectronic structure by selective deposition
WO2007112066A2 (en) 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US7365401B2 (en) * 2006-03-28 2008-04-29 International Business Machines Corporation Dual-plane complementary metal oxide semiconductor
US20070238267A1 (en) * 2006-03-28 2007-10-11 International Business Machines Corporation Epitaxy of Silicon-Carbon Substitutional Solid Solutions by Ultra-Fast Annealing of Amorphous Material
US7681628B2 (en) * 2006-04-12 2010-03-23 International Business Machines Corporation Dynamic control of back gate bias in a FinFET SRAM cell
US8227316B2 (en) * 2006-06-29 2012-07-24 International Business Machines Corporation Method for manufacturing double gate finFET with asymmetric halo
US7462916B2 (en) * 2006-07-19 2008-12-09 International Business Machines Corporation Semiconductor devices having torsional stresses
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
JP2008060408A (ja) * 2006-08-31 2008-03-13 Toshiba Corp 半導体装置
US8173551B2 (en) 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
WO2008039495A1 (en) * 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US7485519B2 (en) * 2007-03-30 2009-02-03 International Business Machines Corporation After gate fabrication of field effect transistor having tensile and compressive regions
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US8877576B2 (en) * 2007-08-23 2014-11-04 Infineon Technologies Ag Integrated circuit including a first channel and a second channel
CN101884117B (zh) 2007-09-07 2013-10-02 台湾积体电路制造股份有限公司 多结太阳能电池
US7678637B2 (en) * 2007-09-21 2010-03-16 Texas Instruments Incorporated CMOS fabrication process
US7767560B2 (en) * 2007-09-29 2010-08-03 Intel Corporation Three dimensional strained quantum wells and three dimensional strained surface channels by Ge confinement method
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
CN102160145B (zh) 2008-09-19 2013-08-21 台湾积体电路制造股份有限公司 通过外延层过成长的元件形成
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
JP2010118621A (ja) * 2008-11-14 2010-05-27 Nec Electronics Corp 半導体装置及びその製造方法
US8058692B2 (en) 2008-12-29 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors with reverse T-shaped fins
JP5705207B2 (ja) 2009-04-02 2015-04-22 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. 結晶物質の非極性面から形成される装置とその製作方法
US9768305B2 (en) * 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
JP5166458B2 (ja) 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
US8551845B2 (en) 2010-09-21 2013-10-08 International Business Machines Corporation Structure and method for increasing strain in a device
US20120146101A1 (en) * 2010-12-13 2012-06-14 Chun-Hsien Lin Multi-gate transistor devices and manufacturing method thereof
CN103065963B (zh) * 2011-10-19 2015-08-05 中芯国际集成电路制造(上海)有限公司 鳍式晶体管及其形成方法
US9048136B2 (en) 2011-10-26 2015-06-02 GlobalFoundries, Inc. SRAM cell with individual electrical device threshold control
US9029956B2 (en) 2011-10-26 2015-05-12 Global Foundries, Inc. SRAM cell with individual electrical device threshold control
CN103107192B (zh) * 2011-11-10 2016-05-18 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
CN103107187B (zh) * 2011-11-10 2016-04-13 中芯国际集成电路制造(北京)有限公司 半导体装置的制造方法
CN103165455B (zh) * 2011-12-13 2015-09-09 中芯国际集成电路制造(上海)有限公司 制作鳍形场效应晶体管的方法
US20130200459A1 (en) * 2012-02-02 2013-08-08 International Business Machines Corporation Strained channel for depleted channel semiconductor devices
US8697523B2 (en) 2012-02-06 2014-04-15 International Business Machines Corporation Integration of SMT in replacement gate FINFET process flow
CN103367253B (zh) * 2012-03-29 2015-03-11 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US8853750B2 (en) 2012-04-27 2014-10-07 International Business Machines Corporation FinFET with enhanced embedded stressor
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US9564367B2 (en) * 2012-09-13 2017-02-07 Globalfoundries Inc. Methods of forming different FinFET devices with different threshold voltages and integrated circuit products containing such devices
US8889495B2 (en) 2012-10-04 2014-11-18 International Business Machines Corporation Semiconductor alloy fin field effect transistor
US8815668B2 (en) 2012-12-07 2014-08-26 International Business Machines Corporation Preventing FIN erosion and limiting Epi overburden in FinFET structures by composite hardmask
US9029835B2 (en) 2012-12-20 2015-05-12 Intel Corporation Epitaxial film on nanoscale structure
US9184233B2 (en) * 2013-02-27 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for defect passivation to reduce junction leakage for finFET device
US8933528B2 (en) * 2013-03-11 2015-01-13 International Business Machines Corporation Semiconductor fin isolation by a well trapping fin portion
US8829606B1 (en) * 2013-03-13 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Ditches near semiconductor fins and methods for forming the same
US8841178B1 (en) 2013-03-13 2014-09-23 International Business Machines Corporation Strained silicon nFET and silicon germanium pFET on same wafer
US8951870B2 (en) * 2013-03-14 2015-02-10 International Business Machines Corporation Forming strained and relaxed silicon and silicon germanium fins on the same wafer
US9040363B2 (en) 2013-03-20 2015-05-26 International Business Machines Corporation FinFET with reduced capacitance
US8895395B1 (en) 2013-06-06 2014-11-25 International Business Machines Corporation Reduced resistance SiGe FinFET devices and method of forming same
US9093275B2 (en) * 2013-10-22 2015-07-28 International Business Machines Corporation Multi-height multi-composition semiconductor fins
US9165929B2 (en) 2013-11-25 2015-10-20 Qualcomm Incorporated Complementarily strained FinFET structure
US8987069B1 (en) 2013-12-04 2015-03-24 International Business Machines Corporation Semiconductor substrate with multiple SiGe regions having different germanium concentrations by a single epitaxy process
US9660035B2 (en) 2014-01-29 2017-05-23 International Business Machines Corporation Semiconductor device including superlattice SiGe/Si fin structure
US9123585B1 (en) 2014-02-11 2015-09-01 International Business Machines Corporation Method to form group III-V and Si/Ge FINFET on insulator
US9129863B2 (en) * 2014-02-11 2015-09-08 International Business Machines Corporation Method to form dual channel group III-V and Si/Ge FINFET CMOS
US9236474B2 (en) 2014-02-21 2016-01-12 Stmicroelectronics, Inc. Method to form strained channel in thin box SOI structures by elastic strain relaxation of the substrate
US9660080B2 (en) 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
US9530777B2 (en) * 2014-03-04 2016-12-27 Stmicroelectronics, Inc. FinFETs of different compositions formed on a same substrate
US9293375B2 (en) 2014-04-24 2016-03-22 International Business Machines Corporation Selectively grown self-aligned fins for deep isolation integration
US20150340501A1 (en) * 2014-05-22 2015-11-26 Globalfoundries Inc. Forming independent-gate finfet with tilted pre-amorphization implantation and resulting device
US9536900B2 (en) * 2014-05-22 2017-01-03 Globalfoundries Inc. Forming fins of different semiconductor materials on the same substrate
US9570360B2 (en) 2014-08-27 2017-02-14 International Business Machines Corporation Dual channel material for finFET for high performance CMOS
US9362182B2 (en) 2014-11-06 2016-06-07 International Business Machines Corporation Forming strained fins of different material on a substrate
US9324623B1 (en) 2014-11-26 2016-04-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device having active fins
US9508741B2 (en) 2015-02-10 2016-11-29 International Business Machines Corporation CMOS structure on SSOI wafer
US9401372B1 (en) 2015-02-10 2016-07-26 International Business Machines Corporation Dual isolation on SSOI wafer
US9484201B2 (en) 2015-02-23 2016-11-01 International Business Machines Corporation Epitaxial silicon germanium fin formation using sacrificial silicon fin templates
US9496185B2 (en) 2015-03-27 2016-11-15 International Business Machines Corporation Dual channel finFET with relaxed pFET region
US9607901B2 (en) 2015-05-06 2017-03-28 Stmicroelectronics, Inc. Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FINFET technology
US9613871B2 (en) 2015-07-16 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US9805991B2 (en) * 2015-08-20 2017-10-31 International Business Machines Corporation Strained finFET device fabrication
US9548386B1 (en) 2015-08-31 2017-01-17 International Business Machines Corporation Structure and method for compressively strained silicon germanium fins for pFET devices and tensily strained silicon fins for nFET devices
US9431486B1 (en) 2015-11-30 2016-08-30 International Business Machines Corporation Channel strain and controlling lateral epitaxial growth of the source and drain in FinFET devices
US9741581B2 (en) * 2016-01-11 2017-08-22 Globalfoundries Inc. Using tensile mask to minimize buckling in substrate
US9870948B2 (en) * 2016-06-09 2018-01-16 International Business Machines Corporation Forming insulator fin structure in isolation region to support gate structures
US9773870B1 (en) 2016-06-28 2017-09-26 International Business Machines Corporation Strained semiconductor device
US9859426B1 (en) 2016-06-29 2018-01-02 International Business Machines Corporation Semiconductor device including optimized elastic strain buffer
US9917154B2 (en) 2016-06-29 2018-03-13 International Business Machines Corporation Strained and unstrained semiconductor device features formed on the same substrate
WO2018063372A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Supperlatice channel included in a trench
US10622352B2 (en) 2017-01-25 2020-04-14 International Business Machines Corporation Fin cut to prevent replacement gate collapse on STI
US10438855B2 (en) 2017-02-17 2019-10-08 International Business Machines Corporation Dual channel FinFETs having uniform fin heights
WO2019206844A1 (en) * 2018-04-22 2019-10-31 Epinovatech Ab Reinforced thin-film device
CN110571195B (zh) * 2018-06-05 2021-12-21 中芯国际集成电路制造(上海)有限公司 一种sram及其制造方法和电子装置
US10886367B2 (en) 2019-01-17 2021-01-05 International Business Machines Corporation Forming FinFET with reduced variability
US11670551B2 (en) * 2019-09-26 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Interface trap charge density reduction
US10910276B1 (en) 2019-10-01 2021-02-02 Globalfoundries Inc. STI structure with liner along lower portion of longitudinal sides of active region, and related FET and method
US11257932B2 (en) * 2020-01-30 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US57184A (en) * 1866-08-14 Improved alloy
US67035A (en) * 1867-07-23 Improvement in tunnels
US86497A (en) * 1869-02-02 Improvement in machine for making cord
US9784A (en) * 1853-06-14 Fergus burden
US32261A (en) * 1861-05-07 Bukial-case
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
ATE59917T1 (de) 1985-09-13 1991-01-15 Siemens Ag Integrierte bipolar- und komplementaere mostransistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5825529A (en) * 1996-06-27 1998-10-20 Xerox Corporation Gyricon display with no elastomer substrate
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US5981356A (en) 1997-07-28 1999-11-09 Integrated Device Technology, Inc. Isolation trenches with protected corners
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6080637A (en) 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6583060B2 (en) 2001-07-13 2003-06-24 Micron Technology, Inc. Dual depth trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6657252B2 (en) * 2002-03-19 2003-12-02 International Business Machines Corporation FinFET CMOS with NVRAM capability
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6762448B1 (en) * 2003-04-03 2004-07-13 Advanced Micro Devices, Inc. FinFET device with multiple fin structures
US6909186B2 (en) * 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US7078299B2 (en) * 2003-09-03 2006-07-18 Advanced Micro Devices, Inc. Formation of finFET using a sidewall epitaxial layer
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9768304B2 (en) 2006-11-20 2017-09-19 Globalfoundries Inc. Method of fabricating a FINFET having a gate structure disposed at least partially at a bend region of the semiconductor fin
US10714616B2 (en) 2006-11-20 2020-07-14 Globalfoundries Inc. FINFET having a gate structure in a trench feature in a bent fin
KR101393917B1 (ko) * 2012-05-16 2014-05-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Cmos 디바이스 및 그 형성 방법
TWI713086B (zh) * 2018-07-16 2020-12-11 台灣積體電路製造股份有限公司 積體電路結構的形成方法和半導體結構

Also Published As

Publication number Publication date
US7198995B2 (en) 2007-04-03
CN100356525C (zh) 2007-12-19
CN1667794A (zh) 2005-09-14
JP4130652B2 (ja) 2008-08-06
US20050130358A1 (en) 2005-06-16

Similar Documents

Publication Publication Date Title
JP4130652B2 (ja) 半導体構造およびその製造方法
JP4678877B2 (ja) Si:C−OIおよびSGOI上のシリコン・デバイスならびに製造方法
US7468538B2 (en) Strained silicon on a SiGe on SOI substrate
US7560328B2 (en) Strained Si on multiple materials for bulk or SOI substrates
JP5046153B2 (ja) 半導体構造および半導体構造を製造する方法
US7902008B2 (en) Methods for fabricating a stressed MOS device
US7220626B2 (en) Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US7018882B2 (en) Method to form local “silicon-on-nothing” or “silicon-on-insulator” wafers with tensile-strained silicon
US7838932B2 (en) Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon
US7611937B2 (en) High performance transistors with hybrid crystal orientations
US9034102B2 (en) Method of fabricating hybrid orientation substrate and structure of the same

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071218

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20080111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080111

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080318

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080520

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20080520

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080522

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4130652

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110530

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110530

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120530

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120530

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130530

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140530

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250