CN1638087A - 半导体元件和隔离半导体元件的方法 - Google Patents

半导体元件和隔离半导体元件的方法 Download PDF

Info

Publication number
CN1638087A
CN1638087A CNA2004100626238A CN200410062623A CN1638087A CN 1638087 A CN1638087 A CN 1638087A CN A2004100626238 A CNA2004100626238 A CN A2004100626238A CN 200410062623 A CN200410062623 A CN 200410062623A CN 1638087 A CN1638087 A CN 1638087A
Authority
CN
China
Prior art keywords
layer
oxide layer
main line
etching
silicon chip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004100626238A
Other languages
English (en)
Other versions
CN1298043C (zh
Inventor
皮昇浩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN1638087A publication Critical patent/CN1638087A/zh
Application granted granted Critical
Publication of CN1298043C publication Critical patent/CN1298043C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Abstract

本发明涉及一种半导体器件和一种隔离此种半导体器件的方法。所述的半导体器件包括:一片带有一沟槽的硅基片,且在所述沟槽的底部具有至少一个硅柱,其中,所述硅柱成为微沟槽的侧壁;和一个选择性地部分填充到所述沟槽和所述多个微沟槽中的一个器件隔离层。

Description

半导体元件和隔离半导体元件的方法
技术领域
本发明涉及一种半导体元件,更具体地,本发明涉及一种采用浅沟隔离STI(Shallow Trench Isolation)方法隔离半导体元件的方法和带有多个采用所述方法隔离的元件的半导体元件。
背景技术
众所周知,在制造半导体集成电路过程中,已经使用器件隔离技术对晶体管和电容器之类的单个器件进行电绝缘。在各种器件隔离方法中,局部硅氧化LOCOS(Local Oxidation of Silicon)方法和浅沟隔离(STI)方法得到普遍应用。
所述局部硅氧化(LOCOS)方法为在硅基片的工作区(active region)形成一个氮化物基层掩模图案,并且以所述掩模图案作为掩模来热氧化所述硅基片。然而,所述局部硅氧化(LOCOS)方法具有一个缺点:在氧化层和硅基片之间的交接面会出现鸟嘴(bird’s beak)现象。因此,在高度集成的器件中,此种方法的使用受到限制。此种限制的结果是浅沟隔离(STI)方法在高度集成的元件中得到广泛的应用,因为所述浅沟隔离(STI)方法是通过在基片上形成一条浅沟,然后把氧化层埋入到所述沟中,而形成一个器件隔离区域。
图1A至1C是说明采用传统的浅沟隔离(STI)方法隔离半导体器件的方法的截面图。
参见图1A,一个氧化层(oxide layer)11和一个氮化层(nitride layer)12顺序地沉积在基片10上。然后采用蚀刻元件隔离掩模的工艺在所述氧化层11和氮化层12上形成图案,籍此在暴露的所述基片10上形成一个元件隔离区域。在此,所述氧化层11用作缓冲层,防止由于基片10和氮化层12直接接触而产生应力。所述氮化层12用作蚀刻掩模,以在后续的化学机械研磨抛光CMP(Chemical Mechanical Polishing)工艺中形成沟和蚀刻停止层。
接下来,所述基片10的暴露的部分被蚀刻至预先设定的深度,从而形成沟。然后,可选地,沿着上面所得到的结构上形成一个内衬氮化层14,并且在其下插入一位于所述沟的侧壁上的侧氧化层13。此处形成的所述内衬氮化层14用于抑制硼分离现象,并且防止在后续的氧化过程中因侧壁额外的氧化而产生应力,从而提高器件的性能。此后,在上述基片结构的整个表面上,以彻底填充所述沟的方式沉积一个元件隔离层15,其中,在所述沟上已经形成有所述的内衬氮化层14。也就是,所述元件隔离层15具有间隙填充特性。
参见图1B,对上述得到的包括元件隔离层15的结构进行CMP工艺处理,使得氮化层12暴露出来。
参见图1C,所述氮化层12被采用湿蚀刻的方法去除。
上述的STI工艺可以克服所述LOCOS方法的缺点,因此,可以用在高度集成的元件中。因此,所述STI工艺是应用最广泛的元件隔离方法。然而,所述STI工艺在应用中仍然有其局限性。具体而言,虽然在元件隔离层的内部,例如一浅沟,应该采用化学气相沉积(CVD)法填充如氧化层之类的绝缘层,但是由于被隔离元件的宽度的减小,不容易以通常的方法将绝缘层填充所述的沟。
随着沟槽型器件绝缘层宽度的减小,来自临近设置的单元的电场更可能影响其它相邻单元。因此,设备集成度的尺度缩小反过来导致来自临近单元的电场更可能使得载流子沿着元件隔离层的侧壁被反转,并且,进一步导致刷新特性的下降。
同时,在亚0.1μm(sub-0.1μm)动态随机存取储存(DRAM)元件技术中,金属屏蔽嵌入(MSE)-STI结构被设计用于屏蔽电场。所述MSE-STI结构是采用向所述沟槽中填充多晶硅而形成的。具体地,图2示出了一种MSE-STI结构,此种结构公开于Yongjik Park等人所著的一篇题为“超过100nm技术节点的COB堆栈DRAM单元技术”(COB StackDRAM Cell Technology beyond 100nm Technology Node),TechnologyDigest of IEDM,391-394(2001),在此把此篇文章的全部内容参引进来。
在上述元件隔离层的制造工艺中,在用多晶硅填充沟槽同时,伴随有一个后蚀刻工艺。然而,在后蚀刻工艺后,在垫氮化层的侧壁上会存有多晶硅残余,从而降低元件的特性。所以在所述后蚀刻工艺之后,有必要进行一次热氧化工艺处理,从而形成一个氧化层,在所述多晶硅的顶部进行元件绝缘。但是,在热氧化工艺过程中,所述多晶硅受热膨胀,大面积地展宽,且这种大面积的扩展将在所述基片上剧烈地施加应力。
发明内容
因此,本发明的目的之一在于,提供一种可以防止由于沿着元件绝缘层侧壁的反转而产生泄漏电流,并且可以通过在沟槽中形成具有小电容的空腔而减少电阻-电容(RC)延时的半导体元件隔离结构。
本发明的另一个目的在于,提供一种在半导体元件中隔离元件的方法,该方法可以方便地将元件隔离层填充到具有大的深宽比的沟槽内;并且可以通过在沟槽中填充屏蔽沟槽中的电场的硅来防止在垫氮化层的侧壁上形成硅的残余;以及还可以防止由热氧化工艺导致的应力。
根据本发明的第一目的,提供一种半导体器件,其包括:一片带有沟槽的硅基片,且在所述沟槽的底部具有至少一个硅柱,其中,所述硅柱成为微沟槽的侧壁;和一个选择性地且部分地填充到所述沟槽和所述多个微沟槽中的一个元件隔离层。
根据本发明的另一目的,还提供一种隔离半导体器件的方法,其包括以下步骤:通过在一器件隔离区蚀刻一硅基片而形成一个主沟槽,通过在所述主沟槽的底部多处蚀刻所述硅基片而形成至少一个辅助沟槽;并且在所述主沟槽和辅助沟槽中埋入一元件隔离层。
附图说明
根据下文结合附图给出的具体实施例,可以更好地理解本发明的上述及其它目的和技术特征,其中所述附图包括:
图1A至1C是描述在一半导体器件中隔离半导体元件的传统方法的截面图;
图2示出了一个传统的MSE-STI结构;
图3是本发明所述的优选具体实施方式中的一个元件隔离结构的截面图;
图4A至4F是描述本发明的优选实施的隔离半导体器件构元的方法的截面图。
具体实施方式
在下文中,将参考附图对本发明的优选实施方式进行详细的描述。
图3是本发明所述的优选具体实施方式中的一个元件隔离结构的截面图;
如图所示,在一个硅基片301的一个元件隔离区域中形成一个沟槽T。所述沟槽T包括一个宽度与指定元件隔离区域宽度相同的主沟槽T1,和多个在所述主沟槽T1底部形成的辅助沟槽T2。所述主沟槽T1是由一个元件隔离掩模和一次蚀刻而设定的,而所述辅助沟槽T2是由精细蚀刻所述主沟槽T1底部硅基片301的预先设定的区域而设定的。在形成多个辅助沟槽T2的同时,从一虚线D向上延伸出的多个硅柱320A即存在于所述辅助沟槽T2之间。
在所述沟槽T的内表面覆盖有一第一氧化层303,在所述第一氧化层303的顶部形成有一第二氧化层304,以填充所述沟槽T。其中,所述第一氧化层303是一个热氧化层,而所述第二氧化层304是一个采用化学气相沉积(CVD)法形成的氧化层。
如图所示,如果硅柱320A位于辅助沟槽T2之间,因为被隔离元件之间的距离加大,可以在防止由于沿着一元件隔离层的侧壁发生的反转而产生的泄漏电流的同时,产生屏蔽电场的作用。也就是说,甚至在需要精细的元件隔离结构的超大规模集成元件中的有限的区域内,也可以实现电场屏蔽并防止泄漏电流产生。既然所述硅柱320A不是通过沟槽T中的沉积工艺和后蚀刻工艺形成的,还可以解决如图2所示的产生硅残余的问题。
既然每个辅助沟槽T2的宽度都非常小,所述第二氧化层304不是完全地填充到其中。其结果是,形成多个空腔C。所述空腔C具有非常小的电容,因此,产生导致电阻-电容(RC)延时的电容下降的效果。可以只在一部分所述辅助沟槽T2中形成所述空腔C。此外,由于不需要彻底填充所述辅助沟槽T2,所以将所述第二氧化层304填充到所述沟槽T容易得多。
因为在此优选实施方式中,不使用如多晶硅层之类的导电层填充沟槽T,所以没必要在沟槽填充工艺之后,再进行一次热氧化工艺。从而,可以防止在沟槽填充工艺之后进行热氧化而产生的应力。
图4A至4F是描述本发明的优选实施例的隔离半导体元件的元件构元的方法的截面图。
参见图4A,在一硅基片401上相继形成一个缓冲氧化层402和一个垫氮化层403,以在形成沟槽的蚀刻工艺中用作蚀刻掩模,并在化学机械研磨抛光(CMP)工艺中用作蚀刻停止层。
所述缓冲氧化层402有助于减少由硅基片401和垫氮化层403之间的直接接触所产生的应力。虽然,所述优选的具体实施方式只例举了单层的缓冲氧化层402,还可以为相同的目的形成多晶硅与氧化物的层堆栈和氮氧化物层。也可以略去缓冲氧化层402的形成。此外,在使用硅晶片之外,所述基片401可以不需脱离本发明的主旨和范围而采用硅外延层。
采用元件隔离掩模进行印刷而在垫氮化层403和缓冲氧化层402上形成图案,籍此得到如图4B所示的带有图案的垫氮化层403A和带有图案的缓冲氧化层402A。然后使用带有图案的垫氮化层403A作为蚀刻掩模把所述硅基片401蚀刻至预先设定的深度。通过所述蚀刻工艺,形成一个主沟槽404。在本发明的优选实施方式中,所述主沟槽404的深度和宽度是考虑到最终形成的沟槽的所需的总深度而设定的。
主沟槽404形成的更详细内容为,在垫氮化层403上覆盖一层光刻胶,采用照相-曝光和定影工艺使用元件隔离掩模形成图案。然后采用光刻胶图案作为掩模蚀刻垫氮化层403和缓冲氧化层402。此次蚀刻采用带有图案的垫氮化层403A作为蚀刻掩模,并一直蚀刻到硅基片401的一部分被蚀刻掉为之。此后,留下来的光刻胶图案被除去。
参见图4C,通过进行热氧化工艺处理在主沟槽404的内壁上生成一个第一氧化层405。在上述得到的结构上形成半球状的硅颗粒(HSG)406。第一氧化层405用作形成辅助沟槽的蚀刻掩模。在氧化物用作蚀刻掩模之外,还可以使用与硅有不同的蚀刻选择性的薄层。此种薄层的例子如一个氧化层和一个氮化物与氧化物的层的堆栈。
参考图4D,采用HSG 406作为掩模对第一氧化层405进行各向异性的蚀刻。更具体地,位于主沟槽404底部表面的第一氧化层405在多个区域被蚀刻,籍此形成第一氧化层图案405A。在几何结构上,HSG 406具有对应于颗粒边界的凹槽部分和对应于硅颗粒的安装部分,并且第一氧化层405在所述凹槽部分被蚀刻。
参见图4E,采用第一氧化层图案405A作为掩模,所述硅基片401在沟槽404的底部暴露出来的部分被蚀刻。通过此蚀刻,形成多个辅助沟槽407和多个在第一辅助沟槽407A和第二辅助沟槽407B之间的硅柱401A。
参见图4F,通过一热氧化工艺过程,沿着沟槽外形薄薄地生成一第二氧化层408。然后,使用CVD方法向主沟槽404和辅助沟槽407填充一第三氧化层409。接下来,继续进行如CMP工艺和除去带图案的垫氮化层403A的传统工艺,以完成元件隔离层的形成。
由于辅助沟槽407具有细微的结构,在本发明的优选实施方式中,第三氧化层409部分地填充每个辅助沟槽407,籍此形成多个空腔410。特别是,所述CMP工艺一直进行到带图案的垫氮化层403A的表面暴露出来为止。在所述CMP工艺处理之后,通过将所述构件浸渍到用于去除氮化层的湿蚀刻溶液中,把带图案的垫氮化层403A去除,比如,磷酸(H3PO4)是此种湿蚀刻溶液的例子。
虽然图3和图4A-4F示出三个硅柱401A,硅柱的数量是不重要的,但应该指出的是,应有至少一个硅柱位于所述辅助沟槽之间。其结果是,可以产生屏蔽电场的作用。而且,隔离元件之间的距离加大,即使在集成度非常高的元件中,也可以防止由沿着所述沟槽的侧壁出现的反转导致产生的泄漏电流。
此外,对所述辅助沟槽的不完全填充而导致的空腔可产生一个减小电容的额外效果。更进一步地,既然只有对于所述主沟槽才必须用隔离元件的氧化层完全填充,也就是,本优选实施方式中所述第三氧化层,中空的需要填充的深度也减小,籍此,使用于元件隔离层中的氧化层的埋入变得容易。
本申请包含2003年12月29日在韩国专利局提出的韩国专利申请KR 2003-0098450的主旨,在此处将所述专利申请的全部内容参引进来。
虽然是结合一些优选实施例而对本发明进行的描述,但是本领域中的一般技术人员显然可以意识到在不偏离如同权利要求中所界定的本发明的范围和主旨的情况下,可以进行各种的变换和改型。

Claims (10)

1.一种半导体器件,其包括:
一片带有一沟槽的硅基片,且在所述沟槽的底部具有至少一个硅柱,其中,所述硅柱为微沟槽的侧壁;和
一个选择性地部分填充到所述沟槽和所述多个微沟槽中的器件隔离层。
2.如权利要求1所述的半导体器件,其特征在于:通过采用所述器件隔离层部分地填充所述微沟槽,得到至少一个空腔。
3.如权利要求1所述的半导体器件,其特征在于:所述器件隔离层包括一个采用化学气相沉积工艺形成的氧化层。
4.一种隔离半导体器件的方法,其包括以下步骤:
通过在一器件隔离区域蚀刻一硅基片而形成一个主沟槽;
通过蚀刻所述主沟槽的底部而形成至少一个辅助沟槽;和
在所述主沟槽和辅助沟槽中埋入一器件隔离层。
5.如权利要求4所述的方法,其特征在于:形成至少一个辅助沟槽的步骤包括下列步骤:
形成一个与位于所述主沟槽底部表面的硅基片具有不同的蚀刻选择性的蚀刻掩模层;
在所述蚀刻掩模层上形成半球状的硅颗粒;
用所述半球状的硅颗粒作为掩模,采用蚀刻工艺使所述蚀刻掩模层带有图案;和
采用所述带有图案的蚀刻掩模层作为掩模,对位于所述主沟槽底部的硅基片进行蚀刻。
6.如权利要求5所述的方法,其特征在于:所述蚀刻掩模是一个采用热氧化工艺形成的氧化层。
7.如权利要求5所述的方法,其特征在于:形成所述主沟槽的步骤包括以下的步骤:
在一个硅基片上形成一个垫绝缘层;
用一个器件绝缘掩模采用照相印刷工艺在所述垫绝缘层上形成一个光刻胶图案;
以所述光刻胶图案作为掩模,对所述垫绝缘层和所述硅基片进行蚀刻,形成所述主沟槽;
去除所述光刻胶图案。
8.如权利要求7所述的方法,其特征在于:所述垫绝缘层是通过把一个缓冲氧化层和一个垫氮化层层叠起来而得到的。
9.如权利要求7所述的方法,其特征在于:所述的埋入器件隔离层的步骤包括以下的步骤:
在硅基片位于所述主沟槽和所述辅助沟槽处的表面上形成一个第一氧化层;
采用化学气相沉积方法,在一个包括所述第一氧化层和所述硅基片的基层结构上形成一个第二氧化层;
对所述硅基片的整个表面进行化学机械抛光工艺处理,直至所述垫绝缘层暴露出来;且
去除所述垫绝缘层。
10.如权利要求9所述的方法,其特征在于:在用于形成所述第二氧化层的化学气相沉积方法的过程中,在所述辅助沟槽中形成至少一个空腔。
CNB2004100626238A 2003-12-29 2004-06-30 半导体元件和隔离半导体元件的方法 Expired - Fee Related CN1298043C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2003-0098450A KR100538810B1 (ko) 2003-12-29 2003-12-29 반도체소자의 소자분리 방법
KR1020030098450 2003-12-29

Publications (2)

Publication Number Publication Date
CN1638087A true CN1638087A (zh) 2005-07-13
CN1298043C CN1298043C (zh) 2007-01-31

Family

ID=34698626

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100626238A Expired - Fee Related CN1298043C (zh) 2003-12-29 2004-06-30 半导体元件和隔离半导体元件的方法

Country Status (4)

Country Link
US (2) US7579255B2 (zh)
JP (2) JP4977842B2 (zh)
KR (1) KR100538810B1 (zh)
CN (1) CN1298043C (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101552194B (zh) * 2008-04-04 2011-07-27 海力士半导体有限公司 半导体器件及其制造方法
CN105742225A (zh) * 2014-12-30 2016-07-06 台湾积体电路制造股份有限公司 半导体结构及其制造方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003060024A (ja) 2001-08-13 2003-02-28 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置
US7528950B2 (en) * 2005-01-11 2009-05-05 Duquesne University Of The Holy Spirit Tunable laser-based process monitoring apparatus
CN101370898B (zh) * 2006-02-14 2012-09-12 卡伯特微电子公司 用于氧化铟锡表面的化学机械抛光的组合物及方法
US7569875B2 (en) 2006-03-14 2009-08-04 Kabushiki Kaisha Toyota Chuo Kenkyusho Semiconductor device and a method for producing the same
JP2008258265A (ja) * 2007-04-02 2008-10-23 Fujitsu Microelectronics Ltd 半導体装置及び半導体装置の製造方法
JP2009147000A (ja) 2007-12-12 2009-07-02 Seiko Instruments Inc 半導体装置の製造方法
TWI440190B (zh) * 2009-09-11 2014-06-01 Inotera Memories Inc 堆疊式隨機動態存取記憶體之雙面電容之製造方法
CN101964356A (zh) * 2010-10-25 2011-02-02 上海宏力半导体制造有限公司 半导体器件及其制造方法
KR20130087929A (ko) * 2012-01-30 2013-08-07 에스케이하이닉스 주식회사 트랜치 소자분리층을 갖는 반도체소자 및 그 제조방법
US8829642B2 (en) * 2012-03-29 2014-09-09 The Institute of Microelectronics, Chinese Academy of Science Semiconductor device and method for manufacturing the same
US9214378B2 (en) 2012-06-29 2015-12-15 International Business Machines Corporation Undercut insulating regions for silicon-on-insulator device
US9105691B2 (en) 2013-04-09 2015-08-11 International Business Machines Corporation Contact isolation scheme for thin buried oxide substrate devices
US20140327084A1 (en) * 2013-05-01 2014-11-06 International Business Machines Corporation Dual shallow trench isolation (sti) field effect transistor (fet) and methods of forming
US9105687B1 (en) * 2014-04-16 2015-08-11 Nxp B.V. Method for reducing defects in shallow trench isolation
CN107706201B (zh) * 2017-08-29 2020-06-30 上海微阱电子科技有限公司 一种减小暗电流的背照式像素单元结构及其形成方法
CN107680977B (zh) * 2017-08-29 2020-06-09 上海集成电路研发中心有限公司 一种减小暗电流的背照式像素单元结构及其形成方法
CN107919372A (zh) * 2017-10-26 2018-04-17 上海集成电路研发中心有限公司 一种背照式cmos图像传感器像素单元及其制作方法
US10535660B1 (en) * 2018-08-30 2020-01-14 Nanya Technology Corporation Dynamic random access memory structure and method for preparing the same

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4356211A (en) * 1980-12-19 1982-10-26 International Business Machines Corporation Forming air-dielectric isolation regions in a monocrystalline silicon substrate by differential oxidation of polysilicon
JPS59172246A (ja) * 1983-03-18 1984-09-28 Seiko Instr & Electronics Ltd 凹部分離半導体装置とその製造方法
JPH0745305B2 (ja) * 1987-08-03 1995-05-17 積水化学工業株式会社 粘着テ−プもしくはシ−トの捲出装置
JPH06191435A (ja) * 1992-12-28 1994-07-12 Toyota Autom Loom Works Ltd 産業車両の運転室防振支持装置
US5308786A (en) * 1993-09-27 1994-05-03 United Microelectronics Corporation Trench isolation for both large and small areas by means of silicon nodules after metal etching
KR960014452B1 (ko) * 1993-12-22 1996-10-15 금성일렉트론 주식회사 반도체 소자분리 방법
US5516720A (en) * 1994-02-14 1996-05-14 United Microelectronics Corporation Stress relaxation in dielectric before metallization
US5395790A (en) * 1994-05-11 1995-03-07 United Microelectronics Corp. Stress-free isolation layer
US5374583A (en) * 1994-05-24 1994-12-20 United Microelectronic Corporation Technology for local oxidation of silicon
JPH1041379A (ja) * 1996-07-22 1998-02-13 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US6242788B1 (en) * 1997-08-01 2001-06-05 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US6214696B1 (en) 1998-04-22 2001-04-10 Texas Instruments - Acer Incorporated Method of fabricating deep-shallow trench isolation
JPH11330223A (ja) * 1998-05-15 1999-11-30 Rohm Co Ltd 半導体装置および半導体装置の製造方法
JP2000183149A (ja) * 1998-12-10 2000-06-30 Sanyo Electric Co Ltd 半導体装置
TW396508B (en) * 1999-01-05 2000-07-01 Mosel Vitelic Inc A method for forming trench isolation
US6307247B1 (en) * 1999-07-12 2001-10-23 Robert Bruce Davies Monolithic low dielectric constant platform for passive components and method
JP2001084523A (ja) 1999-09-10 2001-03-30 Tdk Corp トンネル磁気抵抗効果型薄膜磁気ヘッド及び該ヘッドの製造方法
TW426947B (en) * 1999-12-09 2001-03-21 Mosel Vitelic Inc Method of producing trench capacitor
KR100608343B1 (ko) 2000-02-26 2006-08-09 주식회사 하이닉스반도체 반도체소자의 격리영역 형성방법
SE0103036D0 (sv) * 2001-05-04 2001-09-13 Ericsson Telefon Ab L M Semiconductor process and integrated circuit
US6930345B2 (en) * 2001-05-10 2005-08-16 Infineon Technologies Richmond, Lp Increase in deep trench capacitance by a central ground electrode
EP1265278A1 (en) 2001-06-06 2002-12-11 Infineon Technologies AG Method for manufacturing a trench capacitor with an isolation trench
JP2003060024A (ja) * 2001-08-13 2003-02-28 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置
JP2003142573A (ja) * 2001-10-31 2003-05-16 Seiko Epson Corp 半導体装置の製造方法
JP2003158177A (ja) * 2001-11-20 2003-05-30 Sharp Corp 半導体装置およびその製造方法
JP2003158180A (ja) * 2001-11-26 2003-05-30 Mitsubishi Electric Corp トレンチ分離を有する半導体装置およびその製造方法
US6791155B1 (en) * 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101552194B (zh) * 2008-04-04 2011-07-27 海力士半导体有限公司 半导体器件及其制造方法
US8105918B2 (en) 2008-04-04 2012-01-31 Hynix Semiconductor Inc. Semiconductor device and method of fabricating the same including forming pillar neck patterns
CN105742225A (zh) * 2014-12-30 2016-07-06 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US10367059B2 (en) 2014-12-30 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor structure having a buried raised portion
CN105742225B (zh) * 2014-12-30 2019-09-24 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US11152462B2 (en) 2014-12-30 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having fins

Also Published As

Publication number Publication date
CN1298043C (zh) 2007-01-31
US8022501B2 (en) 2011-09-20
JP4977842B2 (ja) 2012-07-18
JP2005197644A (ja) 2005-07-21
US7579255B2 (en) 2009-08-25
US20050139951A1 (en) 2005-06-30
KR100538810B1 (ko) 2005-12-23
JP2012033952A (ja) 2012-02-16
US20090278225A1 (en) 2009-11-12
KR20050067466A (ko) 2005-07-04

Similar Documents

Publication Publication Date Title
CN1298043C (zh) 半导体元件和隔离半导体元件的方法
US6858533B2 (en) Semiconductor device having an etch stopper formed of a sin layer by low temperature ALD and method of fabricating the same
KR100505658B1 (ko) MIM(Metal-Insulator-Metal)커패시터를 갖는 반도체 소자
US6703273B2 (en) Aggressive capacitor array cell layout for narrow diameter DRAM trench capacitor structures via SOI technology
US6743728B2 (en) Method for forming shallow trench isolation
WO2010030468A1 (en) Self-aligned trench formation
US6989561B2 (en) Trench capacitor structure
JP2001035916A (ja) 浅いトレンチ素子分離形成方法
CN1497701A (zh) 半导体器件及使用金属镶嵌工艺制造半导体器件的方法
US6964898B1 (en) Method for fabricating deep trench capacitor
KR20070058116A (ko) 반도체 소자의 소자분리막 형성방법
KR20040036757A (ko) 얕은 트렌치 소자분리 공정을 이용한 반도체 소자의소자분리방법
US6821843B1 (en) Fabrication method for an array area and a support area of a dynamic random access memory
US6855617B1 (en) Method of filling intervals and fabricating shallow trench isolation structures
CN1622309A (zh) 隔离半导体元件的方法
KR100671661B1 (ko) 반도체 소자의 소자 분리막 형성 방법
US6238970B1 (en) Method for fabricating a DRAM cell capacitor including etching upper conductive layer with etching byproduct forming an etch barrier on the conductive pattern
US20020119618A1 (en) Method for forming contacts of memory devices using an etch stop layer
KR100487915B1 (ko) 반도체소자의캐패시터형성방법
KR100429373B1 (ko) 반도체소자의 커패시터 형성방법
US6537917B2 (en) Method for fabricating electrically insulating layers
CN1314106C (zh) 埋入式沟槽电容器及其制造方法
KR100450569B1 (ko) 반도체 소자의 층간 절연막 형성 방법
KR100519511B1 (ko) 반도체장치의 소자분리막 형성방법
US6110835A (en) Method for fabricating an electrode structure for a cylindrical capacitor in integrated circuit

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070131

Termination date: 20130630